Substrate Preparation for EUV Mask Blank...

27
Accelerating the next technology revolution Copyright ©2012 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners. Substrate Preparation for EUV Mask Blank Production Arun John/Andy Ma/Frank Goodwin SEMATECH, Albany, NY 02/12/2012

Transcript of Substrate Preparation for EUV Mask Blank...

Page 1: Substrate Preparation for EUV Mask Blank Productionieuvi.org/TWG/Mask/2012/MTG021212/13-Arun-John... · •Substrate cleaning processes and tool components were modified to suit the

Accelerating the next technology revolution

Copyright ©2012

SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center

and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

Substrate Preparation for

EUV Mask Blank

Production

Arun John/Andy Ma/Frank Goodwin

SEMATECH,

Albany, NY

02/12/2012

Page 2: Substrate Preparation for EUV Mask Blank Productionieuvi.org/TWG/Mask/2012/MTG021212/13-Arun-John... · •Substrate cleaning processes and tool components were modified to suit the

Defect Distribution on a Typical

Mask Blank

Substrate: Total defects =11 @ 42 nm +

ML Blank: Particles = 9 @ 50 nm +

ML Blank: Total defects = 59 @ 50 nm +

ML deep

Defects on Champion mask blank: 2011

Page 3: Substrate Preparation for EUV Mask Blank Productionieuvi.org/TWG/Mask/2012/MTG021212/13-Arun-John... · •Substrate cleaning processes and tool components were modified to suit the

Substrate Preparation and ML Deposition

Process

CMP Post CMP Cleaning

Handling Storage Shipping

Handling Inspection Cleaning Inspection Storage

ML deposition

Inspection Storage

Potential Particle Adders, easy to remove

Potential Particle, Pit and Scratch Adders

No Adders

Potential Pit and particle Adders

SEM

ATEC

H

sup

plie

r

Page 4: Substrate Preparation for EUV Mask Blank Productionieuvi.org/TWG/Mask/2012/MTG021212/13-Arun-John... · •Substrate cleaning processes and tool components were modified to suit the

Adders from CMP Process

• CMP induced defects depend on – Substrate supplier (polishing parameters)

– Substrate material (substrate properties) •Hardness, roughness, type

Inspection of incoming substrates

EDX of CMP particles

Page 5: Substrate Preparation for EUV Mask Blank Productionieuvi.org/TWG/Mask/2012/MTG021212/13-Arun-John... · •Substrate cleaning processes and tool components were modified to suit the

Effect of CMP Scratches on Post CMP Cleaning

-76

-56

-36

-16

4

24

44

64

-76 -56 -36 -16 4 24 44 64

Particle

Pit

scratch

-76

-56

-36

-16

4

24

44

64

-76 -26 24 74

Particles

Pits

Scratches

0 1 2 3 4 5 6

0

20

40

60

80

100

120

0

5

10

15

20

25

30

35

40

0 1 2 3 4 5 6

Remaining pits and particles > 42 nm

particles

pits

scratches

Number of particles and pits added on substrate by cleaning depends on initial scratch count

Scratches act as pockets for cleaning induced adder particles

Weaker material around scratch form pits by cleaning chemicals and megasonics

Sub

stra

tes

afte

r cl

ean

ing,

def

ects

@ 4

2 n

m+

Page 6: Substrate Preparation for EUV Mask Blank Productionieuvi.org/TWG/Mask/2012/MTG021212/13-Arun-John... · •Substrate cleaning processes and tool components were modified to suit the

Reducing CMP Added Defects

• Substrate quality can be improved*

– If polishing process is optimized

• However

– Requires knowledge of defects generated by polishing processes

– Requires inspection that can detect defects

• SEMATECH working with supplier has enabled to improve substrate quality

*SEMATECH is evaluating various polishing techniques to improve substrate quality

Page 7: Substrate Preparation for EUV Mask Blank Productionieuvi.org/TWG/Mask/2012/MTG021212/13-Arun-John... · •Substrate cleaning processes and tool components were modified to suit the

Cleaning of Quality Substrates for ML

Deposition

• Ideal cleaning process – Should remove

•CMP, post CMP, handling, and storage added particles

– Should not add particles or pits by cleaning process or chemicals

– Should not enlarge pits or scratches by chemical etching

– Should have high yield • provide zero defect substrates

for deposition consistently

• Factors affecting Cleaning yield

• material properties, scratches and pits

• Embedded particles from CMP process

• Megasonics cleaning

• Particle adders from cleaning

Page 8: Substrate Preparation for EUV Mask Blank Productionieuvi.org/TWG/Mask/2012/MTG021212/13-Arun-John... · •Substrate cleaning processes and tool components were modified to suit the

Cleaning Process Induced Detectable

Adders at 42 nm+

Pre Cleaning: 20 pits, 1 particle Added: 11 pits, 4 particles Post Cleaning: 31 pits, 7 particles

-76

-56

-36

-16

4

24

44

64

-76 -26 24 74

ParticlesPits

Pre Cleaning: 12 pits, 77 particles Added: 46 pits, 8 particles, 7 Scratches

Post Cleaning: 58 pits, 11 particles, 7 Scratches

Sub

stra

te 1

:

Cle

anin

g P

roce

ss 1

Su

bst

rate

2:

C

lean

ing

Pro

cess

2

Page 9: Substrate Preparation for EUV Mask Blank Productionieuvi.org/TWG/Mask/2012/MTG021212/13-Arun-John... · •Substrate cleaning processes and tool components were modified to suit the

Cleaning Process Induced Adders Below

Current Detection Limit • Compared ML deposition added defects on substrates cleaned with

substrates not cleaned before deposition

2x more pits, 1.4 x more particles, and 4.5 x more scratches detected on blanks

deposited on cleaned substrates!

Additional pits and scratches were not detected at substrate level

Page 10: Substrate Preparation for EUV Mask Blank Productionieuvi.org/TWG/Mask/2012/MTG021212/13-Arun-John... · •Substrate cleaning processes and tool components were modified to suit the

Source of Cleaning Process Adders P

it A

dd

ers

Part

icle

Ad

der

s

1 MHz megasonics used for particle removal is the major source of pit and particle adders

Page 11: Substrate Preparation for EUV Mask Blank Productionieuvi.org/TWG/Mask/2012/MTG021212/13-Arun-John... · •Substrate cleaning processes and tool components were modified to suit the

Reducing Cleaning Induced Adders by

Increasing Megasonic Frequency

Added pits on substrate

cleaned multiple times

Active area of

megasonics

Experimental observation

of cavitation collapse3

Page 12: Substrate Preparation for EUV Mask Blank Productionieuvi.org/TWG/Mask/2012/MTG021212/13-Arun-John... · •Substrate cleaning processes and tool components were modified to suit the

Adder Reduction by Higher Frequency

Megasonic Processes

75

80

85

90

95

100

PRE PCE

Eff

icie

nc

y (

%)

Easy to Remove Particles

3 Mhz

1 MHz

0

10

20

30

40

50

60

70

80

90

100

PRE PCE TCE

Eff

icie

nc

y (

%)

Hard to Remove Particles

1 Mhz

3 MHz

Processes where evaluated on LTEM which is more challenging to clean

Page 13: Substrate Preparation for EUV Mask Blank Productionieuvi.org/TWG/Mask/2012/MTG021212/13-Arun-John... · •Substrate cleaning processes and tool components were modified to suit the

Zero Pit Generation Using 3 MHz

Processes

Zero pit generation cleaning is proven for LTEM from both suppliers

12

0

12

0 0

2

4

6

8

10

12

14

Supplier A Supplier B

Pits on LTEM Before and After Cleaning using Lower Pit Generation Process

Before cleaning

After cleaning

Page 14: Substrate Preparation for EUV Mask Blank Productionieuvi.org/TWG/Mask/2012/MTG021212/13-Arun-John... · •Substrate cleaning processes and tool components were modified to suit the

Reduction in Pit and Scratch Enlargement

• Pits and scratches are enlarged by chemical etching

H2SO4/H2O2 VUV @172

nm

Oxidation/organic removal

NH4OH/ H2O2

Reduce time &Optimize

Oxidize/etch/particle removal

Eliminate redundancies, optimize

critical steps

Zero pits, zero particles, zero

scratch adders @ 42 nm +

Page 15: Substrate Preparation for EUV Mask Blank Productionieuvi.org/TWG/Mask/2012/MTG021212/13-Arun-John... · •Substrate cleaning processes and tool components were modified to suit the

Substrate Storage After Cleaning

• Substrate Storage after cleaning resulted in progressive

contamination

Storage contamination not detected at substrate level

Contamination affected deposition yield, experiments, and total defectivity on blanks

Page 16: Substrate Preparation for EUV Mask Blank Productionieuvi.org/TWG/Mask/2012/MTG021212/13-Arun-John... · •Substrate cleaning processes and tool components were modified to suit the

Cleaning Recipe Optimization for

Complete Removal of Chemical Residues

Pre-Clean Post-Clean 36 day storage

Outside cleanroom storage

Pre-Clean Post-Clean 17 day storage

Inside cleanroom storage

Progressive contamination was not observed in any environment for

several days on substrates cleaned with modified recipes

Page 17: Substrate Preparation for EUV Mask Blank Productionieuvi.org/TWG/Mask/2012/MTG021212/13-Arun-John... · •Substrate cleaning processes and tool components were modified to suit the

Improvement in Cleaning Yield using

Modified Processes

0.00%

10.00%

20.00%

30.00%

40.00%

50.00%

60.00%

70.00%

80.00%

90.00%

100.00%

0 5 10 15 20 25 30

Cle

an

ing

Yie

ld(%

)

# of remaining particles

Cleaning Yield 2010

40nm

45nm

50nm

55nm

Demonstrated continuous improvement in substrate cleaning

yield with improved processes

Page 18: Substrate Preparation for EUV Mask Blank Productionieuvi.org/TWG/Mask/2012/MTG021212/13-Arun-John... · •Substrate cleaning processes and tool components were modified to suit the

Improvement in Blank Yield

0

10

20

30

40

50

60

70

80

90

100

0 20 40 60 80 100 120 140 160 180 200

Def

ect

Co

un

t

Deposition Number

Blank Defect Trend

Previous Marathons

Current Marathon (M16)

M16: M7360@50nm+

Page 19: Substrate Preparation for EUV Mask Blank Productionieuvi.org/TWG/Mask/2012/MTG021212/13-Arun-John... · •Substrate cleaning processes and tool components were modified to suit the

Improvement in Blank Defectivity and New

Champion Blanks

11 defects 14 defects 12 defects

@5

0 n

m+

@

50

nm

+

@7

0 n

m+

Page 20: Substrate Preparation for EUV Mask Blank Productionieuvi.org/TWG/Mask/2012/MTG021212/13-Arun-John... · •Substrate cleaning processes and tool components were modified to suit the

Mask Blank Champion Data

Absence of small substrate defects from 40 – 70 nm

M16 Champion @50 nm+ Previous champion @50 nm+

25 x reduction in small defects

Page 21: Substrate Preparation for EUV Mask Blank Productionieuvi.org/TWG/Mask/2012/MTG021212/13-Arun-John... · •Substrate cleaning processes and tool components were modified to suit the

Conclusions

•Pits and scratches were identified as major source of blank defects @ 50 nm+

– Substrate defects decorated by multilayer deposition

•Cleaning induced adders and post cleaning storage adders were identified as major source of substrate defects from 40 nm – 70 nm

– Small pit and particle adders from megasonics

– CMP scratch and pit enlargement by chemical etching

– Progressive contamination buildup from chemical residues

•Substrate cleaning processes and tool components were modified to suit the requirements of EUV blank defect reduction

– 1 MHz particle removal process was replaced by 3 MHz process

– Chemical usage and time of exposure was reduced to prevent over etching

– Cleaning residues were completely eliminated

•Lower defect levels were achieved on blanks with improved substrate preparation

– Demonstrated by champion blanks

– Demonstrated by improved blank production yield

– Absence of small defects from 40 nm – 70 nm

Page 22: Substrate Preparation for EUV Mask Blank Productionieuvi.org/TWG/Mask/2012/MTG021212/13-Arun-John... · •Substrate cleaning processes and tool components were modified to suit the

Backup

Page 23: Substrate Preparation for EUV Mask Blank Productionieuvi.org/TWG/Mask/2012/MTG021212/13-Arun-John... · •Substrate cleaning processes and tool components were modified to suit the

Cleaning Tool Induced Adders Su

bst

rate

s af

ter

clea

nin

g, d

efec

ts @

42

nm

+

Cleaning tool issues prevent achieving high yield for zero defect substrates

Page 24: Substrate Preparation for EUV Mask Blank Productionieuvi.org/TWG/Mask/2012/MTG021212/13-Arun-John... · •Substrate cleaning processes and tool components were modified to suit the

0

10

20

30

40

50

60

70

80

90

100

Handling particles Particles from chemicals

PR

E (%

)

Particle Type

PRE of Deposited Particles

Achieved > 90% PRE (target spec) on

3 MHz cleaning process

– Achieved PRE and Met/ exceed target spec (90%)

Page 25: Substrate Preparation for EUV Mask Blank Productionieuvi.org/TWG/Mask/2012/MTG021212/13-Arun-John... · •Substrate cleaning processes and tool components were modified to suit the

Achieved 100% PRE on Quartz and LTEM

Substrates

PRE of substrates from supplier B is influenced by incoming substrate quality

Page 26: Substrate Preparation for EUV Mask Blank Productionieuvi.org/TWG/Mask/2012/MTG021212/13-Arun-John... · •Substrate cleaning processes and tool components were modified to suit the

Average Particles Remaining After Cleaning

on substrates from supplier B

0

0.5

1

1.5

2

2.5

3

3.5

4

4.5

Supplier B Supplier A

Par

ticl

e C

ou

nt

Supplier

Average particles remaining after cleaning

– Higher number of particles remained on substrates from Supplier B

affecting PRE, PCE and yield

Page 27: Substrate Preparation for EUV Mask Blank Productionieuvi.org/TWG/Mask/2012/MTG021212/13-Arun-John... · •Substrate cleaning processes and tool components were modified to suit the

Higher Frequency Megasonic ( 3MHz)

Processes has better PRE and PCE yield

75

80

85

90

95

100

PRE PCE

Eff

icie

nc

y (

%)

Easy to Remove Particles

3 Mhz

1 MHz

0

10

20

30

40

50

60

70

80

90

100

PRE PCE TCE

Eff

icie

nc

y (

%)

Hard to Remove Particles

1 Mhz

3 MHz

• Higher total cleaning efficiency for 3 MHz process is achieved due to reduction

in pit and particle adders

• Easy to remove particles – Particles added by handling

• Hard to remove particles – Particles added from cleaning chemicals