Lab3_Ex.pdf

1
module ex1( input [1:0] btn_i, input [1:0] sw_i, output reg [3:0] led_o, output reg [6:0] seg_o, output [3:0] an_o, output dp_o); always@(sw_i) begin case(sw_i) 2'b00: led_o = 4'b0001; 2'b01: led_o = 4'b0010; 2'b10: led_o = 4'b0100; 2'b11: led_o = 4'b1000; default: led_o = 4'b1111; endcase end always@(btn_i) begin case(btn_i) 4'h0: seg_o = 7'b0000001; 4'h1: seg_o = 7'b1001111; 4'h2: seg_o = 7'b0010010; 4'h3: seg_o = 7'b0000110; default: seg_o = 7'b0000001; endcase end assign an_o = 4'b1110; assign dp_o = 1; endmodule ## 7 segment display NET "seg_o<6>" loc = "l18"; NET "seg_o<5>" loc = "f18"; NET "seg_o<4>" loc = "d17"; NET "seg_o<3>" loc = "d16"; NET "seg_o<2>" loc = "g14"; NET "seg_o<1>" loc = "j17"; NET "seg_o<0>" loc = "h14"; NET "dp_o" loc = "c17"; NET "an_o<0>" LOC = "F17"; NET "an_o<1>" LOC = "H17"; NET "an_o<2>" LOC = "C18"; NET "an_o<3>" LOC = "F15"; ## Buttons NET "btn_i<0>" LOC = "B18"; NET "btn_i<1>" LOC = "D18"; ## Switches NET "sw_i<0>" LOC = "G18"; NET "sw_i<1>" LOC = "H18"; ## Leds NET "led_o<0>" LOC = "J14"; NET "led_o<1>" LOC = "J15"; NET "led_o<2>" LOC = "K15"; NET "led_o<3>" LOC = "K14";

Transcript of Lab3_Ex.pdf

  • module ex1(

    input [1:0] btn_i,

    input [1:0] sw_i,

    output reg [3:0] led_o,

    output reg [6:0] seg_o,

    output [3:0] an_o,

    output dp_o);

    always@(sw_i) begin

    case(sw_i)

    2'b00: led_o = 4'b0001;

    2'b01: led_o = 4'b0010;

    2'b10: led_o = 4'b0100;

    2'b11: led_o = 4'b1000;

    default: led_o = 4'b1111;

    endcase

    end

    always@(btn_i) begin

    case(btn_i)

    4'h0: seg_o = 7'b0000001;

    4'h1: seg_o = 7'b1001111;

    4'h2: seg_o = 7'b0010010;

    4'h3: seg_o = 7'b0000110;

    default: seg_o = 7'b0000001;

    endcase

    end

    assign an_o = 4'b1110;

    assign dp_o = 1;

    endmodule

    ## 7 segment display

    NET "seg_o" loc = "l18";

    NET "seg_o" loc = "f18";

    NET "seg_o" loc = "d17";

    NET "seg_o" loc = "d16";

    NET "seg_o" loc = "g14";

    NET "seg_o" loc = "j17";

    NET "seg_o" loc = "h14";

    NET "dp_o" loc = "c17";

    NET "an_o" LOC = "F17";

    NET "an_o" LOC = "H17";

    NET "an_o" LOC = "C18";

    NET "an_o" LOC = "F15";

    ## Buttons

    NET "btn_i" LOC = "B18";

    NET "btn_i" LOC = "D18";

    ## Switches

    NET "sw_i" LOC = "G18";

    NET "sw_i" LOC = "H18";

    ## Leds

    NET "led_o" LOC = "J14";

    NET "led_o" LOC = "J15";

    NET "led_o" LOC = "K15";

    NET "led_o" LOC = "K14";