PFOS USE IN THE SEMICONDUCTOR INDUSTRY LRTAP Review Process

Post on 13-Jan-2016

49 views 0 download

Tags:

description

PFOS USE IN THE SEMICONDUCTOR INDUSTRY LRTAP Review Process. June 2005. Addition of Chemicals to LRTAP – Information Elements. Executive Body Decision 1998/2, Paragraph 1 lays out information required for evaluating proposed additions Data elements include: Production/uses/emissions… - PowerPoint PPT Presentation

Transcript of PFOS USE IN THE SEMICONDUCTOR INDUSTRY LRTAP Review Process

PFOS USE IN THE SEMICONDUCTOR INDUSTRY PFOS USE IN THE SEMICONDUCTOR INDUSTRY

LRTAP Review ProcessLRTAP Review Process

June 2005

Addition of Chemicals to LRTAP –Addition of Chemicals to LRTAP –Information ElementsInformation Elements

Executive Body Decision 1998/2, Paragraph 1 lays out information required for evaluating proposed additions

Data elements include: Production/uses/emissions… Socio-economic factors, including:

• Alternatives and their efficacy• Known adverse environmental or health effects of alternatives• Process changes, controls, prevention techniques which can

reduce emissions of the substance

Key MessagesKey Messages

Semiconductor sector is a strategic industry – enables economic productivity growth, sustainable development, etc.

PFOS is used in very small quantities in s/c photolithography, playing a critical role in several applications – no current substitutes

PFOS carefully managed in s/c manufacturing to yield de minimis emissions and exposure

This fact recognized by EU SCHER Committee and US EPA

Semiconductor industry committed to finding substitutes for current critical uses of PFOS

No “drop-in” or “one-size-fits-all” substitutes available; substitution process will take time and millions of Dollars/Euros of research

Most likely PFOS alternatives are PFASs; they are not PBTs

Presentation OutlinePresentation Outline

Background Overview of semiconductor industry Semiconductors and the economy PFOS definitions

Production/uses/emissions Basic steps in semiconductor manufacturing The semiconductor technology development cycle How and why semiconductors used in photolithography PFOS carefully managed in photolithography US regulatory action on PFOS EU SCHER report conclusions re PFOS in semiconductor industry

Presentation OutlinePresentation Outline

Alternatives Critical vs. Non-critical The PFOS substitution process Progress in eliminating “non-critical” PFOS uses

Known health effects of alternatives

Industry Voluntary Commitment

BACKGROUND

Banking systems

Leisure

Industry

Medical systems

Environment

Transportation

Communications

Research National Security

Education

Services

Electronic

Microelectronic

Informatic

Semiconductors at the Heart of

the Modern Economy

Overview of the Semiconductor IndustryOverview of the Semiconductor Industry

Value Added Semiconductor companies

• $213 billion worldwide sales in 2004 SEMI

• $28 billion worldwide chemicals/materials sales in 2004

Jobs created in semiconductor industry 226,000 in US 80,000 in EU

Semiconductor industry at the heart of recent productivity growth gains in US economy

Semiconductors and the EconomySemiconductors and the Economy

“A consensus has emerged that the development and deployment of information technology (IT) is the foundation of the American growth resurgence. The mantra of the ‘new economy’ – faster, better, cheaper – characterizes the speed of technological change and product improvement in semiconductors, the key enabling technology.”

Source: Harvard Economics Professor Dale Jorgenson, 2005 Semiconductor Industry Association Annual Report (Emphasis added)

Semiconductors and the EconomySemiconductors and the Economy

"Semiconductors are for the Information Society, what grain was for the agrarian society and iron and steel were for the industrial society."

Source: Adapted from the Shanghai Museum for Urban Development 2004

DefinitionsDefinitions

PFAS is….

PFOS is…

PFOS Chemical Structure

XSOCFCF 2723

XSOCFCF n 223F

F

FF F

FF

F F

FF

F F

FF

F

F

S

O

OX

C1 – C4 C5 – C7 C8 C9 – Cn

Lower MW PFASHomologues

Higher MW PFASHomologues

MW = Molecular Weight

PRODUCTION/USES/EMISSIONS

Oxidation

Photolithography

Doping (Ion Implantation/diffusion)

Thin Film Deposition

Etching

Metallization

CMP

15-30 Iteratio

ns

Basic Steps in Semiconductor Manufacturing

Typical Photolithography ProcessTypical Photolithography ProcessLife CycleLife Cycle

Process may or may not be utilizedLithography Process StepsEffluents

Exhaust routed to appropriate air pollution control device

BARC, Resist Wastes and EBR/RER To Solvent Waste Tank Disposed via Fuel Blend/Incineration

Resist applied

via Spin

Coating

BARC applied

via Spin

Coating

Developer and TARC Wastes to IW Drain

TARCapplied

Pre-expose Bake

Expose Post-expose Bake

Develop Polyimides

EBR/RER applied

via Spin

Coating

Typical Photolithography Process Life Cycle

The Semiconductor TechnologyThe Semiconductor TechnologyDevelopment CycleDevelopment Cycle The semiconductor manufacturing process is highly complex

As circuit features get ever smaller, specialty chemicals like PFOS become ever more important

Chemicals and materials must work precisely with advanced equipment (“tools”) to accomplish high-yield, high-volume manufacturing

The process for developing new chemicals, new tools, and ensuring that the two work together in a manufacturing environment can take 10-15 years to complete

Substitution of new materials into an existing process cannot happen quickly

The Semiconductor TechnologyThe Semiconductor TechnologyDevelopment CycleDevelopment Cycle

Fundamental ResearchMfg. Ramp

Ramp to High Volume

Manufacturing

10 8 6 4 2 0

Years

Toxicity Evaluation Demonstration

Too close for change

Supplier R&D

Integration

How PFOS is Used in PhotolithographyHow PFOS is Used in Photolithography

Photoacid Generators (PAGs)

Anti-Reflective Coatings (ARCs) Top Anti-Reflective Coatings (TARCs) Bottom Anti-Reflective Coatings (BARCs)

PFOS-based Surfactants

Why is PFOS in Photoacid Generators?Why is PFOS in Photoacid Generators?

Photoacid Generators (PAGs) Photoresists for 248nm and shorter wavelengths rely on chemical

amplification During exposure the photoacid generator forms an acid catalyst

which aids in creating the desired image• PAGs control diffussion which results in better resolved

features and smaller scale roughness• Reduced roughness translates into reduced risk of

semiconductor failure during critical applications Photo-acid generators used for this purpose are typically sulfonic

acids PFOS is currently the ONLY chemical that can provide the necessary

acidity

Photoacid Generator ExamplePhotoacid Generator Example

PAGs give a 2:1 resistpolymer chain destructionfor each photon of light -

CHEMICAL AMPLIFICATION

Light Source

** ******

****

*********

MaskMask

Resist Resist

Substrate

Long DiffusionShort Diffusion

Photoacid Diffusion Control

Path of catalyst

Resist morphology

Feature Foot

Well resolved featuresSmaller scale roughness

(exemplary of PFOS PAG)

Poorly resolved featuresLarger scale roughness

(exemplary of non-PFOS PAG)

65 nm

Feature roughness can cause failure in critical applications

Why is PFOS in ARCs and Surfactants?Why is PFOS in ARCs and Surfactants?

Anti-Reflective Coatings (ARCs) Refractive index (RI) must be as close as possible to the square root

of the photoresist RI Only fluorinated materials can meet this requirement

PFOS-based Surfactants Surface tension can produce thickness variations that emanate from

the wafer center during spin-on photoresist application PFOS-based surfactants are particularly effective in:

• Lowering the surface tension• Reducing thickness variation• Creating more uniform films

Anti-Reflective Coating ExampleAnti-Reflective Coating Example

Metal substrates can reflect photons back from the surface – into areas of the resist not to be

exposed.

Light Source

MaskMask

Resist Resist

Metal Substrate

Light Source

MaskMask

Resist Resist

Metal Substrate

ARC ARC

ARCs absorb the photons and prevent them from reflecting back – the composition and capabilities of the ARC must be matched to the resist and the light source.

PFOS Carefully Managed inPFOS Carefully Managed inSemiconductor ManufacturingSemiconductor Manufacturing

Small quantities of PFOS in “critical” applications

PFOS stringently managed in photolithography process to minimize emissions and exposure

End result: de minimis emissions and exposure

*Data Source: ESIA-SEMI 2002 PFOS Mass Balance

Photolithography EquipmentPhotolithography Equipment

Coater Bowl CabinetCoater Bowl

Semiconductor IndustrySemiconductor IndustryPFOS Use in Perspective – EU CasePFOS Use in Perspective – EU Case

Industry Sector2003 EU Use

kg/year

Photographic Industry 1000

Semiconductor Related Photolithography 470

Hydraulic Fluids (Aviation) 730

Metal Plating 10000

2003 EU PFOS Use [kg/year]

Photographic Industry

Photolithography and semiconductors

Hydraulic Fluids

Metal Plating

Data source: RPA/BRE RRS August 2004

Generic Semiconductor PFOS Mass Balance Flow Generic Semiconductor PFOS Mass Balance Flow DiagramDiagram

PhotoCleans Develop

WetStrip

Incineration

Wastewater

DryStrip

Air Processing Steps

PFOS Waste Sinks

PFOSDestroyed

ResistChemical

DeveloperChemical

Trash (solid)In Some

Countries

ESIA-SEMI PFOS Mass Balance Example ESIA-SEMI PFOS Mass Balance Example

2002 Summary Total PFOS incinerated 196.5 kg Total PFOS released to wastewater 238.4 kg Total amount of PFOS used annually 435.9 kg % of PFOS incinerated 45%

Example in event of no PFOS use in developer: PFOS in EBR 85.3 kg PFOS in photoresist PAG & Surfactant 44.9 kg PFOS in TARC 104.1 kg PFOS in BARC 6.6 kg Total amount of PFOS used annually 240.9 kg

Total estimated PFOS released to wastewater 43.38 kg

% PFOS incinerated 82%

US Regulatory Action on PFOSUS Regulatory Action on PFOS

Following 3M action phasing out their PFOS products, USEPA issued rule essentially banning future uses of PFOS without new chemical approval

USEPA provided for three limited exemptions from the ban, including one for critical photolithography uses in the semiconductor industry – photoresists, ARCs, and surfactants

Exemption was based on showing by industry that: These chemicals are critical to semiconductor manufacturing Their use in semiconductor manufacturing is tightly controlled Releases to the environment are de minimis

EU SCHER Conclusions onEU SCHER Conclusions onSemiconductor PFOS UseSemiconductor PFOS Use

Scientific Committee on Health and Environment (SCHER) advises EU Commission on chemical risk management issues

Recent review of PFOS uses in Europe concluded:

“The contribution of the confirmed on-going industrial/professional uses to the overall risks for the environment and for the general public are probably negligible with regard to the sectors…[including] semiconductor industry…”

Source: SCHER report, February 2005 (Emphasis added)

ALTERNATIVES

““Critical” vs. “Non-Critical” PFOS UsesCritical” vs. “Non-Critical” PFOS Uses

The distinction between “critical” and “non-critical” revolves around the availability, or expected availability, of technically-adequate substitutes where PFOS makes a unique contribution to the manufacturing process The semiconductor industry has eliminated non-critical uses,

substituting other chemicals that can serve the same purpose Remaining PFOS uses are those for which there are no readily

available substitutes (e.g., PAGs and ARCs). Finding substitutes for all critical PFOS uses will take many years of research and

qualification in high-volume manufacturing Among the issues to be faced

• Highly competitive industry;• Confidentiality issues; • Information not readily available; and• Because of low volumes, supplier interest is mixed

The PFOS Substitution ProcessThe PFOS Substitution Process

Considerable engineering required to make the PFOS-free alternatives work in manufacturing A semiconductor manufacturing is a combination of 100-400 steps

that are all partly dependent on each other Each technology is unique

• Any or all of the steps may be different, as well as their processing parameters (e.g. feature size)

A photolithography step in one technology is not equivalent to another technology, although sometimes they are similar

Introducing a new resist requires an extensive qualification for each technology use

• Up to 20 different resist uses could exist in one technology This qualification is costly and involves many engineers

• Development engineers working primarily on legacy resists cannot work on the newest technologies

• Total technology development timeline impacted

PFOS alternatives are not “drop-in” replacements

Semiconductor Industry Progress inSemiconductor Industry Progress inEliminating “Non-Critical” PFOS UsesEliminating “Non-Critical” PFOS Uses

Developers: Industry is in the process of phasing out PFOS-containing

developers because alternatives exist with same performance Etchants:

Alternatives with same performance exist and are used Emission controls:

PFOS containing solvent waste from semiconductor manufacturing is incinerated at high temperatures

Wastewater treatment: Wastewater point of use abatement technology under evaluation

(ISMT); Concentration in ng/l ALARA principle

Semiconductor Industry Progress inSemiconductor Industry Progress inEliminating “Non-Critical” PFOS UsesEliminating “Non-Critical” PFOS Uses

PFOS Consumption: Total use of PFOS continues to decline as the industry goes from

200 mm to 300 mm wafer size• Wafer area increases 125%• Amount of resist used drops from 3 ml to 1 ml per wafer 85

% less resist used on a per wafer basis

Voluntary Commitment: Industry is working on an INTERNATIONAL voluntary approach to

reduce emissions from PFOS use because the semiconductor manufacturing industry is truly a global industry

Known Health Effects of AlternativesKnown Health Effects of Alternatives

Lower homologues of Perfluoroalkyl sulfonates (PFAS) are thought be the most likely replacements for PFOS

Currently these are the only known potential alternatives Effectiveness is unknown

Studies* suggest that lower homologues of PFASs are not PBTs Low bioaccumulation factor (<1) Lower environmental persistence Nearly non-toxic to mammals Not acutely eco-toxic

* See 3M’s information at:

http://multimedia.mmm.com/mws/mediawebserver.dyn?TTTTTTB_LdgTmwUTfwUTTTj7zDsssssr

Industry Voluntary CommitmentIndustry Voluntary Commitment

Voluntary Commitment being developed by World Semiconductor Council member associations (SIA, ESIA, JSIA, etc.) Proposed elements include:

• Stop non-critical uses • Incineration of solvents containing PFOS• Equipment effluent optimization research• Work towards critical use phase-out• Research for alternatives to perfluorinated chemistry• Wastewater effluent evaluations of control technology• Reporting activity

BACKUP

SIA-SEMI U.S. PFAS Mass BalanceSIA-SEMI U.S. PFAS Mass Balance

Photolithography PFAS1 Mass Balance

2

PFAS Input3: kg PFAS in EBR: 0

kg PFAS in resist PAG & Surfactant: 1046kg PFAS in TARC: 48kg PFAS in BARC: 15 263 :kg PFAS in Developer

100% destroyed0.0 kg PFAS

resist, BARC 50% Airresist 7% on wafer resist

50% to solvent 1% on parts 50% on wafer40% TARC 100% BARC

50% 33%100% EBR to solvent 100% TARC 6 kg PFAS

50% to solid waste 93% resist to solvent 100% developer Recycled Industrial93% BARC to solvent 50% resist on wafer Material60% TARC to solvent 33%

6 kg PFASRecycled Industrial

5 kg PFAS 5 kg PFAS 1016 kg PFAS 319 kg PFAS MaterialIncineration Trash Incineration Wastewater 33%

6 kg PFAS56 kg PFAS Wastewater after developer phase-out Recycled Industrial

Material

1. PFAS represents the set of compounds that encompass all of the homologues of perfluoroalkane sulfonates. The term PFOS is reserved strictly for the C8 homologues of the PFAS family.2. Percentages are applied to the previous input. For example, the resist quantity to wastewater is calculated by taking 7% of the input value (1046 kg) and 50% of the resulting number = 37kg.3. PFAS inputs reflect actual SEMI member company survey data to date for calendar year 2000.

Dry Strip

Wet StripNPM

Recycle

PGMEA Recycle

Sulfuric Acid

Recycle

Cleans Photo Develop

Year 2002 data. Status January 19 2005

PFOS Input3: kg PFOS in EBR: 85.3

kg PFOS in resist PAG & Surfactant: 44.9kg PFOS in TARC: 104.1kg PFOS in BARC: 6.6 195 :kg PFOS in Developer

100% destroyed0.00 kg PFOS

resist, BARC 50% Airresist 7% on wafer resist

50% to solvent 1% on parts 50% on wafer40% TARC 100% BARC

50% 85%100% EBR to solvent 100% TARC 0.86 kg PFOS

50% to solid waste 92% resist to solvent 100% developer Still bottom

93% BARC to solvent 50% resist on wafer Incineration5

60% TARC to solvent 15%0.15 kg PFOS

Wastewater0.22 kg PFOS 0.23 kg PFOS 195.21 kg PFOS 238.21 kg PFOS

Incineration5

Trash to incineration Incineration5

Wastewater In case of no PFOS developer use:Total estimated potential release 43.38 kg PFOS/Yr

0.00 est. kg PFOS 0.00 kg PFOS 0.02 est. kg PFOS When PFOS developer eliminated Total amount PFOS used 240.9 kg PFOS/YrAir or wastewater Air or wastewater Air or wastewater Total estimated amount incinerated 196.52 kg PFOS/Yr

43.21 kg PFOS % PFOS incinerated 82%Wastewater

1. The term PFOS is reserved strictly for the C8 homologues of the PFAS family.2. Percentages are applied to the revised input. For example, the resist quantity to wastewater is calculated by taking 7% of the input value (44.9 kg) and 50% of the resulting number = 1.57kg.3. PFOS inputs reflect actual ESIA and SEMI member company survey data for calendar year 2002. 4. The ESIA and SEMI surveys were conducted independently from one another. Values used in the mass balance represent the high-end estimate from either survey.5. A Destruction Removal Efficiency (DRE) value of 99.99% is used for incineration to determine amount of annual emmisions to the environment estimated through that technology. Note: This is a conservative DRE number considering that this number is used for destruction as a recycled fuel in boilers and most of the material is likely incinerated at 99.9999% DRE.6. In blue: changes made in comparison with last version.7. In pink: numbers still to be optimized.

SYNOPSIS 2002Total input PFOS 435.9 kgTotal PFOS incinerated 196.5 kgTotal PFOS release to wastewater 238.4 kg

Cleans Photo Develop

Dry Strip

Wet StripNPM and PGMEA Recycle

Sulfuric Acid

Recycle

ESIA-SEMI PFOS Mass BalanceESIA-SEMI PFOS Mass Balance