Photomask Japan

16
Photomask Japan Photomask Japan Featuring: Fabrication Process Steps and Equipment for Photomasks (process and equipment for developing, etching, cleaning, and so on) Photomask Writing Tools and Technologies Metrology Tools and Technologies Inspection Tools and Technologies Repairing Tools and Technologies Mask Data Preparations EDA for Photomask Photomasks with RET: PSM, Masks with OPC Photomask relating Lithography Technologies NGL Masks: EUV, E-Beam, Imprint, etc. Mask Cost and Mask Development Strategy Materials of and for Photomasks Sponsored by Technical Program 17–19 April 2007 Annex Hall, Pacifico Yokohama • Yokohama, Japan Hear the latest research Network with your peers Shape the Future Symposium on Photomask and NGL Mask Technology XIV

Transcript of Photomask Japan

Page 1: Photomask Japan

SPIE • spie.org/events/jpm • Tel: +1 360 676 3290 • Fax: +1 360 647 1445 • [email protected] 1

Photomask JapanPhotomask Japan

Featuring:

• Fabrication Process Steps and Equipmentfor Photomasks (process and equipment fordeveloping, etching, cleaning, and so on)

• Photomask Writing Tools and Technologies• Metrology Tools and Technologies• Inspection Tools and Technologies• Repairing Tools and Technologies• Mask Data Preparations• EDA for Photomask• Photomasks with RET: PSM, Masks with

OPC• Photomask relating Lithography

Technologies• NGL Masks: EUV, E-Beam, Imprint, etc.• Mask Cost and Mask Development Strategy• Materials of and for Photomasks

Sponsored by

Technical Program

17–19 April 2007Annex Hall, Pacifico Yokohama • Yokohama, Japan

Hear the latest research • Network with your peers • Shape the Future

Symposium on Photomask and NGL Mask Technology XIV

Page 2: Photomask Japan

SPIE • spie.org/events/jpm • Tel: +1 360 676 3290 • Fax: +1 360 647 1445 • [email protected]

This program is based on commitments received up to the time of publicationand is subject to change without notice.

Photomask Japan 2007

Condensed ScheduleTuesday 17 April9.00 to 09.10 Opening Remarks

9.10 to 10.40 Session 1 - Writing Tools and Technologies

10.40 to 11.00 Refreshment Break

11.00 to 12.30 Session 2 - Progressive Defects

12.30 to 13.40 Lunch Break

13.40 to 14.40 Session 3 - Process and Material I

14.40 to 16.00 Session 4 - Process and Material II

16.00 to 16.10 Break

16.10 to 18.30 Session 5 - Poster Session/Display

5a - Mask Cost and Mask Development Strategies

5b - Process and Material

5c - Progressive Defects

5d - Writing Tools and Technologies

5e - Metrology

5f - Inspection

5g - Repair

5h - MDP

5i - EDA for Photomask

5j - Simulation

5k - OPC

5l - Technologies Relating to Lithography

5m - NGL

18.30 to 20.00 Banquet

Wednesday 18 AprilRoom A Room B

09.00 to 10.50 Session 6A - NGL I 09.00 to 10.40 Session 6B - EDA for Photomask

10.50 to 11.10 Refreshment Break 10.40 to 11.10 Refreshment Break

11.10 to 12.30 Session 7A - NGL II 11.10 to 12.10 Session 7B - MDP

12.30 to 13.40 Lunch Break 12.10 to 13.40 Lunch Break

13.40 to 15.30 Session 8 - Metrology and Repair

15.30 to 16.00 Refreshment Break

16.00 to 17.40 Session 9 - Inspection

17.40 to 18.00 Refreshment Break

18.00 to 20.00 Panel Discussion

Thursday 19 April09.00 to 10.50 Session 10 - DFM

10.50 to 11.10 Refreshment Break

11.10 to 12.10 Session 11 - Simulation

12.10 to 13.20 Lunch Break

13.20 to 15.10 Session 12 - Lithography

15.10 to 15.30 Refreshment Break

15.30 to 17.10 Session 13 - OPC

17.10 to 17.30 Closing Address

Page 3: Photomask Japan

SPIE • spie.org/events/jpm • Tel: +1 360 676 3290 • Fax: +1 360 647 1445 • [email protected] 3

Conference 6607Tuesday-Thursday 17-19 April 2007 • Proceedings of SPIE Vol. 6607

Photomask and Next Generation LithographyMask Technology XIVSymposium Chair: Toshiyuki Horiuchi, Tokyo Denki Univ. (Japan)

Advisory Committee Chair: Yasuo Tarui, Tokyo Univ. of Agriculture and Technology (Japan)

Advisory Committee: Masanori Komuro, National Institute of Advanced Industrial Science and Technology (Japan); Masatoshi Migitaka, ToyotaTechnological Institute (Japan); Hiroaki Morimoto, Toppan Printing Co., Ltd. (Japan); Norio Saitou, Nippon Institute of Technology (Japan); Yoshio Tanaka,Luminescent Technologies, Inc. (Japan)

Organizing Committee Chair: Toshiyuki Horiuchi, Tokyo Denki Univ. (Japan)

Organizing Committee Vice Chair: Masato Shibuya, Tokyo Polytechnic Univ. (Japan)

Organizing Committee: Parkson W. Chen, Taiwan Mask Corp. (Taiwan); Naoya Hayashi, Dai Nippon Printing Co., Ltd. (Japan); Masashi Iwatsuki, JEOL Ltd.(Japan); Hiroichi Kawahira, Sony Corp. (Japan); Masaomi Kameyama, Nikon Corp. (Japan); Takashi Kumagai, Semiconductor Equipment and MaterialsInternational (Japan); Hirokazu Miyoshi, Toppan Printing Co., Ltd. (Japan); Ichiro Mori, Semiconductor Leading Edge Technologies, Inc. (Japan); OsamuNagarekawa, HOYA Corp. (Japan); Yasushi Ohkubo, HOYA Corp. (Japan); Patricia Marmillion, SEMATECH, Inc. (USA); Patrick M. Martin, Photronics, Inc.(USA); Akiyoshi Suzuki, Canon Inc. (Japan); Yoshiki Suzuki, KLA-Tencor Japan Ltd. (Japan); Tadahiro Takigawa, Brion Technologies KK (Japan); Uwe F. W.Behringer, UBC Microelectronics (Germany); Hidehiro Watanabe, Toshiba Corp. (Japan); Tracy J. Weed, Synopsys, Inc. (USA); Masaki Yamabe, Associationof Super-Advanced Electronics Technologies (Japan); Anto Yasaka, SII NanoTechnology Inc. (Japan); Noboyuki Yoshioka, Renesas Technology Corp. (Japan)

Program Committee Chair: Hidehiro Watanabe, Toshiba Corp. (Japan)

Program Committee Vice Chairs: Brian J. Grenon, Grenon Consulting, Inc. (USA); Toshio Konishi, Toppan Printing Co., Ltd. (Japan); Hisashi Watanabe,Matsushita Electric Industrial Co., Ltd. (Japan)

Program Committee: Akihiko Ando, NEC Electronics Corp. (Japan); Curt Jackson, Toppan Photomasks, Inc. (USA); Jeff N. Farnsworth, Intel Corp. (USA);Thomas B. Faure, IBM Corp. (USA); Takamitsu Furukawa, Oki Electric Industry Co., Ltd. (Japan); Woo-Sung Han, Samsung Electronics Co., Ltd. (Korea);Shigeru Hirukawa, Nikon Corp. (Japan); Naoyuki Ishiwata, Fujitsu Ltd. (Japan); Masashi Iwatsuki, JEOL Ltd. (Japan); John Lin, Taiwan SemiconductorManufacturing Co., Ltd. (Taiwan); Kokoro Kato, SII NanoTechnology Inc. (Japan); Kong Son, KLA-Tencor Corp. (USA); Hiroshi Mohri, Dai Nippon PrintingCo., Ltd. (Japan); Yoshikazu Nagamura, Renesas Technology Corp. (Japan); Yoshinori Nagaoka, KLA-Tencor Japan Ltd. (Japan); Yasutoshi Nakagawa,JEOL Ltd. (Japan); Nobuyuki Nishiguchi, Semiconductor Technology Academic Research Ctr. (Japan); Hidetoshi Ohnuma, Sony Corp. (Japan); Patrick M.Martin, Photronics, Inc. (USA); Hisatake Sano, Dai Nippon Printing Co., Ltd. (Japan); Frank Shellenberg, Mentor Graphics Corp. (USA); Yoshiyuki Sekine,Canon Inc. (Japan); Yasunari Sohda, Hitachi, Ltd. (Japan); Osamu Suga, Semiconductor Leading Edge Technologies, Inc. (Japan); Yoichi Usui, HOYA Corp.(Japan)

Steering Committee Chair: Yasushi Ohkubo, HOYA Corp. (Japan)

Steering Committee Vice Chairs: Morihisa Hoga, Dai Nippon Printing Co., Ltd. (Japan); Hiroyuki Shigemura, Semiconductor Leading Edge Technologies, Inc.(Japan)

Steering Committee: Takayuki Abe, NuFlare Technology Inc. (Japan); Hideaki Hamada, NuFlare Technology Inc. (Japan); Kunihiro Hosono, RenesasTechnology Corp. (Japan); Hiroichi Kawahira, Sony Corp. (Japan); Masamichi Kobayashi, Canon Inc. (Japan); Toshio Konishi, Toppan Printing Co., Ltd.(Japan); Yutaka Miyahara, Fujitsu Ltd. (Japan); Takeshi Nakajima, KLA-Tencor Japan Ltd. (Japan); Masatoshi Oda, NTT-AT Nanofabrication Corp. (Japan);Kiyoshi Ogawa, Lasertec Corp. (Japan); Masao Otaki, Toppan Printing Co., Ltd. (Japan); Toshiyuki Takahashi, JEOL Ltd. (Japan); Hiroyoshi Tanabe, IntelCorp. (Japan); Tsuneo Terasawa, Semiconductor Leading Edge Technologies, Inc. (Japan); Hidehiro Watanabe, Toshiba Corp. (Japan); Hisashi Watanabe,Matsushita Electric Industrial Co., Ltd. (Japan); Kazuo Yokoyama, Cadence Design Systems, Inc. (Japan)

Tuesday 17 AprilOpening Remarks .......................................................... 09.00 to 09.10

Toshiyuki Horiuchi, Tokyo Denki Univ. (Japan)

SESSION 1: Writing Tools and TechnologiesChairs: Hidehiro Watanabe, Toshiba Corp. (Japan); Woo-Sung Han,

Samsung Electronics Co., Ltd. (Korea)

Room: .................................................................... Tues. 09.10 to 10.4009.10: The development of semiconductors in tomorrow (Invited Paper),Y. Toyoshima, Toshiba Corp. (Japan) ............................................... [6607-01]

09.40: Electron-beam mask writer EBM-6000 for 45nm HP node,J. Yashima, K. Ohtoshi, N. Nakayamada, H. Anze, T. Katsumata, T. Iijima,R. Nishimura, S. Fukutome, N. Miyamoto, S. Wake, Y. Sakai, S. Sakamoto,S. Hara, H. Higurashi, K. Hattori, K. Saito, R. Kendall, S. Tamamushi, NuFlareTechnology Inc. (Japan) ................................................................... [6607-02]

10.00: Embedded optical proximity correction for the Sigma7500 DUVmask writer, A. Österberg, L. Ivansen, H. Sjöberg, Micronic Laser SystemsAB (Sweden) .................................................................................... [6607-03]

10.20: Application of Sigma7500 pattern generator to X architecture and45-nm generation mask making, M. Yao, Taiwan SemiconductorManufacturing Co., Ltd. (Taiwan); T. Wang, Taiwan SemiconductorManufacturing Co., Ltd. (Japan); C. Chen, H. Lee, Y. Ku, TaiwanSemiconductor Manufacturing Co., Ltd. (Taiwan) ........................... [6607-04]

Refreshment Break .................................................................. 10.40 to 11.00

SESSION 2: Progressive DefectsChairs: Naoyuki Ishiwata, Fujitsu Ltd. (Japan); Kaustuve

Bhattacharyya, KLA-Tencor Corp. (USA)

Room: .................................................................... Tues. 11.00 to 12.3011.00: Progressive defects (Invited Paper), S. Shimada, Dai Nippon PrintingCo., Ltd. (Japan) .............................................................................. [6607-05]

11.30: Influence of environmental components on haze growth,J. Gordon, D. Chan, L. E. Frisa, C. Weins, Toppan Photomasks, Inc.(USA); F. F. Chen, M. Kozuma, Toppan Chunghwa Electronics Co., Ltd.(Taiwan); K. Kuroki, T. Matsuura, Toppan Printing Co., Ltd. (Japan); C.Chovino, Advanced Mask Technology Ctr. (Germany) .................... [6607-06]

11.50: Mask quality assurance in cleaning for haze elimination using flexiblemask specification, K. Otsubo, S. Yamaguchi, Y. Arisawa, H. Mukai, T. Kotani,T. Kamo, T. Tsutsui, O. Ikenaga, Toshiba Corp. (Japan) .................. [6607-07]

12.10: Substrate effects on the characteristics of haze defect formationon the photomask surface under various exposure condition, J. Choi,H. Lee, J. Jung, S. Chi, B. Cha, S. Choi, W. Han, Samsung Electronics Co.,Ltd. (Korea) ...................................................................................... [6607-08]

Lunch Break ............................................................................. 12.30 to 13.40

Page 4: Photomask Japan

SPIE • spie.org/events/jpm • Tel: +1 360 676 3290 • Fax: +1 360 647 1445 • [email protected]

SESSION 3: Process and Material IChairs: Hiroshi Mohri, Dai Nippon Printing Co., Ltd. (Japan); Curt

Jackson, Toppan Photomasks, Inc. (USA)

Room: .................................................................... Tues. 13.40 to 14.4013.40: 1 nm of local CD accuracy for 45nm-node photomask with lowsensitivity CAR for e-beam writer, K. Ugajin, M. Saito, M. Suenaga, T. Higaki,H. Nishino, H. Watanabe, O. Ikenaga, Toshiba Co., Ltd. (Japan) .... [6607-09]

14.00: Improvement of CD variation control for attenuated phase-shiftmask, M. Takagi, T. Mizoguchi, Y. Kojima, T. Saga, T. Haraguchi, Y. Fukushima,T. Tanaka, Y. Okuda, Toppan Printing Co., Ltd. (Japan); Y. Inazuki, H. Yoshikawa,S. Okazaki, Shin-Etsu Chemical Co., Ltd. (Japan) .......................... [6607-10]

14.20: Alternating phase-shift mask and binary mask for 45-nm nodeand beyond: the impact on the mask error control, Y. Kojima,M. Shirasaki, K. Chiba, T. Tanaka, Toppan Printing Co., Ltd. (Japan);K. Iwase, K. Ishikawa, K. Ozawa, Sony Corp. (Japan); Y. Inazuki,H. Yoshikawa, S. Okazaki, Shin-Etsu Chemical Co., Ltd. (Japan) ... [6607-11]

SESSION 4: Process and Material IIChairs: Toshio Konishi, Toppan Printing Co., Ltd. (Japan); Thomas B.

Faure, IBM Corp. (USA)

Room: .................................................................... Tues. 14.40 to 16.0014.40: Qualification of design-optimized multi-zone hotplate for 45nmnode mask making, L. Berger, Ctr. for Nanoelectronic Technologies(Germany); P. Dress, HamaTech APE GmbH & Co. KG (Germany); C. Chen,H. Lee, Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan) ... [6607-12]

15.00: Improvement of etching selectivity for 32nm node mask making,C. L. Lu, L. Y. Hsia, T. H. Cheng, S. C. Chang, W. C. Wang, H. J. Lee, Y. C.Ku, Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan) ......... [6607-13]

15.20: Etch chamber matching for 45nm technology node, B. Sass,P. Nesladek, A. Wiswesser, Advanced Mask Technology Ctr.(Germany) ........................................................................................ [6607-14]

15.40: Measuring cavitation on a spinning mask, S. Osborne,Sigmameltec Ltd. (Japan); V. Baudiquez, Advanced Mask TechnologyCtr. (Germany); H. Takahashi, Sigmameltec Ltd. (Japan); E. Woster,Sigmameltec Ltd. (USA) ................................................................... [6607-15]

Break ........................................................................................ 16.00 to 16.10

✔ Posters-TuesdayRoom: .................................................................... Tues. 16.10 to 18.30

Short oral presentations for poster papers are not provided. Posterpresentations will be from 16.10 to 18.30

Poster Session 5a:Mask Cost and Mask Development Strategies

✔ Photomask manufacturing defect density improvement through earlydetection of defects, A. J. Watts, K. C. Racette, K. W. Collins, C.Deverich, P. A. Rabidoux, D. B. Sullivan, M. J. Barrett, IBM Systems andTechnology Group (USA) .............................................................. [6607-61]

Poster Session 5b: Process and Material✔ Overcoming the chrome mask etch challenges of 45-nm and beyond,

M. Chandrachood, M. Grimbergen, K. Yu, I. M. Ibrahim, S. Panayil,A. Kumar, Applied Materials, Inc. (USA) ....................................... [6607-62]

✔ Process characterization of an advanced developer system for E-beam lithography, A. Feicke, M. Herrmann, C. Paschold, W. Porsche,Advanced Mask Technology Ctr. (Germany); P. Tichy, Tokyo Electron Ltd.(Germany) ..................................................................................... [6607-63]

✔ Overcoming quartz mask etch challenges for 45-nm and beyond,M. Chandrachood, M. Grimbergen, T. Y. B. Leung, S. Panayil,A. Kumar, Applied Materials, Inc. (USA) ....................................... [6607-64]

✔ Study of mask structure for 45-nm node based on manufacturabilityand lithographic performance, J. Doh, S. Kim, B. Kim, S. Choi, W. Han,Samsung Electronics Co., Ltd. (Korea) ......................................... [6607-65]

✔ Pellicle factors affecting finished photomask flatness, K. Racette,A. Watts, IBM Corp. (USA); Y. Sasaki, Toppan Electronics (Japan);T. Matsumura, Toppan Printing Co., Ltd. (Japan); Y. Kikuchi, ToppanElectronics (Japan); M. J. Barrett, IBM Corp. (USA) ..................... [6607-66]

✔ The optimization of CD uniformity and measurement on mask andwafer, Y. Choi, M. Kim, O. Han, Hynix Semiconductor Inc.(Korea) .......................................................................................... [6607-67]

✔ Verification of the modified model of drying process of a polymerliquid film on a flat substrate by experiment (3), using organic solvent,H. Kagami, Nagoya College (Japan) ............................................. [6607-68]

Poster Session 5c: Progressive Defects✔ Real-time trace ambient ammonia monitor for haze prevention,

K. Nishimura, Y. Sakaguchi, HORIBA Ltd. (Japan); E. Crosson,E. Wahl, C. Rella, Picarro, Inc. (USA) ............................................ [6607-69]

✔ Threshold residual ion concentration on photomask surface toprevent haze defects, J. M. Kim, J. C. Lee, D. S. Kang, D. H. Lee,C. Shin, M. H. Choi, S. S. Choi, PKL-Photronics (Korea) ............. [6607-70]

✔ The process latitude dependency on local photomask haze defect inthe 70-nm intensity binary mask, Y. M. Kang, S. J. Kim, J. B. Park,W. Chang, S. W. Park, Hanyang Univ. (Korea); J. S. Kim, Seoul NationalUniv. (Korea); H. K. Cho, H. K. Oh, Samsung Electronics Co., Ltd.(Korea) .......................................................................................... [6607-71]

Poster Session 5d: Writing Tools and Technologies✔ Reduction of resist heating effect by writing order optimization, part

II, K. Goto, M. Kimura, JEOL Ltd. (Japan); T. Komagata, JEOL USA Inc.(USA); Y. Nakagawa, JEOL Ltd. (Japan) ....................................... [6607-72]

✔ A study of EB pattern write system design for 22-nm node and beyond,S. Tamamushi, H. Hamada, NuFlare Technology Inc. (Japan) ...... [6607-73]

✔ Study of heating effect on CAR in electronbeam mask writing,T. Kamikubo, M. Hiramoto, J. Yashima, M. Takahashi, R. Nishimura,T. Katsumata, H. Anze, H. Sunatoshi, S. Tamamushi, NuFlare TechnologyInc. (Japan); M. Ogasawara, Toshiba Corp. (Japan) ..................... [6607-74]

✔ Fundamental limit of ebeam lithography, W. Cheng, Intel Corp.(USA) ............................................................................................. [6607-75]

✔ New PEC optimization for the mask fabrication of sub-50-nmmemory device, S. Lee, H. Lee, D. Nam, D. Ryu, J. Park, B. Kim, S. Choi,W. Han, Samsung Electronics Co., Ltd. (Korea) ........................... [6607-76]

✔ OPC performance of DUV and ebeam lithography through patternperformance on wafer result, S. Jeong, Y. Kim, J. Kim, J. Lee, Y. Lee,K. Kim, Dongbu Electronics Co., Ltd. (Korea) .............................. [6607-77]

Poster Session 5e: Metrology✔ A SEM-based system for photomask placement metrology, M. Lau,

Y. O. Korobko, Intel Corp. (USA) .................................................. [6607-78]✔ Methodology of adhesive energy for photomask fabrication using

scanning probe microscopy, S. Shimada, T. Shimomura, K. Yoshida,M. Kurihara, H. Mohri, N. Hayashi, Dai Nippon Printing Co., Ltd.(Japan) .......................................................................................... [6607-79]

✔ Matching of different CD-metrology tools for global CD signature onphotomasks, E. M. Zerbe, T. Marschner, C. Utzny, J. Richter, AdvancedMask Technology Ctr. GmbH & Co KG (Germany) ....................... [6607-80]

✔ Automated aerial image-based critical-dimension metrology initiatedby pattern marking within photomask layout data, G. Davis, MentorGraphics Corp. (USA); S. Y. Choi, E. H. Jung, Samsung Electronics Co.,Ltd. (Korea); A. Seyfarth, Carl Zeiss SMS GmbH (Germany); E. Poortinga,Carl Zeiss SMT Inc. (USA) ............................................................ [6607-81]

✔ Application of exposure simulation system to CD control investigationat 130-nm photolithography node, Y. Huang, N. Chen, Yuan Ze Univ. andToppan Chunghwa Electronics Co., Ltd. (Taiwan); J. Chou, J. Chang, ToppanChunghwa Electronics Co., Ltd. (Taiwan) ..................................... [6607-82]

Poster Session 5f: Inspection✔ Enhancing productivity and sensitivity in mask production via a fast

integrated T+R patteen inspectiond and STARlight2™ contaminationinspection on critical layers, J. P. Heumann, T. Schulmeyer, AdvancedMask Technology Ctr. (Germany); J. Sier, H. Schmalfuss, M. Lang,K. Bhattacharyya, E. H. Lu, KLA-Tencor Corp. (USA) .................. [6607-83]

✔ Evaluation of the printability of contact hole patterns with variousdefects using the Litho2 detector, K. J. Seo, S. I. Lee, H. Y. Kim, D. H.Hwang, S. P. Kim, O. Han, Hynix Semiconductor Inc. (Korea); K. H. Park,E. J. Kim, N.W. Kim, S. Choi, E. Lu, C. Chen, P. Yu, D. Kim, S. Lohokare,B. Eynon, Jr.. KLA-Tencor Corp. (USA) ........................................ [6607-84]

Conference 6607 (continued)

Page 5: Photomask Japan

SPIE • spie.org/events/jpm • Tel: +1 360 676 3290 • Fax: +1 360 647 1445 • [email protected] 5

✔ Impact of transmitted and reflected light inspection on maskinspectability, defect sensitivity and mask design rule restrictions,Y. Kodera, Toppan Printing Co., Ltd. (Japan); K. Badger, E. Gallagher, IBMSystems and Technology Group (USA); S. Akima, Toppan Printing Co.,Ltd. (Japan); M. Lawliss, IBM Systems and Technology Group (USA);H. Ikeda, Toppan Printing Co., Ltd. (Japan); I. Stobert, IBM Systems andTechnology Group (USA) .............................................................. [6607-85]

✔ A novel run-time MEEF-driven defect disposition extending high-resolution contamination inspection to next generation photomask,T. Huang, KLA-Tencor Corp. (USA); W. Y. Chou, Y. Cheng, S. M. Yen, J.Cheng, United Microelectronics Corp. (Taiwan); J. Huang, B. Wang, E. Y.Chen, C. Hsiang, K. Bhattacharyya, KLA-Tencor Corp. (USA) ..... [6607-86]

✔ Recipe optimization of fab mask inspection for 180~90-nm maskslightning (SLF17/SLF27/SL437) throughput improvement, Y. M. Dai,J. Lin, Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan);K. Bhattacharyya, J. Wang, E. Chen, E. H. Lu, KLA-Tencor Corp.(USA) ............................................................................................. [6607-87]

✔ Novel glass inspection method for advanced photomask blanks,M. Tanabe, T. Kikuchi, Y. Ohkubo, HOYA Corp. (Japan) .............. [6607-88]

✔ Some issues in reticle inspection with features of wavelength scale inoptical inspection tools, D. Y. Kim, D. Chung, B. Cha, S. W. Choi,W. S. Han, Samsung Electronics Co., Ltd. (Korea) ....................... [6607-89]

✔ Development of captured image simulator for 199-nm maskinspection tools applicable for alternating phase-shifting masks,M. Shiratsuchi, Y. Honguh, Toshiba Corp. (Japan); R. Hirano, R. Ogawa,Advanced Mask Inspection Technology, Inc. (Japan) .................. [6607-90]

✔ Photomask defect extraction by using difference between areference image and a test image, Y. M. Ha, H. Jeong, Pohang Univ. ofScience and Technology (Korea) .................................................. [6607-91]

Poster Session 5g: Repair✔ Repairing clear defect of CR-mask using uv laser, J. Chen, J. Yang,

Beijing Univ. of Technology (China) .............................................. [6607-92]✔ Application of EB repair tool for 45-nm generation photomasks,

S. Kanamitsu, T. Hirano, K. Morishita, Toshiba Corp. (Japan) ...... [6607-93]✔ Integration of optical inspection and metrology functions into DUV

femtosecond laser repair tool for large area FPD photomasks,D. Ronning, D. Ducharme, Lite Enterprises Inc. (USA); L. Treyger, I. Koren,Controlled Semiconductor, Inc. (USA) .......................................... [6607-94]

Poster Session 5h: MDP✔ Making of P10-JOBDECK with OASIS and GDS-II fit for practical use,

M. Mori, Renesas Technology Corp. (Japan); S. Narukawa, K. Yamazaki,Dai Nippon Printing Co., Ltd. (Japan); I. Miyazaki, K. Hosono, RenesasTechnology Corp. (Japan) ............................................................. [6607-95]

✔ Fast file size estimation of mask data conversion from OASIS toGDS2, M. Endo, Y. Taniguchi, K. Nishizawa, K. Kato, SII NanoTechnologyInc. (Japan) ................................................................................... [6607-96]

✔ Photomask pattern complexity check system for VSB EB writerbefore MDP, S. Narukawa, H. Mohri, N. Hayashi, Dai Nippon Printing Co.,Ltd. (Japan); Y. Nagamura, K. Hosono, Renesas Technology Corp.(Japan) .......................................................................................... [6607-97]

✔ Distributed and adaptive facturing for sub-90-nm MDP, R. Pai,M. Pereira, N. Rao, C. S. Manu, D. S. S. Bhardwaj, S. Dutta, SoftJinTechnologies Pvt. Ltd. (India) ....................................................... [6607-98]

Poster Session 5i: EDA for Photomask✔ Performance evaluation of pixel versus edge-based OPC in

computational lithography, A. Sezginer, B. Yenikaya, Invarium Inc.(USA) ............................................................................................. [6607-99]

✔ Functionality and performance improvements with field-based OPC,B. D. Painter, K. N. Taravade, R. M. Lugg, J. P. Mayhew, G. Newell,Synopsys, Inc. (USA) .................................................................. [6607-100]

✔ Full-chip process and proximity compensation (PPC) for advancedtechnology node production, V. G. Kamat, A. Sezginer, Invarium Inc.(USA); C. Petti, V. Shih, Y. Chen, P. W. K. Poon, S. Radigan, SanDisk Corp.(USA) ........................................................................................... [6607-101]

✔ Investigation of pattern-based DFM in pre-OPC layout design,C. Wang, W. Wang, Q. Liu, Semiconductor Manufacturing InternationalCorp. (China); J. J. Wu, G. Zhang, H. Zeng, Anchor Semiconductor Inc.(China) ......................................................................................... [6607-102]

✔ An approach of auto-fix post OPC hot spots, C. Wang, Q. Liu,Semiconductor Manufacturing International Corp. (China); L. Zhang,Mentor Graphics Corp. (China) ................................................... [6607-103]

✔ Efficient post-OPC lithography hotspot detection using a novel OPCcorrection and verification flow, Q. Zhang, P. Adrichem, K. D. Lucas,Synopsys, Inc. (USA) .................................................................. [6607-104]

✔ More robust model built using SEM clibration, C. Wang, Q. Liu,Semiconductor Manufacturing International Corp. (China); L. Zhang,Mentor Graphics Corp. (China) ................................................... [6607-105]

Poster Session 5j: Simulation✔ Rigorous simulation study of mask gratings at conical illumination,

R. Köhle, Qimonda AG (Germany) .............................................. [6607-106]✔ Exact coupled-mode theory applied to thick mask modeling, G. A.

Allen, P. S. Davids, Intel Corp. (USA) .......................................... [6607-107]

Poster Session 5k: OPC✔ Implementation of double dipole lithography for 45-nm node poly and

diffusion layer manufacturing with 0.93NA, M. Wu, UnitedMicroelectronics Corp. (Taiwan); C. M. Hsu, S. D. Hsu, ASML MaskToolsInc. (USA); B. J. Lu, C. Cheng, W. Y. Chou, C. Yang, UnitedMicroelectronics Corp. (Taiwan) ................................................. [6607-108]

✔ New OPC method for contact layer to expand process margin, M. Oka,R. Tsutsui, H. Oishi, K. Tsuchiya, H. Ohnuma, Sony Corp. (Japan)[6607-109]

✔ Approach to analyze decomposition impact for photomask fabrication,N. Toyama, T. Adachi, Y. Inazuki, T. Sutou, T. Nagai, Y. Morikawa, H. Mohri,N. Hayashi, Dai Nippon Printing Co., Ltd. (Japan) ..................... [6607-110]

Poster Session 5l: Technologies Relating to Lithography✔ Extendibility of single mask exposure for practical ArF immersion

lithography, T. Adachi, Y. Inazuki, T. Sutou, T. Nagai, N. Toyama, Y. Morikawa,H. Mohri, N. Hayashi, Dai Nippon Printing Co., Ltd. (Japan) ..... [6607-112]

✔ The effect between absorber profile and wafer print process windowin ArF 6% att. PSM, J. Tzeng, B. Lee, J. Lu, M. Kozuma, ToppanChunghwa Electronics Co., Ltd. (Taiwan); N. Chen, W. Lin, A. Chung, H. Y.Choung, C. H. Wei, United Microelectronics Corp. (Taiwan) ...... [6607-113]

✔ Practical and robust method for illuminator optimization, S. Lee,Samsung Electronics Co., Ltd. (Korea); S. Lee, Synopsys, Inc. (Korea);Y. Lee, Y. Chun, S. Suh, S. Lee, Samsung Electronics Co., Ltd. (Korea);B. Falch, H. Park, Synopsys, Inc. (USA); H. Cho, Samsung ElectronicsCo., Ltd. (Korea) ......................................................................... [6607-114]

✔ 90-nm node strong alternative phase-shifting masks technology,M. Liu, C. Xie, J. Lu, J. Niu, R. Jia, Q. Yang, S. Long, Institute ofMicroelectronics (China) ............................................................. [6607-115]

✔ Inverse lithography technology (ILT): a natural solution for model-based SRAF at 45 nm and 32 nm, L. Pang, Y. Liu, D. S. Abrams,Luminescent Technologies, Inc. (USA) ....................................... [6607-116]

Poster Session 5m: NGL✔ EUV mask blank defect inspection strategies for 32-nm half-pitch

and beyond, S. Wurm, H. Han, P. A. Kearney, W. Cho, C. Jeon,SEMATECH, Inc. (USA) ............................................................... [6607-117]

✔ Thermal analysis of EUV mask under inspection laser beamirradiation, Y. Nishiyama, T. Amano, H. Shigemura, T. Terasawa, O. Suga,Semiconductor Leading Edge Technologies, Inc. (Japan) ......... [6607-118]

✔ A comparison study of Tantalum-nitrogen and chromium absorber inextreme ultraviolet mask fabrication using electron-beam lithographysimulation, G. Zhao, Institute of Electrical Engineering (China) andGraduate School of the Chinese Academy of Sciences (China); Y. Li,Institute of Electrical Engineering (China) ................................... [6607-119]

✔ Dry etch behavior of different TaN absorber layers for EUVL maskmaking, F. Letzkus, M. Irmscher, E. Roehrle, Institut für MikroelektronikStuttgart (Germany); G. Hess, K. Knapp, H. Seitz, SCHOTT Lithotec AG(Germany) ................................................................................... [6607-120]

✔ A novel etch method for TaBO/TaBN EUV mask, B. Wu, A. Kumar,Applied Materials, Inc. (USA) ...................................................... [6607-121]

✔ Evaluation of defect inspection sensitivity using 199-nm and 257-nminspection optics, T. Amano, Y. Nishiyama, H. Shigemura, T. Terasawa,O. Suga, Semiconductor Leading Edge Technologies, Inc. (Japan);H. Hashimoto, NuFlare Technology Inc. (Japan); S. Murakami, N. Kikuiri,Advanced Mask Inspection Technology, Inc. (Japan) ................ [6607-122]

Page 6: Photomask Japan

SPIE • spie.org/events/jpm • Tel: +1 360 676 3290 • Fax: +1 360 647 1445 • [email protected]

Conference 6607 (continued)

SESSION 7A: NGL IIChairs: Hisatake Sano, Dai Nippon Printing Co., Ltd. (Japan); Patrick

M. Martin, Photronics, Inc. (USA)

Room: .................................................................... Wed. 11.10 to 12.3011.10: Progress on EUV mask fabrication for 32-nm technology nodeand beyond, G. Zhang, P. Yan, T. Liang, S. Park, P. Sanchez, E. Y. Shu,A. Stivers, B. Lieberman, Y. Chao, P. Qu, Intel Corp. (USA) ............ [6607-26]

11.30: Scatterometry based profile metrology of two-dimensionalpatterns of EUV masks, I. Pundaleva, R. Chalykh, J. Lee, S. Choi, W. Han,Samsung Electronics Co., Ltd. (Korea) ............................................ [6607-27]

11.50: Imprint template fabrication for emerging markets, D. J. Resnick,G. Schmid, M. Miller, E. Thompson, G. Doyle, D. LaBrake, MolecularImprints, Inc. (USA) .......................................................................... [6607-28]

12.10: 3D template fabrication process for the dual Damascene NILapproach, J. Butschke, M. Irmscher, H. Sailer, IMS Chips(Germany) ........................................................................................ [6607-29]

Lunch Break ............................................................................. 12.30 to 13.40

Sessions 7A and 7B run concurrent

✔ Development of novel EUV mask handling techniques, M. Amemiya,K. Ota, T. Kamono, H. Kubo, Y. Usui, T. Taguchi, O. Suga, MIRAI-Semiconductor Leading Edge Technologies, Inc. (Japan) ......... [6607-123]

✔ Progress of NIL template making, S. Yusa, T. Hiraka, A. Kobiki,S. Sasaki, K. Ito, N. Toyama, H. Mohri, N. Hayashi, Dai Nippon PrintingCo., Ltd. (Japan) ......................................................................... [6607-124]

✔ Hybrid EB-writing technique with a 50 kV-SB writer and a 100 kV-VSBwriter for nanoimprint mold fabrication, M. Ishikawa, M. Sakaki,N. Kuwahara, H. Fujita, H. Sano, M. Hoga, N. Hayashi, Dai NipponPrinting Co., Ltd. (Japan) ............................................................ [6607-125]

Wednesday 18 April

Sessions 6A and 6B run concurrent

SESSION 6A: NGL IChairs: Osamu Suga, Semiconductor Leading Edge Technologies, Inc.

(Japan); Stefan Wurm, SEMATECH, Inc. (USA)

Room: .................................................................... Wed. 09.00 to 10.5009.00: Topic on NGL (Invited Paper), Rik Jonckheere, IMEC(Belgium) .......................................................................................... [6607-16]

09.30: Commercial EUV mask-blank readiness for 32-nm HPmanufacturing, P. Seidel, SEMATECH, Inc. (USA) ......................... [6607-17]

09.50: Electrostatical chuck for mask blank flatness control in extremeUltra-violet lithography, E. Y. Shu, A. R. Stivers, Intel Corp. (USA) [6607-18]

10.10: Multilayer bottom topography effect on actinic mask-blankinspection signal, T. Terasawa, T. Tanaka, O. Suga, MIRAI-SemiconductorLeading Edge Technologies, Inc. (Japan); T. Tomie, ASRC/National Instituteof Advanced Industrial Science and Technology (Japan) ................ [6607-19]

10.30: EUV-mask pattern inspection using current DUV reticleinspection tool, A. Fujii, T. Abe, S. Sasaki, H. Mohri, H. Imai, H. Takaya,Y. Satou, N. Hayashi, Dai Nippon Printing Co., Ltd. (Japan) ........... [6607-20]

Refreshment Break .................................................................. 10.50 to 11.10

SESSION 6B: EDA for PhotomaskChairs: Yoshikazu Nagamura, Renesas Technology Corp. (Japan);

Tracy J. Weed, Synopsys, Inc. (USA)

Room: .................................................................... Wed. 09.00 to 10.4009.00: Novel method for quality assurance of two-dimensional patternfidelity, S. Maeda, Semiconductor Co. Toshiba Corp. (Japan); S. Shibazaki,Toshiba Microelectronics Corp. (Japan); T. Nakajima, Toshiba InformationSystems Japan Corp. (Japan); R. Ogawa, Semiconductor Co. Toshiba Corp.(Japan) ............................................................................................. [6607-21]

09.20: Impact of mask pellicle effects to OPC quality, H. Koop,T. Schmöller, Synopsys, Inc. (Germany); W. Cheng, Intel Corp.(USA) ................................................................................................ [6607-22]

09.40: A fast two-stage OPC method in the OPC-aware layout designflow, Y. Chen, Z. Shi, X. Yan, Zhejiang Univ. (China) ....................... [6607-23]

10.00: DFM methodology for automatic layout hot spot removal,R. Ravikumar, T. Wong, Takumi Technology Corp. (USA) ................ [6607-24]

10.20: Model accuracy requirement for lithography-aware designinspection, L. Cai, H. Liu, Brion Technologies, Inc. (USA) .............. [6607-25]

Refreshment Break .................................................................. 10.40 to 11.10

SESSION 7B: MDPChairs: Kokoro Kato, SII NanoTechnology Inc. (Japan); Frank

Schellenberg, Mentor Graphics Corp. (USA)

Room: .................................................................... Wed. 11.10 to 12.1011.10: Shot based MRC flow by using full chip MRC tool, M. Ji, S. Jang,J. Choi, S. Choi, W. Han, Samsung Electronics Co., Ltd. (Korea) ... [6607-30]

11.30: Data exploder for variable shaped beam exposure, J. Nogatch,H. Kirsch, J. Shi, Synopsys, Inc. (USA) ............................................ [6607-31]

11.50: Layout and EB data reduction comparison of OASIS-basedapproach with format-specific reversible compressions, R. Pai,M. Pereira, C. S. M., A. Parchuri, SoftJin Technologies Private Ltd.(India) ............................................................................................... [6607-32]

Lunch Break ............................................................................. 12.10 to 13.40

Page 7: Photomask Japan

SPIE • spie.org/events/jpm • Tel: +1 360 676 3290 • Fax: +1 360 647 1445 • [email protected] 7

SESSION 8: Metrology and RepairChairs: Yoichi Usui, HOYA Corp. (Japan); Uwe Behringer, UBC

Microelectronics (Germany)

Room: .................................................................... Wed. 13.40 to 15.3013.40: Representative from EMLC (Invited Paper), ....................... [6607-33]

14.10: Novel solution for in-die phase control under scanner equivalentoptical settings for 45-nm node and below, S. Perlitz, U. Buttgereit,T. Scherübl, Carl Zeiss SMS GmbH (Germany) ............................... [6607-34]

14.30: Polarized transmittance-reflectance scatterometrymeasurements of 2D trench profiles on phase-shift masks, A. Gray, Univ.of California/Davis (USA); J. C. Lam, S. Chen, n&k Technology, Inc.(USA) ................................................................................................ [6607-35]

14.50: CD metrology by an immersion microscope with high NAcondenser lens for 45nm generation masks, T. Yamane, R. Taniguchi,T. Hirano, Toshiba Corp. Semiconductor Co. (Japan) ..................... [6607-36]

15.10: Requirements of nano-machining repair system for 45nm node,S. H. Lee, H. S. Shim, G. B. Kim, M. G. Sung, H. J. Kwon, S. W. Choi, W. S.Han, Samsung Electronics Co., Ltd. (Korea) ................................... [6607-37]

Refreshment Break .................................................................. 15.30 to 16.00

SESSION 9: InspectionChairs: Akihiko Ando, NEC Electronics Corp. (Japan); Jeff

Farnsworth, Intel Corp. (USA)

Room: .................................................................... Wed. 16.00 to 17.4016.00: Economics of reticle re-qualification methods in advanced waferfabs, K. Bhattacharyya, D. Sutherland, V. Hazari, KLA-Tencor Corp. (USA);T. Higashiki, Toshiba Corp. (Japan) .................................................. [6607-38]

16.20: Results from a new die-to-database reticle inspection system,W. Broadbent, P. Yu, KLA-Tencor Corp. (USA); I. Yokoyama, KLA-TencorJapan Ltd. (Japan); S. Akima, K. Seki, Toppan Printing Co., Ltd.(Japan) ............................................................................................. [6607-39]

16.40: Specification and performance of the newly developed maskinspection system (NPI-5000), T. Nomura, S. Endo, H. Tsuchiya, Y. Saito,M. Tateno, NuFlare Technology, Inc. (Japan); K. Takahara, I. Isomura,K. Yamashita, Y. Tamura, R. Hirano, S. Murakami, Y. Tsuji, N. Kikuiri,Advanced Mask Inspection Technology, Inc. (Japan) ...................... [6607-40]

17.00: High performance reticle inspection tool for the 65-nm node andbeyond, T. Y. Kang, C. H. Chen, C. H. H. Ho, T. H. Hsu, Y. C. Ku, TaiwanSemiconductor Manufacturing Co., Ltd. (Taiwan); T. Bashomatsu,K. Matsumura, NEC Corp. (Japan) .................................................. [6607-41]

17.20: Cost-effective pattern inspection system using Xe-Hg lamp inchallenge of sub-65-nm node, W. S. Kim, J. Park, D. H. Chung,S. W. Choi, W. S. Han, Samsung Electronics Co., Ltd. (Korea);H. Takizawa, K. Miyazaki, Lasertec Corp. (Japan) ........................... [6607-42]

Refreshment Break .................................................................. 17.40 to 18.00

Panel Discussion ................................................... Wed. 18.00 to 20.00Double Exposure & Double Patterning for

32nm Technology Node

Thursday 19 AprilSESSION 10: DFM

Chairs: Hidetoshi Ohnuma, Sony Corp. (Japan); Robert Naber,Cadence Design Systems, Inc. (USA)

Room: .................................................................. Thurs. 09.00 to 10.5009.00: Topic on DFM (Invited Paper), J. Lee, Magma Design Automation(USA) ................................................................................................ [6607-43]

09.30: New method of estimate systematic yield caused by lithographymanufacturability, H. Oishi, M. Oka, K. Tsuchiya, K. Ogawa, H. Ohnuma,Sony Corp. (Japan) .......................................................................... [6607-44]

09.50: DFM considering printability and device performance for sub-60nm memory devices, S. Choi, D. Jung, J. Hong, J. Choi, M. Yoo, J. Kong,Samsung Electronics Co., Ltd. (Korea) ............................................ [6607-45]

10.10: Study of hotspot detection using neural network judgment,N. Norimasa, K. Suzuki, K. Takahashi, M. Minemura, S. Yamauchi,T. Okada, Fujitsu Ltd. (Japan) .......................................................... [6607-46]

10.30: Characterization of inverse SRAF for trenches on 45nm technologyactive layer, J. Urbani, J. Chapon, STMicroelectronics (France); J. Belledent,A. Borjon, C. Couderc, NXP Semiconductors (France); J. Di-Maria, F. Foussadier,STMicroelectronics (France); C. Gardin, Freescale Semiconductor, Inc. (France);G. Kerrien, STMicroelectronics (France); L. LeCam, NXP Semiconductors(Netherlands); C. Martinelli, STMicroelectronics (France); P. Montgomery, N.Morgana, Freescale Semiconductor, Inc. (USA); J. Planchot, F. Robert,STMicroelectronics (France); Y. Rody, NXP Semiconductors (France);M. Saied, Freescale Semiconductor, Inc. (France); F. Sundermann,STMicroelectronics (France); Y. Trouiller, LETI-CEA (France); F. Vautrin,STMicroelectronics (France); B. Wilkinson, Freescale Semiconductor, Inc. (USA);E. Yesilada, Freescale Semiconductor, Inc. (France) ....................... [6607-47]

Refreshment Break .................................................................. 10.50 to 11.10

SESSION 11: SimulationChair: Yoshiyuki Sekine, Canon Inc. (Japan); Shauh-Teh Juang,

Brion Technologies, Inc. (USA)

Room: .................................................................. Thurs. 11.10 to 12.1011.10: Improved methods for lithography model calibration, C. A. Mack,Lithoguru.com (USA) ........................................................................ [6607-48]

11.30: Evaluation of lithography simulation model accuracy for hotspot-based mask quality assurance, S. Masaki, M. Kariya, S. Tanaka,K. Hashimoto, S. Inoue, Toshiba Corp. (Japan) ............................... [6607-49]

11.50: Mask topography effects of hole patterns on hyper-NAlithography, A. Mimotogi, M. Itoh, S. Mimotogi, K. Sato, T. Sato, S. Tanaka,Semiconductor Co. Toshiba Corp. (Japan) ...................................... [6607-50]

Lunch Break ............................................................................. 12.10 to 13.20

SESSION 12: LithographyChairs: Hisashi Watanabe, Matsushita Electric Industrial Co., Ltd.

(Japan); Chris Mack, Lithguru.com (USA)

Room: .................................................................. Thurs. 13.20 to 15.1013.20: Topic on Lithography (Invited Paper), A. Yamada, Canon Inc.(Japan) ............................................................................................. [6607-51]

13.50: LER transfer from a mask to wafers, H. Tanabe, G. Yoshizawa,Y. Liu, V. L. Tolani, Intel Corp. (USA); K. Kojima, N. Hayashi, Dai NipponPrinting Co., Ltd. (Japan) ................................................................. [6607-52]

14.10: Optical performance enhancement technique for 45-nm nodewith binary mask, J. Jung, H. Kim, J. Lee, S. Choi, W. Han, SamsungElectronics Co., Ltd. (Korea) ............................................................ [6607-53]

14.30: Virtual lithography system to improve the productivity of high-mix/low-volume production, K. Yoshida, T. Sato, T. Kono, E. Yamanaka, M. Kariya,A. Inoue, S. Mimotogi, Semiconductor Co. Toshiba Corp. (Japan) . [6607-54]

14.50: Printing contact hole with double exposure mask by using IMLtechnology, C. M. Hsu, D. J. Van Den Broeke, S. D. Hsu, J. F. Chen, X. Shi,T. L. Laidig, K. E. Wampler, ASML MaskTools Inc. (USA) ................ [6607-55]

Refreshment Break .................................................................. 15.10 to 15.30

SESSION 13: OPCChairs: John Lin, Taiwan Semiconductor Manufacturing Co., Ltd.

(Taiwan); Shigeru Hirukawa, Nikon Corp. (Japan)

Room: .................................................................. Thurs. 15.30 to 17.1015.30: The impact of scanner vectorization on optical proximitycorrection, J. K. Tyminski, Nikon Precision Inc. (USA); W. Shao, Y. Cao,H. Liu, J. N. Wiley, Y. Zhang, M. Feng, Brion Technologies, Inc. (USA);T. Matsuyama, T. Nakashima, Nikon Corp. (Japan) ......................... [6607-56]

15.50: Stray-light implementation in optical proximity correction (OPC),Y. Kim, D. Kim, I. Kim, S. Kim, S. Suh, S. Lee, S. Lee, H. Cho, J. Moon,Samsung Electronics Co., Ltd. (Korea); S. Lee, Synopsys, Inc. (Korea); K.Taravade, Synopsys, Inc. (USA) ....................................................... [6607-57]

16.10: OPC models for 45-nm technology and beyond: a lack of fit study,J. Belledent, NXP Semiconductors (France); G. Kerrien, STMicroelectronics(France); C. Gardin, Freescale Semiconductor, Inc. (France) .......... [6607-58]

16.30: Merged contact OPC using pattern type specific modeling andcorrection, S. Suh, S. Kim, S. Lee, S. Lee, Y. Kim, H. Cho, SamsungElectronics Co., Ltd. (Korea) ............................................................ [6607-59]

16.50: Optimal photomask printability using interactive OPC with a newcalibration methodology, E. Barouch, S. L. Knodle, Boston Univ.(USA) ................................................................................................ [6607-60]

Page 8: Photomask Japan

SPIE • spie.org/events/jpm • Tel: +1 360 676 3290 • Fax: +1 360 647 1445 • [email protected]

General Information

LocationAnnex Hall, Pacifico Yokohama1-1-1 Minato Mirai, Nishi-Ku, Yokohama 220-0012Phone: +81-45-221-2155

Registration and Information HoursRegistration fee will increase to ¥53,000 1 April 2007 onwards. Pre-registration is highlyrecommended due to the expected large number of participants. Participants will avoidlines and are able to quickly pickup registration materials on-site.

1 April onwards, all attendees must register on-site. On site registrants areaccepted following Registration Desk opening hours.

Registration badges are required for admittance to the conference and the TechnicalExhibition.

Registration DeskLocated at 2F, Annex Hall, Pacifico Yokohama.Monday 16 April ................................................................................... 17:00 to 18:00Tuesday 17 April .................................................................................... 8:00 to 18:30Wednesday 18 April ............................................................................... 8:30 to 18:30Thursday 19 April ................................................................................... 8:30 to 16:00

Speakers’/ Chairs’ Registration DeskLocated side of the session room, Annex Hall F201+ F202.Tuesday 17 April .................................................................................... 8:00 to 16:30Wednesday 18 April ............................................................................... 8:30 to 18:00Thursday 19 April ................................................................................... 8:30 to 17:30

Passport and VISATo visit Japan, you must have a valid passport. A visa is required for citizens of countriesthat do not have visa-exempt agreements with Japan. Please contact the nearestJapanese Embassy or Consulate for visa requirements.

Duty Free ImportPersonal effects and professional equipment can be brought into Japan duty free aslong as their contents and quantities are deemed reasonable by the customs officer.You can also bring in 400 cigarettes, 500 grams of tobacco or 100 cigars; 3 bottles ofalcoholic beverages; 2 ounces of perfume; and gifts and souvenirs whose total marketprice is less than 200,000 yen or its equivalent. There is no allowance for tobacco oralcoholic beverages for persons aged 19 years or younger. Strictly prohibited are firearmsand other types of weapons, and narcotics.

InsuranceThe organizer cannot accept responsibility for accidents that might occur. Delegatesare encouraged to purchase travel insurance before leaving your home country.Insurance plans typically cover accidental loss of belongings, medical costs in case ofinjury or illness, and other possible risks of international travel.

ClimateThe temperature in Yokohama during the period of the conference ranges between 12°and 20°C.

Currency ExchangeOnly Japanese yen (¥) is acceptable at regular stores and restaurants. Certain foreigncurrencies and credit cards may be accepted at a limited number of hotels, restaurantsand souvenir shops. You can buy yen at foreign exchange banks and other authorizedmoney exchangers on presentation of your passport.

Traveler’s Checks and Credit CardsTraveler’s checks are accepted only by leading banks and major hotels in principalcities, and the use of traveler’s checks in Japan is not as popular as in some othercountries. VISA, MasterCard, Diners Club, and American Express are widely acceptedat hotels, department stores, shops, restaurants and nightclubs.

TippingIn Japan, tips are not necessary anywhere, even at hotels and restaurants.

ElectricityElectric current is uniformly 100 volts, AC, throughout Japan, but with two differentcycles: 50 in eastern Japan including Yokohama and Tokyo, and 60 in western Japanincluding Kyoto and Osaka. Leading hotels in major cities have two outlets of 100 and220 volts but their sockets usually accept a two-leg plug only.

ShoppingShops and other sales outlets in Japan are generally open on Saturdays, Sundays andnational holidays as well as weekdays from 10:00 to 20:00. Department stores, however,are closed during one weekday, differing by store, and certain specialty shops may notopen on Sundays and national holidays.

Page 9: Photomask Japan

SPIE • spie.org/events/jpm • Tel: +1 360 676 3290 • Fax: +1 360 647 1445 • [email protected] 9

Hotel Accommodations

Page 10: Photomask Japan

SPIE • spie.org/events/jpm • Tel: +1 360 676 3290 • Fax: +1 360 647 1445 • [email protected]

Hotel Accommodations

Research driving technological innovation

spiedl.org

Broad spectrum of informationAccess over 230,000 editor-reviewed papersthat cover the expanding field of optical scienceand engineering—the foremost enabling technologyfor the 21st Century.

For subscription information:Tel.: +1 360 676 3290E-mail: [email protected]

■ Micro/Nanotechnology■ Sensor Technologies■ Biomedical Optics■ Defense & Security■ Communications■ Imaging■ Lighting & Energy■ Astronomy

SPIE is a not-for-profit international society dedicated tofurthering technological innovations.SPIE is a not-for-profit international society dedicated tofurthering technological innovations.

Page 11: Photomask Japan

SPIE • spie.org/events/jpm • Tel: +1 360 676 3290 • Fax: +1 360 647 1445 • [email protected] 11

Application Form for Hotel Accommodations

Page 12: Photomask Japan

SPIE • spie.org/events/jpm • Tel: +1 360 676 3290 • Fax: +1 360 647 1445 • [email protected]

Registration Information

Photomask Japan 2007 (PMJ2007) offers on-line registration this year.

Please visit: http://www.photomask-japan.org/ and register on-line at all possible. All payments must be

made in JAPANESE YEN(¥) by credit card or bank transfer.

[NOTE] On-line registration will be close on March 31.

After this date, attendees are urged to register on site at the Symposium.

REGISTRATION FEE:All registrations fee should be accompanied by full payment of the registration fee in Japanese Yen (¥).

Registration fees will increase after March 31, 2007.

Category Early On and after

Registration April 1

SPIE Member ¥43,000¥53,000

Non-member ¥48,000

Student** ¥10,000

Optional: Proceedings (CD-ROM) ¥12,000

Optional: Proceedings (Hardcopy) ¥12,000

- *Member number is required. (Please confirm that your membership will be valid until the symposium period.)**Students are required to send a copy of their student ID Card by Fax to +81-3-3292-1811 before the symposium.

- Fee includes admission to all sessions, a digest of papers, a banquet ticket and proceedings (either CD-ROM orHard Copy)

- Optional banquet tickets (¥6,000) are available only at on site.

REMITTANCEPayment of registration and other fee should be made in Japanese Yen by credit card or by bank transfer. It should

be completed by March 31, 2007.

[Bank details for remittance]

Bank Name: The bank of Tokyo Mitsubishi UFJ, Shin- Marunouchi Branch

Account No: 4753526 (Ordinary account)

Account Name: PMJ

[Credit Card]

VISA, MasterCard, Diners Club, AMEX, JCB will be accepted.

CONFIRMATION AND RECEIPTUpon receipt of your completed registration and full payment, you will receive a confirmation e-mail bearing your

registration number. Receipts will be issued upon payment at the Registration Desk during the Symposium.

CANCELLATION OF REGISTRATIONIn case of cancellation, a written notification must be sent to the Photomask Japan Secretariat on or before March 31,

2007. If received by March 31, 2007, your cancellation will be accepted and a refund of fees will be made. (Bank

handling charges will be deducted from the amount to be refunded.) If you pay by bank transfer, your A/C No., A/C

Name, Bank Name, Branch Name (Address) should be notified as well. No refunds will be made for cancellations

received from April 1, 2007 onwards.

Registration Information

Page 13: Photomask Japan

SPIE • spie.org/events/jpm • Tel: +1 360 676 3290 • Fax: +1 360 647 1445 • [email protected] 13

Registration Form

REGISTRATION DESK

During the symposium, the Registration Desk will be open as following at Annex Hall, Pacifico Yokohama.

Monday 16 April 17:00 to 18:00

Tuesday 17 April 8:00 to 18:30

Wednesday 18 April 8:30 to 18:30

Thursday 19 April 8:30 to 16:00

Registration and queries relating registration should be addressed to:

Photomask Japan Secretariat

c/o ICS Convention Design, Inc.

Tel +81-3-3219-3600 • Fax +81-3-3292-1811

e-mail: [email protected]

Page 14: Photomask Japan

SPIE • spie.org/events/jpm • Tel: +1 360 676 3290 • Fax: +1 360 647 1445 • [email protected]

Access Map

Annex Hall

Exhibition Hall

NationalConvention Hallof Yokohama

Annex Hall

Exhibition Hall

Bus/Large Vehicle Parking Lot

NationalConvention Hallof Yokohama

Kannai Area

Shinko Area

YokohamaPortside Area

Breezbay Hotel

On foot

YokohamaMuseum of Art

YokohamaMedia Tower

LeafMinato Mirai

HomecenterSekichu

Metropolitan ExpresswayYokohama-Haneda Line

Minato Mirai Ramp

Metropolitan ExpresswayYokohama-Haneda Line

Minato Mirai Ramp

Route16

1etu

oR

Yokohama Municipal Subway

Minato Mirai Line.Tokyu Toyoko Direct Line

Takashimacho Station

Yokohama Jackmall

Sea Bass Terminal

YokohamaTakashimayaDept.Store

tixE

tsaE

ama

hoko

Yno

itat

Sti

xE ts

eW

Yokohama Sky Bldg.Marui Department StoreYCATYokohama City Air Terminal

Yokohama SogoDept.Store

Post Office

Taxi Terminal

Yokohama-Minato MiraiManyo-club

Yokohama World Porters

Navios Yokohama

YokohamaCosmo World

JICA YokohamaInternational Center

Inter ContinentalThe Grand Yokohama

ConferenceCenter

KokusaibashiBridge

Pan Pacific HotelYokohama

Queen's SquareYokohama

Nippon-MaruMemorial Park

Yokohama Royal Park Hotel

NissekiYokohama Bldg.

The Bank of YokohamaHead Office Bldg.

LandmarkPlaza

Mitsubishi Heavy Industries Bldg.

Kenmin Kyosai Plaza Bldg.Minato Mirai 21Information Center

JR LineSakuragicho Station

Sakuragicho Station

yawkl

aW g

nivoM

The Landmark Tower Yokohama

Bus Taxi Terminal

Cross GateYokokhamaSakuragichoWashinton Hotel

Pukarisanbashi PierSea Bass Terminal

Shinko Park

Unga Park

GENTOYOKOHAMA

Aka-Renga Soko

Aka-Renga Park

Shinko Pier

Yokohama Red Brick Warehouse

.atS iagakuhC .ihcamotoM oT.atS ihcimahsaB

.eniL iariM otaniM

Yokohama Bay

to Yamashita Park

Sea Bassto Yokohama

Yokohama MinatoMirai Hall

Police box

Rinko Park

Rinko Park Parking Lot

Minato MiraiBusiness Square

Cross-Patio

Keiyu Hospital

Minato Mirai Sta.

Yokohama Sakuragi Post Office

.atS amihsakatnihS

noitatS amahokoY

Kanagawa

Metropolitan Expressw

ay Route1

Yokohama-Haneda Line

awa

gana

K

1etu

oR y

aws

serp

xE n

atilo

port

eM

eniL

aden

aH-a

maho

koY

ihsa

buko

knaB

egdir

B

i hci

M-ah

siK

edan

emo

r P

iro

D ar

ukaS

eune

vA

Kokusai Odori Boulevard

eune

vA i

roD i

kaye

K

eune

vA i

roD

ohcI

Minato Mirai Odori Boulevard

iroD ekakuzuS

eunevA

From Minato Mirai Sta.Take Queen's Square Yokohama Exit

and go upto 2nd Floor

by Red Escalator

Marinos town

P3

P2

P1

MAP

1-1-1 Minatomirai, Nishi-ku, Yokohama200-0012, Japan

Information 1 +81(45)221-2155

URL:http://www.pacifico.co.jp

P1

P1Annex HallAnnex Hall

Exhibition HallExhibition Hall

Kokusai Odori Boulevard

NationalConvention Hallof Yokohama

NationalConvention Hallof Yokohama

Inter ContinentalThe Grand YokohamaInter ContinentalThe Grand Yokohama

ConferenceCenterConferenceCenter

To ParkingTo Parking

To ParkingTo Parking

Harbor LoungeHarbor Lounge

P1

To ParkingTo Parking

Page 15: Photomask Japan

SPIE • spie.org/events/jpm • Tel: +1 360 676 3290 • Fax: +1 360 647 1445 • [email protected] 15

Get the latest editor-reviewed research . . . much faster!

Printed Proceedings of SPIEYou can get the Yellow book faster than ever before: within six weeks ofthe meeting.

Vol# Title (Editor) Prepublication Price

6607 Photomask and Next-Generation Lithography MaskTechnology XIV (Y. Tarui/M. Komuro/M. Migitaka/H. Morimoto/N. Saitou/Y. Tanaka) . . . . . . . . . . . . . . . . . . . . . . . . . . . $75

SPIE Digital LibrarySubscriptionInnovation never rests—and neither does thecompetition.

A subscription to the SPIE Digital Library can helpyou keep pace with the latest technologicaladvancements—and your competition—as themost extensive, dynamic, and essential resourceavailable for today’s innovators.

Broad spectrum of contentBrowse and choose from over 227,000 Journal andProceedings articles from 1990 to the present:• Proceedings of SPIE• Optical Engineering• Journal of Biomedical Optics• Journal of Electronic Imaging• Journal of Micro/Nanolithography, MEMS, andMOEMS• Journal of Applied Remote Sensing• Journal of Nanophotonics

Fuel for thoughtSubscribers save precious time, leverage 50 yearsof experience, and benefit from the preeminentsource for photonics-based content.• Powerful search and browse tools• New content added daily• Rapid publication, utilizing e-First• E-mail Alerts for the latest published articles• Reference and forward linking via CrossRef• Citation meta data available for easy download• Article collections for group collaboration

Powering your patentsWith their emphasis on cutting-edge appliedscience and engineering, Journal and Proceedingspapers from the SPIE Digital Library are cited in USpatents at almost twice the rate of the competition:35,000 SPIE papers are cited in nearly 20,000USPTO high-technology patents.

spiedl.org

Searchable CD-ROMwith MultipleConferencesCD-ROMs are now available within8 weeks of the meeting!Full-text papers from all the Proceedingsvolumes.

PC, Macintosh, and Unix compatible.

Photomask Japan 2007:Photomask and Next-Generation Lithography MaskTechnology XIV(Includes Volume 6607)Order No. CDS262 • Est. pub. June 2007

Meeting attendee: $55Nonattendee member price: $95Nonattendee nonmember price: $130

Page 16: Photomask Japan

SPIE • spie.org/events/jpm • Tel: +1 360 676 3290 • Fax: +1 360 647 1445 • [email protected]

Technical Program

Non-Profit Org.U.S. Postage Paid

Society ofPhoto-Optical

InstrumentationEngineers

P.O. Box 10Bellingham, WA 98227-0010 USA

• Interact with your colleagues•Hear leading-edge research•Get real-time feedback

spie.org/events/jpm

Photomask JapanPhotomask Japan17–19 April 2007Annex Hall, Pacifico Yokohama • Yokohama, Japan

Symposium on Photomask and NGL Mask Technology XIV