Low Power Techniques

65
Low Power Techniques Keshava Murali [email protected] http://asic-soc.blogspot.com

description

Overview of low power techniques

Transcript of Low Power Techniques

Page 1: Low Power Techniques

Low Power Techniques

Keshava Muralishavakmmgmailcom

httpasic-socblogspotcom

Increasing device densities Increasing clock frequencies Lowering supply voltage Lowering transistor threshold voltage

Increasing Challenges of Power

High power consumptionhigher temperatureheat sinks ceramic packaging (expensive)

Power Management

Manage power in all modes of operationDynamic power during device operation Static power during standbyMaintain device performance while minimizing power consumptionPerformance available when requiredPower minimized while providing required performance

-define spec

-refine power architecture

-determine which technique

-identify power interdependencies among blocks

Require power awareness in every stage of design cycle

-capture RTL based on power requirement

-Libraries with power models

-Special cells

-power aware logic synthesis

-power aware physical synthesis

-Achieve best power timing and QoR

-Voltage becomes functional

-coverage metrics for low power methods

-verification for different power modes

Power architecturePower aware

design

Power aware

implementation

Power aware

verification

Is it possible to have single specification of power intent Q

Power Has Broken the Rules of Scaling

Cadence Design Systems Inc estimates that 90-nm standard transistors are about 40 times leakier than the

standard-voltage 130-nm transistors

Dynamic power

During the switching of transistors Depends on the clock frequency and switching activity Consists of switching power and internal power

Static Power

Transistor leakage current that flows whenever power is applied to the device

Independent of the clock frequency or switching activity

Types of Power Consumption

Dynamic Power

0 to 1 on the output charges the capacitive load of the PMOS

1 to 0 on the output discharges the capacitive load through the NMOS

Instantaneous rise time

one transistor is ON at a

time

Dynamic Power Contd

PMOS

NMOS

VoutCdrain+

Cinterconnect+Cinput

Vdd

A

BCload

Pavg=CloadVdd2Fclk

Cload depends on

1) Output node capacitance of the logic gate due to the drain diffusion region

2) Total interconnects capacitance has higher effect as technology node shrinks

3) Input node capacitance of the driven gate due to the gate oxide capacitance

average power is independent of

transistor size and characteristics

Power consumed by the cell when an input changes but output does not change

Internal node voltage swing can be only Vi which can be smaller than the full voltage swing of Vdd leading to the partial voltage swing

Internal power

How to reduce dynamic power

Reduce VddReduce CloadReduce Fclk

Pavg α CloadVdd2Fclk

PMOS

NMOS

Vout

Cdrain+Cinterconnect

+Cinput

Vdd

A

BCload

Finite rise and fall time

Both PMOS and NMOS are conducting for a short duration of time

short between supply power and ground

Lower threshold voltages and slower transitions result in more internal power consumption

Intermediate voltage

VTn lt Vin lt Vdd - |VTp|

Short Circuit Power

Condition PMOS NMOS

Vin lt Vth

ON (sat) OFF (cutoff)

Vin = Vth

Linear (towards cutoff)

Linear (towards

sat)

Vin gt Vth

OFF (cutoff) ON (sat)

To get equal risefall balance transistor sizing

25V

25V

0V

Vin

Vout

Vthn

Vdd- |Vthp|

More risefall time more short circuitLower threshold voltage more short

circuit

VthnltVinltVdd-|Vthp|

NMOScurve

PMOScurve

Pavg(short circuit) = 112kτFclk(Vdd-2Vt)3

Short Circuit Power-Analysis

If VddltVthn+|Vthp| can we eliminate short circuit current

Q

Diode reverse bias current or Reverse-biased drain- and source-substrate junction band-to-band-tunneling (BTBT) ndashI1

Sub threshold current ndash I2

Gate induced drain leakage ndash I3

Gate oxide tunneling ndash I4

Leakage Power

-does not depend on input transition load capacitance -remains constant

Leakage Power Contd

Ireverse=AJs(e(qVbiaskT)-1)whereVbias --gt reverse bias voltage across the junctionJs --gt reverse saturartion current densityA --gt junction area

How to reduceDecrease in junction area depends material

Parasitic diodes formed between the diffusion region of the transistor and substrate

Reverse Biased Diode Current (Junction Leakage)-I1

Can we adjust Vbias to control junction leakage Q

0 1

ONSubthreshold

leakage

p-n junctionleakage tosubstrate

Gateleakage

OFF

1 0

OFF

Subthresholdleakage

p-n junctionleakage

from n-well

Gateleakage

ON

Reverse Biased Diode Current (Junction Leakage)-I1 Contdhellip

Vgslt=0 accumulation mode 0ltVgsltltVthdepletion mode Vgs~Vthweak inversion VgsgtVthInversion

Always flows from source to drain

Vgs lt~ Vth carrier diffusion causes sub threshold leakage

Sub threshold Current ndash I2 (Isub)

0 1

ONSubthreshold

leakage

p-n junctionleakage tosubstrate

Gateleakage

OFF

1 0

OFF

Subthresholdleakage

p-n junctionleakage

from n-well

Gateleakage

ON

How to reduce sub threshold leakage

Higher Vth results in lower leakage longer delay Optimize the design with the balance application of low

Vth (LVT) and high Vth devices (HVT) Older technologies - more threshold variation Newer technologies produce around 30 mV threshold

variation

Isub exponentially scales with Vth vary Vth

Does this equation valid below 90nm Q

Caused by high field effect in the drain junction of MOS transistors

GIDL increases withHigher supply voltagethinner oxideincrease in Vdb and Vdg

When Vgs lt= 0V Vd = Vddavalanche multiplication and band-to-band tunnelingMinority carriers underneath the gate are swept to the substrate

Gate Induced Drain Leakage (GIDL) - I3

How to reduce gate leakage

Due to high electric field across a thin gate oxide

Fowler-Nordheim tunneling conduction band of the oxide layer

Direct tunneling through the silicon oxide layer if it is less than 3ndash4 nm thick

Gate Oxide Tunnelling - I4

Improve fab chemistry

Reached fundamental limit of gate oxide thickness Q

Leakage Power Trends

At 90 nm and below leakage power management is essentialThinner gate oxides have led to an increase in gate leakage current

leakage current increases exponentially Leakage power is catching up with Dynamic Power

Scaling Boon or Curse Should be done for Voltage and Threshold

voltage to gain the performance

Technology shrinking vs Leakage components

45 nm and below==gtincreased electric field==gtincreased gate leakage

To counteract this voltage is scaled down to

around 1V

Other leakages are low due to improvements in the fabrication process

and material

Dynamic Power Leakage Power Design Architectural

Process Technology

Clock gating Multi Vt Multi Vt Pipelining Multi Vt

Variable frequency Power gating

Clock gating Asynchronous PD SOI

Variable power supply

Back (substrate) bias

Power gating FD SOI

Multi Vdd

Use new devices-FinFet SOI Multi Vdd FinFet

Voltage islands DVFS Body Bias

DVFS Multi oxide

devices

Minimize capacitance by custom design

Low Power Design Techniques

Low Power Design Techniques

Advanced techniques

Basic techniques

Evolution of low power techniques

Source SNUG 2007

Scale both Vdd and Vth to maintain performanceQuadratic reduction in supply voltage==gtcubic reduction of powerThis equation deviates when Vdd reaches sub threshold voltage level ie Vdd ~ Vth Dynamic power reduction decreases sub threshold leakage increases==gtputs limit on scaling Dont expect any more rigorous scaling

Supply Voltage Reduction - Voltage Scaling

There are two types of clock gating styles available They are

1) Latch-based clock gating2) Latch-free clock gating

Clock tree consume more than 50 of dynamic powerTurn off the clock when it is not neededGate the clocks of flops which have common enable signal

The components of this power are

Power consumed by combinatorial logic whose values are changing on each clock edgePower consumed by flip-flops andThe power consumed by the clock buffer tree in the design

Clock Gating

Uses a simple AND or OR gate

Glitches are inevitable

Less used

Latch free clock gating

D Q

CK

D Q

CK

En

clk

Gatedclock

Adds a level-sensitive latch

Holds the enable signal from the active edge of the clock until the inactive edge of the clock

Less glitch

ICG-Integrated Clock Gating cells

Easy adoption by EDA tools

No changemodification required

Latch based clock gating

Use both LVT and HVT cells LVT gates on critical path while HVT gates off the

critical path Footprint and area of low Vt and high Vt cells are

same as that of nominal Vt cells Multi Vt optimization is placement non disturbing This enables swapping of cells Increase fabrication complexity

Multi Threshold (MVT) technique

Different multi vt flows

One (single)

pass flow

Two pass flow

Compile with a set of libraries

-Compile with a set of

libraries

-Incremental compilation with another

set of libraries

-Rvt-Lvt

-Rvt-Hvt

-Multi Vt

-Lvt

-Hvt

-Lvt to Mvt

-Hvt to Mvt

-Rvt to Multi Vt

Low Vt to Multi-Vt

-Least cell count

-Good for tight timing constraint

-Highest leakage power

-Less opportunity for leakage

optimization

High Vt to Multi-Vt

-Least leakage power

-Good for leakage critical design

-Higher cell count

With different timing constraints it works as well balanced flow

High Vt library

Low Vt library

Compile With Multi-Vt Libraries-Multi Vt One Pass Flow

Overall good result

Can be used for most of the designs

Low Vt have different well implantation Could overlap to adjacent High Vt cell Provide buffer space around edges

Multi Vt Spacing requirement

Between Hvt Cells-place only Hvt filler cellBetween Lvt Cells-place only Lvt filler cell

Placing opposite Vt filler cell can create gap in

implant regions violation of DRC

IC Compiler handles the issue

automatically

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 2: Low Power Techniques

Increasing device densities Increasing clock frequencies Lowering supply voltage Lowering transistor threshold voltage

Increasing Challenges of Power

High power consumptionhigher temperatureheat sinks ceramic packaging (expensive)

Power Management

Manage power in all modes of operationDynamic power during device operation Static power during standbyMaintain device performance while minimizing power consumptionPerformance available when requiredPower minimized while providing required performance

-define spec

-refine power architecture

-determine which technique

-identify power interdependencies among blocks

Require power awareness in every stage of design cycle

-capture RTL based on power requirement

-Libraries with power models

-Special cells

-power aware logic synthesis

-power aware physical synthesis

-Achieve best power timing and QoR

-Voltage becomes functional

-coverage metrics for low power methods

-verification for different power modes

Power architecturePower aware

design

Power aware

implementation

Power aware

verification

Is it possible to have single specification of power intent Q

Power Has Broken the Rules of Scaling

Cadence Design Systems Inc estimates that 90-nm standard transistors are about 40 times leakier than the

standard-voltage 130-nm transistors

Dynamic power

During the switching of transistors Depends on the clock frequency and switching activity Consists of switching power and internal power

Static Power

Transistor leakage current that flows whenever power is applied to the device

Independent of the clock frequency or switching activity

Types of Power Consumption

Dynamic Power

0 to 1 on the output charges the capacitive load of the PMOS

1 to 0 on the output discharges the capacitive load through the NMOS

Instantaneous rise time

one transistor is ON at a

time

Dynamic Power Contd

PMOS

NMOS

VoutCdrain+

Cinterconnect+Cinput

Vdd

A

BCload

Pavg=CloadVdd2Fclk

Cload depends on

1) Output node capacitance of the logic gate due to the drain diffusion region

2) Total interconnects capacitance has higher effect as technology node shrinks

3) Input node capacitance of the driven gate due to the gate oxide capacitance

average power is independent of

transistor size and characteristics

Power consumed by the cell when an input changes but output does not change

Internal node voltage swing can be only Vi which can be smaller than the full voltage swing of Vdd leading to the partial voltage swing

Internal power

How to reduce dynamic power

Reduce VddReduce CloadReduce Fclk

Pavg α CloadVdd2Fclk

PMOS

NMOS

Vout

Cdrain+Cinterconnect

+Cinput

Vdd

A

BCload

Finite rise and fall time

Both PMOS and NMOS are conducting for a short duration of time

short between supply power and ground

Lower threshold voltages and slower transitions result in more internal power consumption

Intermediate voltage

VTn lt Vin lt Vdd - |VTp|

Short Circuit Power

Condition PMOS NMOS

Vin lt Vth

ON (sat) OFF (cutoff)

Vin = Vth

Linear (towards cutoff)

Linear (towards

sat)

Vin gt Vth

OFF (cutoff) ON (sat)

To get equal risefall balance transistor sizing

25V

25V

0V

Vin

Vout

Vthn

Vdd- |Vthp|

More risefall time more short circuitLower threshold voltage more short

circuit

VthnltVinltVdd-|Vthp|

NMOScurve

PMOScurve

Pavg(short circuit) = 112kτFclk(Vdd-2Vt)3

Short Circuit Power-Analysis

If VddltVthn+|Vthp| can we eliminate short circuit current

Q

Diode reverse bias current or Reverse-biased drain- and source-substrate junction band-to-band-tunneling (BTBT) ndashI1

Sub threshold current ndash I2

Gate induced drain leakage ndash I3

Gate oxide tunneling ndash I4

Leakage Power

-does not depend on input transition load capacitance -remains constant

Leakage Power Contd

Ireverse=AJs(e(qVbiaskT)-1)whereVbias --gt reverse bias voltage across the junctionJs --gt reverse saturartion current densityA --gt junction area

How to reduceDecrease in junction area depends material

Parasitic diodes formed between the diffusion region of the transistor and substrate

Reverse Biased Diode Current (Junction Leakage)-I1

Can we adjust Vbias to control junction leakage Q

0 1

ONSubthreshold

leakage

p-n junctionleakage tosubstrate

Gateleakage

OFF

1 0

OFF

Subthresholdleakage

p-n junctionleakage

from n-well

Gateleakage

ON

Reverse Biased Diode Current (Junction Leakage)-I1 Contdhellip

Vgslt=0 accumulation mode 0ltVgsltltVthdepletion mode Vgs~Vthweak inversion VgsgtVthInversion

Always flows from source to drain

Vgs lt~ Vth carrier diffusion causes sub threshold leakage

Sub threshold Current ndash I2 (Isub)

0 1

ONSubthreshold

leakage

p-n junctionleakage tosubstrate

Gateleakage

OFF

1 0

OFF

Subthresholdleakage

p-n junctionleakage

from n-well

Gateleakage

ON

How to reduce sub threshold leakage

Higher Vth results in lower leakage longer delay Optimize the design with the balance application of low

Vth (LVT) and high Vth devices (HVT) Older technologies - more threshold variation Newer technologies produce around 30 mV threshold

variation

Isub exponentially scales with Vth vary Vth

Does this equation valid below 90nm Q

Caused by high field effect in the drain junction of MOS transistors

GIDL increases withHigher supply voltagethinner oxideincrease in Vdb and Vdg

When Vgs lt= 0V Vd = Vddavalanche multiplication and band-to-band tunnelingMinority carriers underneath the gate are swept to the substrate

Gate Induced Drain Leakage (GIDL) - I3

How to reduce gate leakage

Due to high electric field across a thin gate oxide

Fowler-Nordheim tunneling conduction band of the oxide layer

Direct tunneling through the silicon oxide layer if it is less than 3ndash4 nm thick

Gate Oxide Tunnelling - I4

Improve fab chemistry

Reached fundamental limit of gate oxide thickness Q

Leakage Power Trends

At 90 nm and below leakage power management is essentialThinner gate oxides have led to an increase in gate leakage current

leakage current increases exponentially Leakage power is catching up with Dynamic Power

Scaling Boon or Curse Should be done for Voltage and Threshold

voltage to gain the performance

Technology shrinking vs Leakage components

45 nm and below==gtincreased electric field==gtincreased gate leakage

To counteract this voltage is scaled down to

around 1V

Other leakages are low due to improvements in the fabrication process

and material

Dynamic Power Leakage Power Design Architectural

Process Technology

Clock gating Multi Vt Multi Vt Pipelining Multi Vt

Variable frequency Power gating

Clock gating Asynchronous PD SOI

Variable power supply

Back (substrate) bias

Power gating FD SOI

Multi Vdd

Use new devices-FinFet SOI Multi Vdd FinFet

Voltage islands DVFS Body Bias

DVFS Multi oxide

devices

Minimize capacitance by custom design

Low Power Design Techniques

Low Power Design Techniques

Advanced techniques

Basic techniques

Evolution of low power techniques

Source SNUG 2007

Scale both Vdd and Vth to maintain performanceQuadratic reduction in supply voltage==gtcubic reduction of powerThis equation deviates when Vdd reaches sub threshold voltage level ie Vdd ~ Vth Dynamic power reduction decreases sub threshold leakage increases==gtputs limit on scaling Dont expect any more rigorous scaling

Supply Voltage Reduction - Voltage Scaling

There are two types of clock gating styles available They are

1) Latch-based clock gating2) Latch-free clock gating

Clock tree consume more than 50 of dynamic powerTurn off the clock when it is not neededGate the clocks of flops which have common enable signal

The components of this power are

Power consumed by combinatorial logic whose values are changing on each clock edgePower consumed by flip-flops andThe power consumed by the clock buffer tree in the design

Clock Gating

Uses a simple AND or OR gate

Glitches are inevitable

Less used

Latch free clock gating

D Q

CK

D Q

CK

En

clk

Gatedclock

Adds a level-sensitive latch

Holds the enable signal from the active edge of the clock until the inactive edge of the clock

Less glitch

ICG-Integrated Clock Gating cells

Easy adoption by EDA tools

No changemodification required

Latch based clock gating

Use both LVT and HVT cells LVT gates on critical path while HVT gates off the

critical path Footprint and area of low Vt and high Vt cells are

same as that of nominal Vt cells Multi Vt optimization is placement non disturbing This enables swapping of cells Increase fabrication complexity

Multi Threshold (MVT) technique

Different multi vt flows

One (single)

pass flow

Two pass flow

Compile with a set of libraries

-Compile with a set of

libraries

-Incremental compilation with another

set of libraries

-Rvt-Lvt

-Rvt-Hvt

-Multi Vt

-Lvt

-Hvt

-Lvt to Mvt

-Hvt to Mvt

-Rvt to Multi Vt

Low Vt to Multi-Vt

-Least cell count

-Good for tight timing constraint

-Highest leakage power

-Less opportunity for leakage

optimization

High Vt to Multi-Vt

-Least leakage power

-Good for leakage critical design

-Higher cell count

With different timing constraints it works as well balanced flow

High Vt library

Low Vt library

Compile With Multi-Vt Libraries-Multi Vt One Pass Flow

Overall good result

Can be used for most of the designs

Low Vt have different well implantation Could overlap to adjacent High Vt cell Provide buffer space around edges

Multi Vt Spacing requirement

Between Hvt Cells-place only Hvt filler cellBetween Lvt Cells-place only Lvt filler cell

Placing opposite Vt filler cell can create gap in

implant regions violation of DRC

IC Compiler handles the issue

automatically

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 3: Low Power Techniques

-define spec

-refine power architecture

-determine which technique

-identify power interdependencies among blocks

Require power awareness in every stage of design cycle

-capture RTL based on power requirement

-Libraries with power models

-Special cells

-power aware logic synthesis

-power aware physical synthesis

-Achieve best power timing and QoR

-Voltage becomes functional

-coverage metrics for low power methods

-verification for different power modes

Power architecturePower aware

design

Power aware

implementation

Power aware

verification

Is it possible to have single specification of power intent Q

Power Has Broken the Rules of Scaling

Cadence Design Systems Inc estimates that 90-nm standard transistors are about 40 times leakier than the

standard-voltage 130-nm transistors

Dynamic power

During the switching of transistors Depends on the clock frequency and switching activity Consists of switching power and internal power

Static Power

Transistor leakage current that flows whenever power is applied to the device

Independent of the clock frequency or switching activity

Types of Power Consumption

Dynamic Power

0 to 1 on the output charges the capacitive load of the PMOS

1 to 0 on the output discharges the capacitive load through the NMOS

Instantaneous rise time

one transistor is ON at a

time

Dynamic Power Contd

PMOS

NMOS

VoutCdrain+

Cinterconnect+Cinput

Vdd

A

BCload

Pavg=CloadVdd2Fclk

Cload depends on

1) Output node capacitance of the logic gate due to the drain diffusion region

2) Total interconnects capacitance has higher effect as technology node shrinks

3) Input node capacitance of the driven gate due to the gate oxide capacitance

average power is independent of

transistor size and characteristics

Power consumed by the cell when an input changes but output does not change

Internal node voltage swing can be only Vi which can be smaller than the full voltage swing of Vdd leading to the partial voltage swing

Internal power

How to reduce dynamic power

Reduce VddReduce CloadReduce Fclk

Pavg α CloadVdd2Fclk

PMOS

NMOS

Vout

Cdrain+Cinterconnect

+Cinput

Vdd

A

BCload

Finite rise and fall time

Both PMOS and NMOS are conducting for a short duration of time

short between supply power and ground

Lower threshold voltages and slower transitions result in more internal power consumption

Intermediate voltage

VTn lt Vin lt Vdd - |VTp|

Short Circuit Power

Condition PMOS NMOS

Vin lt Vth

ON (sat) OFF (cutoff)

Vin = Vth

Linear (towards cutoff)

Linear (towards

sat)

Vin gt Vth

OFF (cutoff) ON (sat)

To get equal risefall balance transistor sizing

25V

25V

0V

Vin

Vout

Vthn

Vdd- |Vthp|

More risefall time more short circuitLower threshold voltage more short

circuit

VthnltVinltVdd-|Vthp|

NMOScurve

PMOScurve

Pavg(short circuit) = 112kτFclk(Vdd-2Vt)3

Short Circuit Power-Analysis

If VddltVthn+|Vthp| can we eliminate short circuit current

Q

Diode reverse bias current or Reverse-biased drain- and source-substrate junction band-to-band-tunneling (BTBT) ndashI1

Sub threshold current ndash I2

Gate induced drain leakage ndash I3

Gate oxide tunneling ndash I4

Leakage Power

-does not depend on input transition load capacitance -remains constant

Leakage Power Contd

Ireverse=AJs(e(qVbiaskT)-1)whereVbias --gt reverse bias voltage across the junctionJs --gt reverse saturartion current densityA --gt junction area

How to reduceDecrease in junction area depends material

Parasitic diodes formed between the diffusion region of the transistor and substrate

Reverse Biased Diode Current (Junction Leakage)-I1

Can we adjust Vbias to control junction leakage Q

0 1

ONSubthreshold

leakage

p-n junctionleakage tosubstrate

Gateleakage

OFF

1 0

OFF

Subthresholdleakage

p-n junctionleakage

from n-well

Gateleakage

ON

Reverse Biased Diode Current (Junction Leakage)-I1 Contdhellip

Vgslt=0 accumulation mode 0ltVgsltltVthdepletion mode Vgs~Vthweak inversion VgsgtVthInversion

Always flows from source to drain

Vgs lt~ Vth carrier diffusion causes sub threshold leakage

Sub threshold Current ndash I2 (Isub)

0 1

ONSubthreshold

leakage

p-n junctionleakage tosubstrate

Gateleakage

OFF

1 0

OFF

Subthresholdleakage

p-n junctionleakage

from n-well

Gateleakage

ON

How to reduce sub threshold leakage

Higher Vth results in lower leakage longer delay Optimize the design with the balance application of low

Vth (LVT) and high Vth devices (HVT) Older technologies - more threshold variation Newer technologies produce around 30 mV threshold

variation

Isub exponentially scales with Vth vary Vth

Does this equation valid below 90nm Q

Caused by high field effect in the drain junction of MOS transistors

GIDL increases withHigher supply voltagethinner oxideincrease in Vdb and Vdg

When Vgs lt= 0V Vd = Vddavalanche multiplication and band-to-band tunnelingMinority carriers underneath the gate are swept to the substrate

Gate Induced Drain Leakage (GIDL) - I3

How to reduce gate leakage

Due to high electric field across a thin gate oxide

Fowler-Nordheim tunneling conduction band of the oxide layer

Direct tunneling through the silicon oxide layer if it is less than 3ndash4 nm thick

Gate Oxide Tunnelling - I4

Improve fab chemistry

Reached fundamental limit of gate oxide thickness Q

Leakage Power Trends

At 90 nm and below leakage power management is essentialThinner gate oxides have led to an increase in gate leakage current

leakage current increases exponentially Leakage power is catching up with Dynamic Power

Scaling Boon or Curse Should be done for Voltage and Threshold

voltage to gain the performance

Technology shrinking vs Leakage components

45 nm and below==gtincreased electric field==gtincreased gate leakage

To counteract this voltage is scaled down to

around 1V

Other leakages are low due to improvements in the fabrication process

and material

Dynamic Power Leakage Power Design Architectural

Process Technology

Clock gating Multi Vt Multi Vt Pipelining Multi Vt

Variable frequency Power gating

Clock gating Asynchronous PD SOI

Variable power supply

Back (substrate) bias

Power gating FD SOI

Multi Vdd

Use new devices-FinFet SOI Multi Vdd FinFet

Voltage islands DVFS Body Bias

DVFS Multi oxide

devices

Minimize capacitance by custom design

Low Power Design Techniques

Low Power Design Techniques

Advanced techniques

Basic techniques

Evolution of low power techniques

Source SNUG 2007

Scale both Vdd and Vth to maintain performanceQuadratic reduction in supply voltage==gtcubic reduction of powerThis equation deviates when Vdd reaches sub threshold voltage level ie Vdd ~ Vth Dynamic power reduction decreases sub threshold leakage increases==gtputs limit on scaling Dont expect any more rigorous scaling

Supply Voltage Reduction - Voltage Scaling

There are two types of clock gating styles available They are

1) Latch-based clock gating2) Latch-free clock gating

Clock tree consume more than 50 of dynamic powerTurn off the clock when it is not neededGate the clocks of flops which have common enable signal

The components of this power are

Power consumed by combinatorial logic whose values are changing on each clock edgePower consumed by flip-flops andThe power consumed by the clock buffer tree in the design

Clock Gating

Uses a simple AND or OR gate

Glitches are inevitable

Less used

Latch free clock gating

D Q

CK

D Q

CK

En

clk

Gatedclock

Adds a level-sensitive latch

Holds the enable signal from the active edge of the clock until the inactive edge of the clock

Less glitch

ICG-Integrated Clock Gating cells

Easy adoption by EDA tools

No changemodification required

Latch based clock gating

Use both LVT and HVT cells LVT gates on critical path while HVT gates off the

critical path Footprint and area of low Vt and high Vt cells are

same as that of nominal Vt cells Multi Vt optimization is placement non disturbing This enables swapping of cells Increase fabrication complexity

Multi Threshold (MVT) technique

Different multi vt flows

One (single)

pass flow

Two pass flow

Compile with a set of libraries

-Compile with a set of

libraries

-Incremental compilation with another

set of libraries

-Rvt-Lvt

-Rvt-Hvt

-Multi Vt

-Lvt

-Hvt

-Lvt to Mvt

-Hvt to Mvt

-Rvt to Multi Vt

Low Vt to Multi-Vt

-Least cell count

-Good for tight timing constraint

-Highest leakage power

-Less opportunity for leakage

optimization

High Vt to Multi-Vt

-Least leakage power

-Good for leakage critical design

-Higher cell count

With different timing constraints it works as well balanced flow

High Vt library

Low Vt library

Compile With Multi-Vt Libraries-Multi Vt One Pass Flow

Overall good result

Can be used for most of the designs

Low Vt have different well implantation Could overlap to adjacent High Vt cell Provide buffer space around edges

Multi Vt Spacing requirement

Between Hvt Cells-place only Hvt filler cellBetween Lvt Cells-place only Lvt filler cell

Placing opposite Vt filler cell can create gap in

implant regions violation of DRC

IC Compiler handles the issue

automatically

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 4: Low Power Techniques

Power Has Broken the Rules of Scaling

Cadence Design Systems Inc estimates that 90-nm standard transistors are about 40 times leakier than the

standard-voltage 130-nm transistors

Dynamic power

During the switching of transistors Depends on the clock frequency and switching activity Consists of switching power and internal power

Static Power

Transistor leakage current that flows whenever power is applied to the device

Independent of the clock frequency or switching activity

Types of Power Consumption

Dynamic Power

0 to 1 on the output charges the capacitive load of the PMOS

1 to 0 on the output discharges the capacitive load through the NMOS

Instantaneous rise time

one transistor is ON at a

time

Dynamic Power Contd

PMOS

NMOS

VoutCdrain+

Cinterconnect+Cinput

Vdd

A

BCload

Pavg=CloadVdd2Fclk

Cload depends on

1) Output node capacitance of the logic gate due to the drain diffusion region

2) Total interconnects capacitance has higher effect as technology node shrinks

3) Input node capacitance of the driven gate due to the gate oxide capacitance

average power is independent of

transistor size and characteristics

Power consumed by the cell when an input changes but output does not change

Internal node voltage swing can be only Vi which can be smaller than the full voltage swing of Vdd leading to the partial voltage swing

Internal power

How to reduce dynamic power

Reduce VddReduce CloadReduce Fclk

Pavg α CloadVdd2Fclk

PMOS

NMOS

Vout

Cdrain+Cinterconnect

+Cinput

Vdd

A

BCload

Finite rise and fall time

Both PMOS and NMOS are conducting for a short duration of time

short between supply power and ground

Lower threshold voltages and slower transitions result in more internal power consumption

Intermediate voltage

VTn lt Vin lt Vdd - |VTp|

Short Circuit Power

Condition PMOS NMOS

Vin lt Vth

ON (sat) OFF (cutoff)

Vin = Vth

Linear (towards cutoff)

Linear (towards

sat)

Vin gt Vth

OFF (cutoff) ON (sat)

To get equal risefall balance transistor sizing

25V

25V

0V

Vin

Vout

Vthn

Vdd- |Vthp|

More risefall time more short circuitLower threshold voltage more short

circuit

VthnltVinltVdd-|Vthp|

NMOScurve

PMOScurve

Pavg(short circuit) = 112kτFclk(Vdd-2Vt)3

Short Circuit Power-Analysis

If VddltVthn+|Vthp| can we eliminate short circuit current

Q

Diode reverse bias current or Reverse-biased drain- and source-substrate junction band-to-band-tunneling (BTBT) ndashI1

Sub threshold current ndash I2

Gate induced drain leakage ndash I3

Gate oxide tunneling ndash I4

Leakage Power

-does not depend on input transition load capacitance -remains constant

Leakage Power Contd

Ireverse=AJs(e(qVbiaskT)-1)whereVbias --gt reverse bias voltage across the junctionJs --gt reverse saturartion current densityA --gt junction area

How to reduceDecrease in junction area depends material

Parasitic diodes formed between the diffusion region of the transistor and substrate

Reverse Biased Diode Current (Junction Leakage)-I1

Can we adjust Vbias to control junction leakage Q

0 1

ONSubthreshold

leakage

p-n junctionleakage tosubstrate

Gateleakage

OFF

1 0

OFF

Subthresholdleakage

p-n junctionleakage

from n-well

Gateleakage

ON

Reverse Biased Diode Current (Junction Leakage)-I1 Contdhellip

Vgslt=0 accumulation mode 0ltVgsltltVthdepletion mode Vgs~Vthweak inversion VgsgtVthInversion

Always flows from source to drain

Vgs lt~ Vth carrier diffusion causes sub threshold leakage

Sub threshold Current ndash I2 (Isub)

0 1

ONSubthreshold

leakage

p-n junctionleakage tosubstrate

Gateleakage

OFF

1 0

OFF

Subthresholdleakage

p-n junctionleakage

from n-well

Gateleakage

ON

How to reduce sub threshold leakage

Higher Vth results in lower leakage longer delay Optimize the design with the balance application of low

Vth (LVT) and high Vth devices (HVT) Older technologies - more threshold variation Newer technologies produce around 30 mV threshold

variation

Isub exponentially scales with Vth vary Vth

Does this equation valid below 90nm Q

Caused by high field effect in the drain junction of MOS transistors

GIDL increases withHigher supply voltagethinner oxideincrease in Vdb and Vdg

When Vgs lt= 0V Vd = Vddavalanche multiplication and band-to-band tunnelingMinority carriers underneath the gate are swept to the substrate

Gate Induced Drain Leakage (GIDL) - I3

How to reduce gate leakage

Due to high electric field across a thin gate oxide

Fowler-Nordheim tunneling conduction band of the oxide layer

Direct tunneling through the silicon oxide layer if it is less than 3ndash4 nm thick

Gate Oxide Tunnelling - I4

Improve fab chemistry

Reached fundamental limit of gate oxide thickness Q

Leakage Power Trends

At 90 nm and below leakage power management is essentialThinner gate oxides have led to an increase in gate leakage current

leakage current increases exponentially Leakage power is catching up with Dynamic Power

Scaling Boon or Curse Should be done for Voltage and Threshold

voltage to gain the performance

Technology shrinking vs Leakage components

45 nm and below==gtincreased electric field==gtincreased gate leakage

To counteract this voltage is scaled down to

around 1V

Other leakages are low due to improvements in the fabrication process

and material

Dynamic Power Leakage Power Design Architectural

Process Technology

Clock gating Multi Vt Multi Vt Pipelining Multi Vt

Variable frequency Power gating

Clock gating Asynchronous PD SOI

Variable power supply

Back (substrate) bias

Power gating FD SOI

Multi Vdd

Use new devices-FinFet SOI Multi Vdd FinFet

Voltage islands DVFS Body Bias

DVFS Multi oxide

devices

Minimize capacitance by custom design

Low Power Design Techniques

Low Power Design Techniques

Advanced techniques

Basic techniques

Evolution of low power techniques

Source SNUG 2007

Scale both Vdd and Vth to maintain performanceQuadratic reduction in supply voltage==gtcubic reduction of powerThis equation deviates when Vdd reaches sub threshold voltage level ie Vdd ~ Vth Dynamic power reduction decreases sub threshold leakage increases==gtputs limit on scaling Dont expect any more rigorous scaling

Supply Voltage Reduction - Voltage Scaling

There are two types of clock gating styles available They are

1) Latch-based clock gating2) Latch-free clock gating

Clock tree consume more than 50 of dynamic powerTurn off the clock when it is not neededGate the clocks of flops which have common enable signal

The components of this power are

Power consumed by combinatorial logic whose values are changing on each clock edgePower consumed by flip-flops andThe power consumed by the clock buffer tree in the design

Clock Gating

Uses a simple AND or OR gate

Glitches are inevitable

Less used

Latch free clock gating

D Q

CK

D Q

CK

En

clk

Gatedclock

Adds a level-sensitive latch

Holds the enable signal from the active edge of the clock until the inactive edge of the clock

Less glitch

ICG-Integrated Clock Gating cells

Easy adoption by EDA tools

No changemodification required

Latch based clock gating

Use both LVT and HVT cells LVT gates on critical path while HVT gates off the

critical path Footprint and area of low Vt and high Vt cells are

same as that of nominal Vt cells Multi Vt optimization is placement non disturbing This enables swapping of cells Increase fabrication complexity

Multi Threshold (MVT) technique

Different multi vt flows

One (single)

pass flow

Two pass flow

Compile with a set of libraries

-Compile with a set of

libraries

-Incremental compilation with another

set of libraries

-Rvt-Lvt

-Rvt-Hvt

-Multi Vt

-Lvt

-Hvt

-Lvt to Mvt

-Hvt to Mvt

-Rvt to Multi Vt

Low Vt to Multi-Vt

-Least cell count

-Good for tight timing constraint

-Highest leakage power

-Less opportunity for leakage

optimization

High Vt to Multi-Vt

-Least leakage power

-Good for leakage critical design

-Higher cell count

With different timing constraints it works as well balanced flow

High Vt library

Low Vt library

Compile With Multi-Vt Libraries-Multi Vt One Pass Flow

Overall good result

Can be used for most of the designs

Low Vt have different well implantation Could overlap to adjacent High Vt cell Provide buffer space around edges

Multi Vt Spacing requirement

Between Hvt Cells-place only Hvt filler cellBetween Lvt Cells-place only Lvt filler cell

Placing opposite Vt filler cell can create gap in

implant regions violation of DRC

IC Compiler handles the issue

automatically

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 5: Low Power Techniques

Dynamic power

During the switching of transistors Depends on the clock frequency and switching activity Consists of switching power and internal power

Static Power

Transistor leakage current that flows whenever power is applied to the device

Independent of the clock frequency or switching activity

Types of Power Consumption

Dynamic Power

0 to 1 on the output charges the capacitive load of the PMOS

1 to 0 on the output discharges the capacitive load through the NMOS

Instantaneous rise time

one transistor is ON at a

time

Dynamic Power Contd

PMOS

NMOS

VoutCdrain+

Cinterconnect+Cinput

Vdd

A

BCload

Pavg=CloadVdd2Fclk

Cload depends on

1) Output node capacitance of the logic gate due to the drain diffusion region

2) Total interconnects capacitance has higher effect as technology node shrinks

3) Input node capacitance of the driven gate due to the gate oxide capacitance

average power is independent of

transistor size and characteristics

Power consumed by the cell when an input changes but output does not change

Internal node voltage swing can be only Vi which can be smaller than the full voltage swing of Vdd leading to the partial voltage swing

Internal power

How to reduce dynamic power

Reduce VddReduce CloadReduce Fclk

Pavg α CloadVdd2Fclk

PMOS

NMOS

Vout

Cdrain+Cinterconnect

+Cinput

Vdd

A

BCload

Finite rise and fall time

Both PMOS and NMOS are conducting for a short duration of time

short between supply power and ground

Lower threshold voltages and slower transitions result in more internal power consumption

Intermediate voltage

VTn lt Vin lt Vdd - |VTp|

Short Circuit Power

Condition PMOS NMOS

Vin lt Vth

ON (sat) OFF (cutoff)

Vin = Vth

Linear (towards cutoff)

Linear (towards

sat)

Vin gt Vth

OFF (cutoff) ON (sat)

To get equal risefall balance transistor sizing

25V

25V

0V

Vin

Vout

Vthn

Vdd- |Vthp|

More risefall time more short circuitLower threshold voltage more short

circuit

VthnltVinltVdd-|Vthp|

NMOScurve

PMOScurve

Pavg(short circuit) = 112kτFclk(Vdd-2Vt)3

Short Circuit Power-Analysis

If VddltVthn+|Vthp| can we eliminate short circuit current

Q

Diode reverse bias current or Reverse-biased drain- and source-substrate junction band-to-band-tunneling (BTBT) ndashI1

Sub threshold current ndash I2

Gate induced drain leakage ndash I3

Gate oxide tunneling ndash I4

Leakage Power

-does not depend on input transition load capacitance -remains constant

Leakage Power Contd

Ireverse=AJs(e(qVbiaskT)-1)whereVbias --gt reverse bias voltage across the junctionJs --gt reverse saturartion current densityA --gt junction area

How to reduceDecrease in junction area depends material

Parasitic diodes formed between the diffusion region of the transistor and substrate

Reverse Biased Diode Current (Junction Leakage)-I1

Can we adjust Vbias to control junction leakage Q

0 1

ONSubthreshold

leakage

p-n junctionleakage tosubstrate

Gateleakage

OFF

1 0

OFF

Subthresholdleakage

p-n junctionleakage

from n-well

Gateleakage

ON

Reverse Biased Diode Current (Junction Leakage)-I1 Contdhellip

Vgslt=0 accumulation mode 0ltVgsltltVthdepletion mode Vgs~Vthweak inversion VgsgtVthInversion

Always flows from source to drain

Vgs lt~ Vth carrier diffusion causes sub threshold leakage

Sub threshold Current ndash I2 (Isub)

0 1

ONSubthreshold

leakage

p-n junctionleakage tosubstrate

Gateleakage

OFF

1 0

OFF

Subthresholdleakage

p-n junctionleakage

from n-well

Gateleakage

ON

How to reduce sub threshold leakage

Higher Vth results in lower leakage longer delay Optimize the design with the balance application of low

Vth (LVT) and high Vth devices (HVT) Older technologies - more threshold variation Newer technologies produce around 30 mV threshold

variation

Isub exponentially scales with Vth vary Vth

Does this equation valid below 90nm Q

Caused by high field effect in the drain junction of MOS transistors

GIDL increases withHigher supply voltagethinner oxideincrease in Vdb and Vdg

When Vgs lt= 0V Vd = Vddavalanche multiplication and band-to-band tunnelingMinority carriers underneath the gate are swept to the substrate

Gate Induced Drain Leakage (GIDL) - I3

How to reduce gate leakage

Due to high electric field across a thin gate oxide

Fowler-Nordheim tunneling conduction band of the oxide layer

Direct tunneling through the silicon oxide layer if it is less than 3ndash4 nm thick

Gate Oxide Tunnelling - I4

Improve fab chemistry

Reached fundamental limit of gate oxide thickness Q

Leakage Power Trends

At 90 nm and below leakage power management is essentialThinner gate oxides have led to an increase in gate leakage current

leakage current increases exponentially Leakage power is catching up with Dynamic Power

Scaling Boon or Curse Should be done for Voltage and Threshold

voltage to gain the performance

Technology shrinking vs Leakage components

45 nm and below==gtincreased electric field==gtincreased gate leakage

To counteract this voltage is scaled down to

around 1V

Other leakages are low due to improvements in the fabrication process

and material

Dynamic Power Leakage Power Design Architectural

Process Technology

Clock gating Multi Vt Multi Vt Pipelining Multi Vt

Variable frequency Power gating

Clock gating Asynchronous PD SOI

Variable power supply

Back (substrate) bias

Power gating FD SOI

Multi Vdd

Use new devices-FinFet SOI Multi Vdd FinFet

Voltage islands DVFS Body Bias

DVFS Multi oxide

devices

Minimize capacitance by custom design

Low Power Design Techniques

Low Power Design Techniques

Advanced techniques

Basic techniques

Evolution of low power techniques

Source SNUG 2007

Scale both Vdd and Vth to maintain performanceQuadratic reduction in supply voltage==gtcubic reduction of powerThis equation deviates when Vdd reaches sub threshold voltage level ie Vdd ~ Vth Dynamic power reduction decreases sub threshold leakage increases==gtputs limit on scaling Dont expect any more rigorous scaling

Supply Voltage Reduction - Voltage Scaling

There are two types of clock gating styles available They are

1) Latch-based clock gating2) Latch-free clock gating

Clock tree consume more than 50 of dynamic powerTurn off the clock when it is not neededGate the clocks of flops which have common enable signal

The components of this power are

Power consumed by combinatorial logic whose values are changing on each clock edgePower consumed by flip-flops andThe power consumed by the clock buffer tree in the design

Clock Gating

Uses a simple AND or OR gate

Glitches are inevitable

Less used

Latch free clock gating

D Q

CK

D Q

CK

En

clk

Gatedclock

Adds a level-sensitive latch

Holds the enable signal from the active edge of the clock until the inactive edge of the clock

Less glitch

ICG-Integrated Clock Gating cells

Easy adoption by EDA tools

No changemodification required

Latch based clock gating

Use both LVT and HVT cells LVT gates on critical path while HVT gates off the

critical path Footprint and area of low Vt and high Vt cells are

same as that of nominal Vt cells Multi Vt optimization is placement non disturbing This enables swapping of cells Increase fabrication complexity

Multi Threshold (MVT) technique

Different multi vt flows

One (single)

pass flow

Two pass flow

Compile with a set of libraries

-Compile with a set of

libraries

-Incremental compilation with another

set of libraries

-Rvt-Lvt

-Rvt-Hvt

-Multi Vt

-Lvt

-Hvt

-Lvt to Mvt

-Hvt to Mvt

-Rvt to Multi Vt

Low Vt to Multi-Vt

-Least cell count

-Good for tight timing constraint

-Highest leakage power

-Less opportunity for leakage

optimization

High Vt to Multi-Vt

-Least leakage power

-Good for leakage critical design

-Higher cell count

With different timing constraints it works as well balanced flow

High Vt library

Low Vt library

Compile With Multi-Vt Libraries-Multi Vt One Pass Flow

Overall good result

Can be used for most of the designs

Low Vt have different well implantation Could overlap to adjacent High Vt cell Provide buffer space around edges

Multi Vt Spacing requirement

Between Hvt Cells-place only Hvt filler cellBetween Lvt Cells-place only Lvt filler cell

Placing opposite Vt filler cell can create gap in

implant regions violation of DRC

IC Compiler handles the issue

automatically

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 6: Low Power Techniques

Dynamic Power

0 to 1 on the output charges the capacitive load of the PMOS

1 to 0 on the output discharges the capacitive load through the NMOS

Instantaneous rise time

one transistor is ON at a

time

Dynamic Power Contd

PMOS

NMOS

VoutCdrain+

Cinterconnect+Cinput

Vdd

A

BCload

Pavg=CloadVdd2Fclk

Cload depends on

1) Output node capacitance of the logic gate due to the drain diffusion region

2) Total interconnects capacitance has higher effect as technology node shrinks

3) Input node capacitance of the driven gate due to the gate oxide capacitance

average power is independent of

transistor size and characteristics

Power consumed by the cell when an input changes but output does not change

Internal node voltage swing can be only Vi which can be smaller than the full voltage swing of Vdd leading to the partial voltage swing

Internal power

How to reduce dynamic power

Reduce VddReduce CloadReduce Fclk

Pavg α CloadVdd2Fclk

PMOS

NMOS

Vout

Cdrain+Cinterconnect

+Cinput

Vdd

A

BCload

Finite rise and fall time

Both PMOS and NMOS are conducting for a short duration of time

short between supply power and ground

Lower threshold voltages and slower transitions result in more internal power consumption

Intermediate voltage

VTn lt Vin lt Vdd - |VTp|

Short Circuit Power

Condition PMOS NMOS

Vin lt Vth

ON (sat) OFF (cutoff)

Vin = Vth

Linear (towards cutoff)

Linear (towards

sat)

Vin gt Vth

OFF (cutoff) ON (sat)

To get equal risefall balance transistor sizing

25V

25V

0V

Vin

Vout

Vthn

Vdd- |Vthp|

More risefall time more short circuitLower threshold voltage more short

circuit

VthnltVinltVdd-|Vthp|

NMOScurve

PMOScurve

Pavg(short circuit) = 112kτFclk(Vdd-2Vt)3

Short Circuit Power-Analysis

If VddltVthn+|Vthp| can we eliminate short circuit current

Q

Diode reverse bias current or Reverse-biased drain- and source-substrate junction band-to-band-tunneling (BTBT) ndashI1

Sub threshold current ndash I2

Gate induced drain leakage ndash I3

Gate oxide tunneling ndash I4

Leakage Power

-does not depend on input transition load capacitance -remains constant

Leakage Power Contd

Ireverse=AJs(e(qVbiaskT)-1)whereVbias --gt reverse bias voltage across the junctionJs --gt reverse saturartion current densityA --gt junction area

How to reduceDecrease in junction area depends material

Parasitic diodes formed between the diffusion region of the transistor and substrate

Reverse Biased Diode Current (Junction Leakage)-I1

Can we adjust Vbias to control junction leakage Q

0 1

ONSubthreshold

leakage

p-n junctionleakage tosubstrate

Gateleakage

OFF

1 0

OFF

Subthresholdleakage

p-n junctionleakage

from n-well

Gateleakage

ON

Reverse Biased Diode Current (Junction Leakage)-I1 Contdhellip

Vgslt=0 accumulation mode 0ltVgsltltVthdepletion mode Vgs~Vthweak inversion VgsgtVthInversion

Always flows from source to drain

Vgs lt~ Vth carrier diffusion causes sub threshold leakage

Sub threshold Current ndash I2 (Isub)

0 1

ONSubthreshold

leakage

p-n junctionleakage tosubstrate

Gateleakage

OFF

1 0

OFF

Subthresholdleakage

p-n junctionleakage

from n-well

Gateleakage

ON

How to reduce sub threshold leakage

Higher Vth results in lower leakage longer delay Optimize the design with the balance application of low

Vth (LVT) and high Vth devices (HVT) Older technologies - more threshold variation Newer technologies produce around 30 mV threshold

variation

Isub exponentially scales with Vth vary Vth

Does this equation valid below 90nm Q

Caused by high field effect in the drain junction of MOS transistors

GIDL increases withHigher supply voltagethinner oxideincrease in Vdb and Vdg

When Vgs lt= 0V Vd = Vddavalanche multiplication and band-to-band tunnelingMinority carriers underneath the gate are swept to the substrate

Gate Induced Drain Leakage (GIDL) - I3

How to reduce gate leakage

Due to high electric field across a thin gate oxide

Fowler-Nordheim tunneling conduction band of the oxide layer

Direct tunneling through the silicon oxide layer if it is less than 3ndash4 nm thick

Gate Oxide Tunnelling - I4

Improve fab chemistry

Reached fundamental limit of gate oxide thickness Q

Leakage Power Trends

At 90 nm and below leakage power management is essentialThinner gate oxides have led to an increase in gate leakage current

leakage current increases exponentially Leakage power is catching up with Dynamic Power

Scaling Boon or Curse Should be done for Voltage and Threshold

voltage to gain the performance

Technology shrinking vs Leakage components

45 nm and below==gtincreased electric field==gtincreased gate leakage

To counteract this voltage is scaled down to

around 1V

Other leakages are low due to improvements in the fabrication process

and material

Dynamic Power Leakage Power Design Architectural

Process Technology

Clock gating Multi Vt Multi Vt Pipelining Multi Vt

Variable frequency Power gating

Clock gating Asynchronous PD SOI

Variable power supply

Back (substrate) bias

Power gating FD SOI

Multi Vdd

Use new devices-FinFet SOI Multi Vdd FinFet

Voltage islands DVFS Body Bias

DVFS Multi oxide

devices

Minimize capacitance by custom design

Low Power Design Techniques

Low Power Design Techniques

Advanced techniques

Basic techniques

Evolution of low power techniques

Source SNUG 2007

Scale both Vdd and Vth to maintain performanceQuadratic reduction in supply voltage==gtcubic reduction of powerThis equation deviates when Vdd reaches sub threshold voltage level ie Vdd ~ Vth Dynamic power reduction decreases sub threshold leakage increases==gtputs limit on scaling Dont expect any more rigorous scaling

Supply Voltage Reduction - Voltage Scaling

There are two types of clock gating styles available They are

1) Latch-based clock gating2) Latch-free clock gating

Clock tree consume more than 50 of dynamic powerTurn off the clock when it is not neededGate the clocks of flops which have common enable signal

The components of this power are

Power consumed by combinatorial logic whose values are changing on each clock edgePower consumed by flip-flops andThe power consumed by the clock buffer tree in the design

Clock Gating

Uses a simple AND or OR gate

Glitches are inevitable

Less used

Latch free clock gating

D Q

CK

D Q

CK

En

clk

Gatedclock

Adds a level-sensitive latch

Holds the enable signal from the active edge of the clock until the inactive edge of the clock

Less glitch

ICG-Integrated Clock Gating cells

Easy adoption by EDA tools

No changemodification required

Latch based clock gating

Use both LVT and HVT cells LVT gates on critical path while HVT gates off the

critical path Footprint and area of low Vt and high Vt cells are

same as that of nominal Vt cells Multi Vt optimization is placement non disturbing This enables swapping of cells Increase fabrication complexity

Multi Threshold (MVT) technique

Different multi vt flows

One (single)

pass flow

Two pass flow

Compile with a set of libraries

-Compile with a set of

libraries

-Incremental compilation with another

set of libraries

-Rvt-Lvt

-Rvt-Hvt

-Multi Vt

-Lvt

-Hvt

-Lvt to Mvt

-Hvt to Mvt

-Rvt to Multi Vt

Low Vt to Multi-Vt

-Least cell count

-Good for tight timing constraint

-Highest leakage power

-Less opportunity for leakage

optimization

High Vt to Multi-Vt

-Least leakage power

-Good for leakage critical design

-Higher cell count

With different timing constraints it works as well balanced flow

High Vt library

Low Vt library

Compile With Multi-Vt Libraries-Multi Vt One Pass Flow

Overall good result

Can be used for most of the designs

Low Vt have different well implantation Could overlap to adjacent High Vt cell Provide buffer space around edges

Multi Vt Spacing requirement

Between Hvt Cells-place only Hvt filler cellBetween Lvt Cells-place only Lvt filler cell

Placing opposite Vt filler cell can create gap in

implant regions violation of DRC

IC Compiler handles the issue

automatically

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 7: Low Power Techniques

Dynamic Power Contd

PMOS

NMOS

VoutCdrain+

Cinterconnect+Cinput

Vdd

A

BCload

Pavg=CloadVdd2Fclk

Cload depends on

1) Output node capacitance of the logic gate due to the drain diffusion region

2) Total interconnects capacitance has higher effect as technology node shrinks

3) Input node capacitance of the driven gate due to the gate oxide capacitance

average power is independent of

transistor size and characteristics

Power consumed by the cell when an input changes but output does not change

Internal node voltage swing can be only Vi which can be smaller than the full voltage swing of Vdd leading to the partial voltage swing

Internal power

How to reduce dynamic power

Reduce VddReduce CloadReduce Fclk

Pavg α CloadVdd2Fclk

PMOS

NMOS

Vout

Cdrain+Cinterconnect

+Cinput

Vdd

A

BCload

Finite rise and fall time

Both PMOS and NMOS are conducting for a short duration of time

short between supply power and ground

Lower threshold voltages and slower transitions result in more internal power consumption

Intermediate voltage

VTn lt Vin lt Vdd - |VTp|

Short Circuit Power

Condition PMOS NMOS

Vin lt Vth

ON (sat) OFF (cutoff)

Vin = Vth

Linear (towards cutoff)

Linear (towards

sat)

Vin gt Vth

OFF (cutoff) ON (sat)

To get equal risefall balance transistor sizing

25V

25V

0V

Vin

Vout

Vthn

Vdd- |Vthp|

More risefall time more short circuitLower threshold voltage more short

circuit

VthnltVinltVdd-|Vthp|

NMOScurve

PMOScurve

Pavg(short circuit) = 112kτFclk(Vdd-2Vt)3

Short Circuit Power-Analysis

If VddltVthn+|Vthp| can we eliminate short circuit current

Q

Diode reverse bias current or Reverse-biased drain- and source-substrate junction band-to-band-tunneling (BTBT) ndashI1

Sub threshold current ndash I2

Gate induced drain leakage ndash I3

Gate oxide tunneling ndash I4

Leakage Power

-does not depend on input transition load capacitance -remains constant

Leakage Power Contd

Ireverse=AJs(e(qVbiaskT)-1)whereVbias --gt reverse bias voltage across the junctionJs --gt reverse saturartion current densityA --gt junction area

How to reduceDecrease in junction area depends material

Parasitic diodes formed between the diffusion region of the transistor and substrate

Reverse Biased Diode Current (Junction Leakage)-I1

Can we adjust Vbias to control junction leakage Q

0 1

ONSubthreshold

leakage

p-n junctionleakage tosubstrate

Gateleakage

OFF

1 0

OFF

Subthresholdleakage

p-n junctionleakage

from n-well

Gateleakage

ON

Reverse Biased Diode Current (Junction Leakage)-I1 Contdhellip

Vgslt=0 accumulation mode 0ltVgsltltVthdepletion mode Vgs~Vthweak inversion VgsgtVthInversion

Always flows from source to drain

Vgs lt~ Vth carrier diffusion causes sub threshold leakage

Sub threshold Current ndash I2 (Isub)

0 1

ONSubthreshold

leakage

p-n junctionleakage tosubstrate

Gateleakage

OFF

1 0

OFF

Subthresholdleakage

p-n junctionleakage

from n-well

Gateleakage

ON

How to reduce sub threshold leakage

Higher Vth results in lower leakage longer delay Optimize the design with the balance application of low

Vth (LVT) and high Vth devices (HVT) Older technologies - more threshold variation Newer technologies produce around 30 mV threshold

variation

Isub exponentially scales with Vth vary Vth

Does this equation valid below 90nm Q

Caused by high field effect in the drain junction of MOS transistors

GIDL increases withHigher supply voltagethinner oxideincrease in Vdb and Vdg

When Vgs lt= 0V Vd = Vddavalanche multiplication and band-to-band tunnelingMinority carriers underneath the gate are swept to the substrate

Gate Induced Drain Leakage (GIDL) - I3

How to reduce gate leakage

Due to high electric field across a thin gate oxide

Fowler-Nordheim tunneling conduction band of the oxide layer

Direct tunneling through the silicon oxide layer if it is less than 3ndash4 nm thick

Gate Oxide Tunnelling - I4

Improve fab chemistry

Reached fundamental limit of gate oxide thickness Q

Leakage Power Trends

At 90 nm and below leakage power management is essentialThinner gate oxides have led to an increase in gate leakage current

leakage current increases exponentially Leakage power is catching up with Dynamic Power

Scaling Boon or Curse Should be done for Voltage and Threshold

voltage to gain the performance

Technology shrinking vs Leakage components

45 nm and below==gtincreased electric field==gtincreased gate leakage

To counteract this voltage is scaled down to

around 1V

Other leakages are low due to improvements in the fabrication process

and material

Dynamic Power Leakage Power Design Architectural

Process Technology

Clock gating Multi Vt Multi Vt Pipelining Multi Vt

Variable frequency Power gating

Clock gating Asynchronous PD SOI

Variable power supply

Back (substrate) bias

Power gating FD SOI

Multi Vdd

Use new devices-FinFet SOI Multi Vdd FinFet

Voltage islands DVFS Body Bias

DVFS Multi oxide

devices

Minimize capacitance by custom design

Low Power Design Techniques

Low Power Design Techniques

Advanced techniques

Basic techniques

Evolution of low power techniques

Source SNUG 2007

Scale both Vdd and Vth to maintain performanceQuadratic reduction in supply voltage==gtcubic reduction of powerThis equation deviates when Vdd reaches sub threshold voltage level ie Vdd ~ Vth Dynamic power reduction decreases sub threshold leakage increases==gtputs limit on scaling Dont expect any more rigorous scaling

Supply Voltage Reduction - Voltage Scaling

There are two types of clock gating styles available They are

1) Latch-based clock gating2) Latch-free clock gating

Clock tree consume more than 50 of dynamic powerTurn off the clock when it is not neededGate the clocks of flops which have common enable signal

The components of this power are

Power consumed by combinatorial logic whose values are changing on each clock edgePower consumed by flip-flops andThe power consumed by the clock buffer tree in the design

Clock Gating

Uses a simple AND or OR gate

Glitches are inevitable

Less used

Latch free clock gating

D Q

CK

D Q

CK

En

clk

Gatedclock

Adds a level-sensitive latch

Holds the enable signal from the active edge of the clock until the inactive edge of the clock

Less glitch

ICG-Integrated Clock Gating cells

Easy adoption by EDA tools

No changemodification required

Latch based clock gating

Use both LVT and HVT cells LVT gates on critical path while HVT gates off the

critical path Footprint and area of low Vt and high Vt cells are

same as that of nominal Vt cells Multi Vt optimization is placement non disturbing This enables swapping of cells Increase fabrication complexity

Multi Threshold (MVT) technique

Different multi vt flows

One (single)

pass flow

Two pass flow

Compile with a set of libraries

-Compile with a set of

libraries

-Incremental compilation with another

set of libraries

-Rvt-Lvt

-Rvt-Hvt

-Multi Vt

-Lvt

-Hvt

-Lvt to Mvt

-Hvt to Mvt

-Rvt to Multi Vt

Low Vt to Multi-Vt

-Least cell count

-Good for tight timing constraint

-Highest leakage power

-Less opportunity for leakage

optimization

High Vt to Multi-Vt

-Least leakage power

-Good for leakage critical design

-Higher cell count

With different timing constraints it works as well balanced flow

High Vt library

Low Vt library

Compile With Multi-Vt Libraries-Multi Vt One Pass Flow

Overall good result

Can be used for most of the designs

Low Vt have different well implantation Could overlap to adjacent High Vt cell Provide buffer space around edges

Multi Vt Spacing requirement

Between Hvt Cells-place only Hvt filler cellBetween Lvt Cells-place only Lvt filler cell

Placing opposite Vt filler cell can create gap in

implant regions violation of DRC

IC Compiler handles the issue

automatically

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 8: Low Power Techniques

Power consumed by the cell when an input changes but output does not change

Internal node voltage swing can be only Vi which can be smaller than the full voltage swing of Vdd leading to the partial voltage swing

Internal power

How to reduce dynamic power

Reduce VddReduce CloadReduce Fclk

Pavg α CloadVdd2Fclk

PMOS

NMOS

Vout

Cdrain+Cinterconnect

+Cinput

Vdd

A

BCload

Finite rise and fall time

Both PMOS and NMOS are conducting for a short duration of time

short between supply power and ground

Lower threshold voltages and slower transitions result in more internal power consumption

Intermediate voltage

VTn lt Vin lt Vdd - |VTp|

Short Circuit Power

Condition PMOS NMOS

Vin lt Vth

ON (sat) OFF (cutoff)

Vin = Vth

Linear (towards cutoff)

Linear (towards

sat)

Vin gt Vth

OFF (cutoff) ON (sat)

To get equal risefall balance transistor sizing

25V

25V

0V

Vin

Vout

Vthn

Vdd- |Vthp|

More risefall time more short circuitLower threshold voltage more short

circuit

VthnltVinltVdd-|Vthp|

NMOScurve

PMOScurve

Pavg(short circuit) = 112kτFclk(Vdd-2Vt)3

Short Circuit Power-Analysis

If VddltVthn+|Vthp| can we eliminate short circuit current

Q

Diode reverse bias current or Reverse-biased drain- and source-substrate junction band-to-band-tunneling (BTBT) ndashI1

Sub threshold current ndash I2

Gate induced drain leakage ndash I3

Gate oxide tunneling ndash I4

Leakage Power

-does not depend on input transition load capacitance -remains constant

Leakage Power Contd

Ireverse=AJs(e(qVbiaskT)-1)whereVbias --gt reverse bias voltage across the junctionJs --gt reverse saturartion current densityA --gt junction area

How to reduceDecrease in junction area depends material

Parasitic diodes formed between the diffusion region of the transistor and substrate

Reverse Biased Diode Current (Junction Leakage)-I1

Can we adjust Vbias to control junction leakage Q

0 1

ONSubthreshold

leakage

p-n junctionleakage tosubstrate

Gateleakage

OFF

1 0

OFF

Subthresholdleakage

p-n junctionleakage

from n-well

Gateleakage

ON

Reverse Biased Diode Current (Junction Leakage)-I1 Contdhellip

Vgslt=0 accumulation mode 0ltVgsltltVthdepletion mode Vgs~Vthweak inversion VgsgtVthInversion

Always flows from source to drain

Vgs lt~ Vth carrier diffusion causes sub threshold leakage

Sub threshold Current ndash I2 (Isub)

0 1

ONSubthreshold

leakage

p-n junctionleakage tosubstrate

Gateleakage

OFF

1 0

OFF

Subthresholdleakage

p-n junctionleakage

from n-well

Gateleakage

ON

How to reduce sub threshold leakage

Higher Vth results in lower leakage longer delay Optimize the design with the balance application of low

Vth (LVT) and high Vth devices (HVT) Older technologies - more threshold variation Newer technologies produce around 30 mV threshold

variation

Isub exponentially scales with Vth vary Vth

Does this equation valid below 90nm Q

Caused by high field effect in the drain junction of MOS transistors

GIDL increases withHigher supply voltagethinner oxideincrease in Vdb and Vdg

When Vgs lt= 0V Vd = Vddavalanche multiplication and band-to-band tunnelingMinority carriers underneath the gate are swept to the substrate

Gate Induced Drain Leakage (GIDL) - I3

How to reduce gate leakage

Due to high electric field across a thin gate oxide

Fowler-Nordheim tunneling conduction band of the oxide layer

Direct tunneling through the silicon oxide layer if it is less than 3ndash4 nm thick

Gate Oxide Tunnelling - I4

Improve fab chemistry

Reached fundamental limit of gate oxide thickness Q

Leakage Power Trends

At 90 nm and below leakage power management is essentialThinner gate oxides have led to an increase in gate leakage current

leakage current increases exponentially Leakage power is catching up with Dynamic Power

Scaling Boon or Curse Should be done for Voltage and Threshold

voltage to gain the performance

Technology shrinking vs Leakage components

45 nm and below==gtincreased electric field==gtincreased gate leakage

To counteract this voltage is scaled down to

around 1V

Other leakages are low due to improvements in the fabrication process

and material

Dynamic Power Leakage Power Design Architectural

Process Technology

Clock gating Multi Vt Multi Vt Pipelining Multi Vt

Variable frequency Power gating

Clock gating Asynchronous PD SOI

Variable power supply

Back (substrate) bias

Power gating FD SOI

Multi Vdd

Use new devices-FinFet SOI Multi Vdd FinFet

Voltage islands DVFS Body Bias

DVFS Multi oxide

devices

Minimize capacitance by custom design

Low Power Design Techniques

Low Power Design Techniques

Advanced techniques

Basic techniques

Evolution of low power techniques

Source SNUG 2007

Scale both Vdd and Vth to maintain performanceQuadratic reduction in supply voltage==gtcubic reduction of powerThis equation deviates when Vdd reaches sub threshold voltage level ie Vdd ~ Vth Dynamic power reduction decreases sub threshold leakage increases==gtputs limit on scaling Dont expect any more rigorous scaling

Supply Voltage Reduction - Voltage Scaling

There are two types of clock gating styles available They are

1) Latch-based clock gating2) Latch-free clock gating

Clock tree consume more than 50 of dynamic powerTurn off the clock when it is not neededGate the clocks of flops which have common enable signal

The components of this power are

Power consumed by combinatorial logic whose values are changing on each clock edgePower consumed by flip-flops andThe power consumed by the clock buffer tree in the design

Clock Gating

Uses a simple AND or OR gate

Glitches are inevitable

Less used

Latch free clock gating

D Q

CK

D Q

CK

En

clk

Gatedclock

Adds a level-sensitive latch

Holds the enable signal from the active edge of the clock until the inactive edge of the clock

Less glitch

ICG-Integrated Clock Gating cells

Easy adoption by EDA tools

No changemodification required

Latch based clock gating

Use both LVT and HVT cells LVT gates on critical path while HVT gates off the

critical path Footprint and area of low Vt and high Vt cells are

same as that of nominal Vt cells Multi Vt optimization is placement non disturbing This enables swapping of cells Increase fabrication complexity

Multi Threshold (MVT) technique

Different multi vt flows

One (single)

pass flow

Two pass flow

Compile with a set of libraries

-Compile with a set of

libraries

-Incremental compilation with another

set of libraries

-Rvt-Lvt

-Rvt-Hvt

-Multi Vt

-Lvt

-Hvt

-Lvt to Mvt

-Hvt to Mvt

-Rvt to Multi Vt

Low Vt to Multi-Vt

-Least cell count

-Good for tight timing constraint

-Highest leakage power

-Less opportunity for leakage

optimization

High Vt to Multi-Vt

-Least leakage power

-Good for leakage critical design

-Higher cell count

With different timing constraints it works as well balanced flow

High Vt library

Low Vt library

Compile With Multi-Vt Libraries-Multi Vt One Pass Flow

Overall good result

Can be used for most of the designs

Low Vt have different well implantation Could overlap to adjacent High Vt cell Provide buffer space around edges

Multi Vt Spacing requirement

Between Hvt Cells-place only Hvt filler cellBetween Lvt Cells-place only Lvt filler cell

Placing opposite Vt filler cell can create gap in

implant regions violation of DRC

IC Compiler handles the issue

automatically

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 9: Low Power Techniques

Finite rise and fall time

Both PMOS and NMOS are conducting for a short duration of time

short between supply power and ground

Lower threshold voltages and slower transitions result in more internal power consumption

Intermediate voltage

VTn lt Vin lt Vdd - |VTp|

Short Circuit Power

Condition PMOS NMOS

Vin lt Vth

ON (sat) OFF (cutoff)

Vin = Vth

Linear (towards cutoff)

Linear (towards

sat)

Vin gt Vth

OFF (cutoff) ON (sat)

To get equal risefall balance transistor sizing

25V

25V

0V

Vin

Vout

Vthn

Vdd- |Vthp|

More risefall time more short circuitLower threshold voltage more short

circuit

VthnltVinltVdd-|Vthp|

NMOScurve

PMOScurve

Pavg(short circuit) = 112kτFclk(Vdd-2Vt)3

Short Circuit Power-Analysis

If VddltVthn+|Vthp| can we eliminate short circuit current

Q

Diode reverse bias current or Reverse-biased drain- and source-substrate junction band-to-band-tunneling (BTBT) ndashI1

Sub threshold current ndash I2

Gate induced drain leakage ndash I3

Gate oxide tunneling ndash I4

Leakage Power

-does not depend on input transition load capacitance -remains constant

Leakage Power Contd

Ireverse=AJs(e(qVbiaskT)-1)whereVbias --gt reverse bias voltage across the junctionJs --gt reverse saturartion current densityA --gt junction area

How to reduceDecrease in junction area depends material

Parasitic diodes formed between the diffusion region of the transistor and substrate

Reverse Biased Diode Current (Junction Leakage)-I1

Can we adjust Vbias to control junction leakage Q

0 1

ONSubthreshold

leakage

p-n junctionleakage tosubstrate

Gateleakage

OFF

1 0

OFF

Subthresholdleakage

p-n junctionleakage

from n-well

Gateleakage

ON

Reverse Biased Diode Current (Junction Leakage)-I1 Contdhellip

Vgslt=0 accumulation mode 0ltVgsltltVthdepletion mode Vgs~Vthweak inversion VgsgtVthInversion

Always flows from source to drain

Vgs lt~ Vth carrier diffusion causes sub threshold leakage

Sub threshold Current ndash I2 (Isub)

0 1

ONSubthreshold

leakage

p-n junctionleakage tosubstrate

Gateleakage

OFF

1 0

OFF

Subthresholdleakage

p-n junctionleakage

from n-well

Gateleakage

ON

How to reduce sub threshold leakage

Higher Vth results in lower leakage longer delay Optimize the design with the balance application of low

Vth (LVT) and high Vth devices (HVT) Older technologies - more threshold variation Newer technologies produce around 30 mV threshold

variation

Isub exponentially scales with Vth vary Vth

Does this equation valid below 90nm Q

Caused by high field effect in the drain junction of MOS transistors

GIDL increases withHigher supply voltagethinner oxideincrease in Vdb and Vdg

When Vgs lt= 0V Vd = Vddavalanche multiplication and band-to-band tunnelingMinority carriers underneath the gate are swept to the substrate

Gate Induced Drain Leakage (GIDL) - I3

How to reduce gate leakage

Due to high electric field across a thin gate oxide

Fowler-Nordheim tunneling conduction band of the oxide layer

Direct tunneling through the silicon oxide layer if it is less than 3ndash4 nm thick

Gate Oxide Tunnelling - I4

Improve fab chemistry

Reached fundamental limit of gate oxide thickness Q

Leakage Power Trends

At 90 nm and below leakage power management is essentialThinner gate oxides have led to an increase in gate leakage current

leakage current increases exponentially Leakage power is catching up with Dynamic Power

Scaling Boon or Curse Should be done for Voltage and Threshold

voltage to gain the performance

Technology shrinking vs Leakage components

45 nm and below==gtincreased electric field==gtincreased gate leakage

To counteract this voltage is scaled down to

around 1V

Other leakages are low due to improvements in the fabrication process

and material

Dynamic Power Leakage Power Design Architectural

Process Technology

Clock gating Multi Vt Multi Vt Pipelining Multi Vt

Variable frequency Power gating

Clock gating Asynchronous PD SOI

Variable power supply

Back (substrate) bias

Power gating FD SOI

Multi Vdd

Use new devices-FinFet SOI Multi Vdd FinFet

Voltage islands DVFS Body Bias

DVFS Multi oxide

devices

Minimize capacitance by custom design

Low Power Design Techniques

Low Power Design Techniques

Advanced techniques

Basic techniques

Evolution of low power techniques

Source SNUG 2007

Scale both Vdd and Vth to maintain performanceQuadratic reduction in supply voltage==gtcubic reduction of powerThis equation deviates when Vdd reaches sub threshold voltage level ie Vdd ~ Vth Dynamic power reduction decreases sub threshold leakage increases==gtputs limit on scaling Dont expect any more rigorous scaling

Supply Voltage Reduction - Voltage Scaling

There are two types of clock gating styles available They are

1) Latch-based clock gating2) Latch-free clock gating

Clock tree consume more than 50 of dynamic powerTurn off the clock when it is not neededGate the clocks of flops which have common enable signal

The components of this power are

Power consumed by combinatorial logic whose values are changing on each clock edgePower consumed by flip-flops andThe power consumed by the clock buffer tree in the design

Clock Gating

Uses a simple AND or OR gate

Glitches are inevitable

Less used

Latch free clock gating

D Q

CK

D Q

CK

En

clk

Gatedclock

Adds a level-sensitive latch

Holds the enable signal from the active edge of the clock until the inactive edge of the clock

Less glitch

ICG-Integrated Clock Gating cells

Easy adoption by EDA tools

No changemodification required

Latch based clock gating

Use both LVT and HVT cells LVT gates on critical path while HVT gates off the

critical path Footprint and area of low Vt and high Vt cells are

same as that of nominal Vt cells Multi Vt optimization is placement non disturbing This enables swapping of cells Increase fabrication complexity

Multi Threshold (MVT) technique

Different multi vt flows

One (single)

pass flow

Two pass flow

Compile with a set of libraries

-Compile with a set of

libraries

-Incremental compilation with another

set of libraries

-Rvt-Lvt

-Rvt-Hvt

-Multi Vt

-Lvt

-Hvt

-Lvt to Mvt

-Hvt to Mvt

-Rvt to Multi Vt

Low Vt to Multi-Vt

-Least cell count

-Good for tight timing constraint

-Highest leakage power

-Less opportunity for leakage

optimization

High Vt to Multi-Vt

-Least leakage power

-Good for leakage critical design

-Higher cell count

With different timing constraints it works as well balanced flow

High Vt library

Low Vt library

Compile With Multi-Vt Libraries-Multi Vt One Pass Flow

Overall good result

Can be used for most of the designs

Low Vt have different well implantation Could overlap to adjacent High Vt cell Provide buffer space around edges

Multi Vt Spacing requirement

Between Hvt Cells-place only Hvt filler cellBetween Lvt Cells-place only Lvt filler cell

Placing opposite Vt filler cell can create gap in

implant regions violation of DRC

IC Compiler handles the issue

automatically

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 10: Low Power Techniques

Condition PMOS NMOS

Vin lt Vth

ON (sat) OFF (cutoff)

Vin = Vth

Linear (towards cutoff)

Linear (towards

sat)

Vin gt Vth

OFF (cutoff) ON (sat)

To get equal risefall balance transistor sizing

25V

25V

0V

Vin

Vout

Vthn

Vdd- |Vthp|

More risefall time more short circuitLower threshold voltage more short

circuit

VthnltVinltVdd-|Vthp|

NMOScurve

PMOScurve

Pavg(short circuit) = 112kτFclk(Vdd-2Vt)3

Short Circuit Power-Analysis

If VddltVthn+|Vthp| can we eliminate short circuit current

Q

Diode reverse bias current or Reverse-biased drain- and source-substrate junction band-to-band-tunneling (BTBT) ndashI1

Sub threshold current ndash I2

Gate induced drain leakage ndash I3

Gate oxide tunneling ndash I4

Leakage Power

-does not depend on input transition load capacitance -remains constant

Leakage Power Contd

Ireverse=AJs(e(qVbiaskT)-1)whereVbias --gt reverse bias voltage across the junctionJs --gt reverse saturartion current densityA --gt junction area

How to reduceDecrease in junction area depends material

Parasitic diodes formed between the diffusion region of the transistor and substrate

Reverse Biased Diode Current (Junction Leakage)-I1

Can we adjust Vbias to control junction leakage Q

0 1

ONSubthreshold

leakage

p-n junctionleakage tosubstrate

Gateleakage

OFF

1 0

OFF

Subthresholdleakage

p-n junctionleakage

from n-well

Gateleakage

ON

Reverse Biased Diode Current (Junction Leakage)-I1 Contdhellip

Vgslt=0 accumulation mode 0ltVgsltltVthdepletion mode Vgs~Vthweak inversion VgsgtVthInversion

Always flows from source to drain

Vgs lt~ Vth carrier diffusion causes sub threshold leakage

Sub threshold Current ndash I2 (Isub)

0 1

ONSubthreshold

leakage

p-n junctionleakage tosubstrate

Gateleakage

OFF

1 0

OFF

Subthresholdleakage

p-n junctionleakage

from n-well

Gateleakage

ON

How to reduce sub threshold leakage

Higher Vth results in lower leakage longer delay Optimize the design with the balance application of low

Vth (LVT) and high Vth devices (HVT) Older technologies - more threshold variation Newer technologies produce around 30 mV threshold

variation

Isub exponentially scales with Vth vary Vth

Does this equation valid below 90nm Q

Caused by high field effect in the drain junction of MOS transistors

GIDL increases withHigher supply voltagethinner oxideincrease in Vdb and Vdg

When Vgs lt= 0V Vd = Vddavalanche multiplication and band-to-band tunnelingMinority carriers underneath the gate are swept to the substrate

Gate Induced Drain Leakage (GIDL) - I3

How to reduce gate leakage

Due to high electric field across a thin gate oxide

Fowler-Nordheim tunneling conduction band of the oxide layer

Direct tunneling through the silicon oxide layer if it is less than 3ndash4 nm thick

Gate Oxide Tunnelling - I4

Improve fab chemistry

Reached fundamental limit of gate oxide thickness Q

Leakage Power Trends

At 90 nm and below leakage power management is essentialThinner gate oxides have led to an increase in gate leakage current

leakage current increases exponentially Leakage power is catching up with Dynamic Power

Scaling Boon or Curse Should be done for Voltage and Threshold

voltage to gain the performance

Technology shrinking vs Leakage components

45 nm and below==gtincreased electric field==gtincreased gate leakage

To counteract this voltage is scaled down to

around 1V

Other leakages are low due to improvements in the fabrication process

and material

Dynamic Power Leakage Power Design Architectural

Process Technology

Clock gating Multi Vt Multi Vt Pipelining Multi Vt

Variable frequency Power gating

Clock gating Asynchronous PD SOI

Variable power supply

Back (substrate) bias

Power gating FD SOI

Multi Vdd

Use new devices-FinFet SOI Multi Vdd FinFet

Voltage islands DVFS Body Bias

DVFS Multi oxide

devices

Minimize capacitance by custom design

Low Power Design Techniques

Low Power Design Techniques

Advanced techniques

Basic techniques

Evolution of low power techniques

Source SNUG 2007

Scale both Vdd and Vth to maintain performanceQuadratic reduction in supply voltage==gtcubic reduction of powerThis equation deviates when Vdd reaches sub threshold voltage level ie Vdd ~ Vth Dynamic power reduction decreases sub threshold leakage increases==gtputs limit on scaling Dont expect any more rigorous scaling

Supply Voltage Reduction - Voltage Scaling

There are two types of clock gating styles available They are

1) Latch-based clock gating2) Latch-free clock gating

Clock tree consume more than 50 of dynamic powerTurn off the clock when it is not neededGate the clocks of flops which have common enable signal

The components of this power are

Power consumed by combinatorial logic whose values are changing on each clock edgePower consumed by flip-flops andThe power consumed by the clock buffer tree in the design

Clock Gating

Uses a simple AND or OR gate

Glitches are inevitable

Less used

Latch free clock gating

D Q

CK

D Q

CK

En

clk

Gatedclock

Adds a level-sensitive latch

Holds the enable signal from the active edge of the clock until the inactive edge of the clock

Less glitch

ICG-Integrated Clock Gating cells

Easy adoption by EDA tools

No changemodification required

Latch based clock gating

Use both LVT and HVT cells LVT gates on critical path while HVT gates off the

critical path Footprint and area of low Vt and high Vt cells are

same as that of nominal Vt cells Multi Vt optimization is placement non disturbing This enables swapping of cells Increase fabrication complexity

Multi Threshold (MVT) technique

Different multi vt flows

One (single)

pass flow

Two pass flow

Compile with a set of libraries

-Compile with a set of

libraries

-Incremental compilation with another

set of libraries

-Rvt-Lvt

-Rvt-Hvt

-Multi Vt

-Lvt

-Hvt

-Lvt to Mvt

-Hvt to Mvt

-Rvt to Multi Vt

Low Vt to Multi-Vt

-Least cell count

-Good for tight timing constraint

-Highest leakage power

-Less opportunity for leakage

optimization

High Vt to Multi-Vt

-Least leakage power

-Good for leakage critical design

-Higher cell count

With different timing constraints it works as well balanced flow

High Vt library

Low Vt library

Compile With Multi-Vt Libraries-Multi Vt One Pass Flow

Overall good result

Can be used for most of the designs

Low Vt have different well implantation Could overlap to adjacent High Vt cell Provide buffer space around edges

Multi Vt Spacing requirement

Between Hvt Cells-place only Hvt filler cellBetween Lvt Cells-place only Lvt filler cell

Placing opposite Vt filler cell can create gap in

implant regions violation of DRC

IC Compiler handles the issue

automatically

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 11: Low Power Techniques

Diode reverse bias current or Reverse-biased drain- and source-substrate junction band-to-band-tunneling (BTBT) ndashI1

Sub threshold current ndash I2

Gate induced drain leakage ndash I3

Gate oxide tunneling ndash I4

Leakage Power

-does not depend on input transition load capacitance -remains constant

Leakage Power Contd

Ireverse=AJs(e(qVbiaskT)-1)whereVbias --gt reverse bias voltage across the junctionJs --gt reverse saturartion current densityA --gt junction area

How to reduceDecrease in junction area depends material

Parasitic diodes formed between the diffusion region of the transistor and substrate

Reverse Biased Diode Current (Junction Leakage)-I1

Can we adjust Vbias to control junction leakage Q

0 1

ONSubthreshold

leakage

p-n junctionleakage tosubstrate

Gateleakage

OFF

1 0

OFF

Subthresholdleakage

p-n junctionleakage

from n-well

Gateleakage

ON

Reverse Biased Diode Current (Junction Leakage)-I1 Contdhellip

Vgslt=0 accumulation mode 0ltVgsltltVthdepletion mode Vgs~Vthweak inversion VgsgtVthInversion

Always flows from source to drain

Vgs lt~ Vth carrier diffusion causes sub threshold leakage

Sub threshold Current ndash I2 (Isub)

0 1

ONSubthreshold

leakage

p-n junctionleakage tosubstrate

Gateleakage

OFF

1 0

OFF

Subthresholdleakage

p-n junctionleakage

from n-well

Gateleakage

ON

How to reduce sub threshold leakage

Higher Vth results in lower leakage longer delay Optimize the design with the balance application of low

Vth (LVT) and high Vth devices (HVT) Older technologies - more threshold variation Newer technologies produce around 30 mV threshold

variation

Isub exponentially scales with Vth vary Vth

Does this equation valid below 90nm Q

Caused by high field effect in the drain junction of MOS transistors

GIDL increases withHigher supply voltagethinner oxideincrease in Vdb and Vdg

When Vgs lt= 0V Vd = Vddavalanche multiplication and band-to-band tunnelingMinority carriers underneath the gate are swept to the substrate

Gate Induced Drain Leakage (GIDL) - I3

How to reduce gate leakage

Due to high electric field across a thin gate oxide

Fowler-Nordheim tunneling conduction band of the oxide layer

Direct tunneling through the silicon oxide layer if it is less than 3ndash4 nm thick

Gate Oxide Tunnelling - I4

Improve fab chemistry

Reached fundamental limit of gate oxide thickness Q

Leakage Power Trends

At 90 nm and below leakage power management is essentialThinner gate oxides have led to an increase in gate leakage current

leakage current increases exponentially Leakage power is catching up with Dynamic Power

Scaling Boon or Curse Should be done for Voltage and Threshold

voltage to gain the performance

Technology shrinking vs Leakage components

45 nm and below==gtincreased electric field==gtincreased gate leakage

To counteract this voltage is scaled down to

around 1V

Other leakages are low due to improvements in the fabrication process

and material

Dynamic Power Leakage Power Design Architectural

Process Technology

Clock gating Multi Vt Multi Vt Pipelining Multi Vt

Variable frequency Power gating

Clock gating Asynchronous PD SOI

Variable power supply

Back (substrate) bias

Power gating FD SOI

Multi Vdd

Use new devices-FinFet SOI Multi Vdd FinFet

Voltage islands DVFS Body Bias

DVFS Multi oxide

devices

Minimize capacitance by custom design

Low Power Design Techniques

Low Power Design Techniques

Advanced techniques

Basic techniques

Evolution of low power techniques

Source SNUG 2007

Scale both Vdd and Vth to maintain performanceQuadratic reduction in supply voltage==gtcubic reduction of powerThis equation deviates when Vdd reaches sub threshold voltage level ie Vdd ~ Vth Dynamic power reduction decreases sub threshold leakage increases==gtputs limit on scaling Dont expect any more rigorous scaling

Supply Voltage Reduction - Voltage Scaling

There are two types of clock gating styles available They are

1) Latch-based clock gating2) Latch-free clock gating

Clock tree consume more than 50 of dynamic powerTurn off the clock when it is not neededGate the clocks of flops which have common enable signal

The components of this power are

Power consumed by combinatorial logic whose values are changing on each clock edgePower consumed by flip-flops andThe power consumed by the clock buffer tree in the design

Clock Gating

Uses a simple AND or OR gate

Glitches are inevitable

Less used

Latch free clock gating

D Q

CK

D Q

CK

En

clk

Gatedclock

Adds a level-sensitive latch

Holds the enable signal from the active edge of the clock until the inactive edge of the clock

Less glitch

ICG-Integrated Clock Gating cells

Easy adoption by EDA tools

No changemodification required

Latch based clock gating

Use both LVT and HVT cells LVT gates on critical path while HVT gates off the

critical path Footprint and area of low Vt and high Vt cells are

same as that of nominal Vt cells Multi Vt optimization is placement non disturbing This enables swapping of cells Increase fabrication complexity

Multi Threshold (MVT) technique

Different multi vt flows

One (single)

pass flow

Two pass flow

Compile with a set of libraries

-Compile with a set of

libraries

-Incremental compilation with another

set of libraries

-Rvt-Lvt

-Rvt-Hvt

-Multi Vt

-Lvt

-Hvt

-Lvt to Mvt

-Hvt to Mvt

-Rvt to Multi Vt

Low Vt to Multi-Vt

-Least cell count

-Good for tight timing constraint

-Highest leakage power

-Less opportunity for leakage

optimization

High Vt to Multi-Vt

-Least leakage power

-Good for leakage critical design

-Higher cell count

With different timing constraints it works as well balanced flow

High Vt library

Low Vt library

Compile With Multi-Vt Libraries-Multi Vt One Pass Flow

Overall good result

Can be used for most of the designs

Low Vt have different well implantation Could overlap to adjacent High Vt cell Provide buffer space around edges

Multi Vt Spacing requirement

Between Hvt Cells-place only Hvt filler cellBetween Lvt Cells-place only Lvt filler cell

Placing opposite Vt filler cell can create gap in

implant regions violation of DRC

IC Compiler handles the issue

automatically

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 12: Low Power Techniques

-does not depend on input transition load capacitance -remains constant

Leakage Power Contd

Ireverse=AJs(e(qVbiaskT)-1)whereVbias --gt reverse bias voltage across the junctionJs --gt reverse saturartion current densityA --gt junction area

How to reduceDecrease in junction area depends material

Parasitic diodes formed between the diffusion region of the transistor and substrate

Reverse Biased Diode Current (Junction Leakage)-I1

Can we adjust Vbias to control junction leakage Q

0 1

ONSubthreshold

leakage

p-n junctionleakage tosubstrate

Gateleakage

OFF

1 0

OFF

Subthresholdleakage

p-n junctionleakage

from n-well

Gateleakage

ON

Reverse Biased Diode Current (Junction Leakage)-I1 Contdhellip

Vgslt=0 accumulation mode 0ltVgsltltVthdepletion mode Vgs~Vthweak inversion VgsgtVthInversion

Always flows from source to drain

Vgs lt~ Vth carrier diffusion causes sub threshold leakage

Sub threshold Current ndash I2 (Isub)

0 1

ONSubthreshold

leakage

p-n junctionleakage tosubstrate

Gateleakage

OFF

1 0

OFF

Subthresholdleakage

p-n junctionleakage

from n-well

Gateleakage

ON

How to reduce sub threshold leakage

Higher Vth results in lower leakage longer delay Optimize the design with the balance application of low

Vth (LVT) and high Vth devices (HVT) Older technologies - more threshold variation Newer technologies produce around 30 mV threshold

variation

Isub exponentially scales with Vth vary Vth

Does this equation valid below 90nm Q

Caused by high field effect in the drain junction of MOS transistors

GIDL increases withHigher supply voltagethinner oxideincrease in Vdb and Vdg

When Vgs lt= 0V Vd = Vddavalanche multiplication and band-to-band tunnelingMinority carriers underneath the gate are swept to the substrate

Gate Induced Drain Leakage (GIDL) - I3

How to reduce gate leakage

Due to high electric field across a thin gate oxide

Fowler-Nordheim tunneling conduction band of the oxide layer

Direct tunneling through the silicon oxide layer if it is less than 3ndash4 nm thick

Gate Oxide Tunnelling - I4

Improve fab chemistry

Reached fundamental limit of gate oxide thickness Q

Leakage Power Trends

At 90 nm and below leakage power management is essentialThinner gate oxides have led to an increase in gate leakage current

leakage current increases exponentially Leakage power is catching up with Dynamic Power

Scaling Boon or Curse Should be done for Voltage and Threshold

voltage to gain the performance

Technology shrinking vs Leakage components

45 nm and below==gtincreased electric field==gtincreased gate leakage

To counteract this voltage is scaled down to

around 1V

Other leakages are low due to improvements in the fabrication process

and material

Dynamic Power Leakage Power Design Architectural

Process Technology

Clock gating Multi Vt Multi Vt Pipelining Multi Vt

Variable frequency Power gating

Clock gating Asynchronous PD SOI

Variable power supply

Back (substrate) bias

Power gating FD SOI

Multi Vdd

Use new devices-FinFet SOI Multi Vdd FinFet

Voltage islands DVFS Body Bias

DVFS Multi oxide

devices

Minimize capacitance by custom design

Low Power Design Techniques

Low Power Design Techniques

Advanced techniques

Basic techniques

Evolution of low power techniques

Source SNUG 2007

Scale both Vdd and Vth to maintain performanceQuadratic reduction in supply voltage==gtcubic reduction of powerThis equation deviates when Vdd reaches sub threshold voltage level ie Vdd ~ Vth Dynamic power reduction decreases sub threshold leakage increases==gtputs limit on scaling Dont expect any more rigorous scaling

Supply Voltage Reduction - Voltage Scaling

There are two types of clock gating styles available They are

1) Latch-based clock gating2) Latch-free clock gating

Clock tree consume more than 50 of dynamic powerTurn off the clock when it is not neededGate the clocks of flops which have common enable signal

The components of this power are

Power consumed by combinatorial logic whose values are changing on each clock edgePower consumed by flip-flops andThe power consumed by the clock buffer tree in the design

Clock Gating

Uses a simple AND or OR gate

Glitches are inevitable

Less used

Latch free clock gating

D Q

CK

D Q

CK

En

clk

Gatedclock

Adds a level-sensitive latch

Holds the enable signal from the active edge of the clock until the inactive edge of the clock

Less glitch

ICG-Integrated Clock Gating cells

Easy adoption by EDA tools

No changemodification required

Latch based clock gating

Use both LVT and HVT cells LVT gates on critical path while HVT gates off the

critical path Footprint and area of low Vt and high Vt cells are

same as that of nominal Vt cells Multi Vt optimization is placement non disturbing This enables swapping of cells Increase fabrication complexity

Multi Threshold (MVT) technique

Different multi vt flows

One (single)

pass flow

Two pass flow

Compile with a set of libraries

-Compile with a set of

libraries

-Incremental compilation with another

set of libraries

-Rvt-Lvt

-Rvt-Hvt

-Multi Vt

-Lvt

-Hvt

-Lvt to Mvt

-Hvt to Mvt

-Rvt to Multi Vt

Low Vt to Multi-Vt

-Least cell count

-Good for tight timing constraint

-Highest leakage power

-Less opportunity for leakage

optimization

High Vt to Multi-Vt

-Least leakage power

-Good for leakage critical design

-Higher cell count

With different timing constraints it works as well balanced flow

High Vt library

Low Vt library

Compile With Multi-Vt Libraries-Multi Vt One Pass Flow

Overall good result

Can be used for most of the designs

Low Vt have different well implantation Could overlap to adjacent High Vt cell Provide buffer space around edges

Multi Vt Spacing requirement

Between Hvt Cells-place only Hvt filler cellBetween Lvt Cells-place only Lvt filler cell

Placing opposite Vt filler cell can create gap in

implant regions violation of DRC

IC Compiler handles the issue

automatically

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 13: Low Power Techniques

Ireverse=AJs(e(qVbiaskT)-1)whereVbias --gt reverse bias voltage across the junctionJs --gt reverse saturartion current densityA --gt junction area

How to reduceDecrease in junction area depends material

Parasitic diodes formed between the diffusion region of the transistor and substrate

Reverse Biased Diode Current (Junction Leakage)-I1

Can we adjust Vbias to control junction leakage Q

0 1

ONSubthreshold

leakage

p-n junctionleakage tosubstrate

Gateleakage

OFF

1 0

OFF

Subthresholdleakage

p-n junctionleakage

from n-well

Gateleakage

ON

Reverse Biased Diode Current (Junction Leakage)-I1 Contdhellip

Vgslt=0 accumulation mode 0ltVgsltltVthdepletion mode Vgs~Vthweak inversion VgsgtVthInversion

Always flows from source to drain

Vgs lt~ Vth carrier diffusion causes sub threshold leakage

Sub threshold Current ndash I2 (Isub)

0 1

ONSubthreshold

leakage

p-n junctionleakage tosubstrate

Gateleakage

OFF

1 0

OFF

Subthresholdleakage

p-n junctionleakage

from n-well

Gateleakage

ON

How to reduce sub threshold leakage

Higher Vth results in lower leakage longer delay Optimize the design with the balance application of low

Vth (LVT) and high Vth devices (HVT) Older technologies - more threshold variation Newer technologies produce around 30 mV threshold

variation

Isub exponentially scales with Vth vary Vth

Does this equation valid below 90nm Q

Caused by high field effect in the drain junction of MOS transistors

GIDL increases withHigher supply voltagethinner oxideincrease in Vdb and Vdg

When Vgs lt= 0V Vd = Vddavalanche multiplication and band-to-band tunnelingMinority carriers underneath the gate are swept to the substrate

Gate Induced Drain Leakage (GIDL) - I3

How to reduce gate leakage

Due to high electric field across a thin gate oxide

Fowler-Nordheim tunneling conduction band of the oxide layer

Direct tunneling through the silicon oxide layer if it is less than 3ndash4 nm thick

Gate Oxide Tunnelling - I4

Improve fab chemistry

Reached fundamental limit of gate oxide thickness Q

Leakage Power Trends

At 90 nm and below leakage power management is essentialThinner gate oxides have led to an increase in gate leakage current

leakage current increases exponentially Leakage power is catching up with Dynamic Power

Scaling Boon or Curse Should be done for Voltage and Threshold

voltage to gain the performance

Technology shrinking vs Leakage components

45 nm and below==gtincreased electric field==gtincreased gate leakage

To counteract this voltage is scaled down to

around 1V

Other leakages are low due to improvements in the fabrication process

and material

Dynamic Power Leakage Power Design Architectural

Process Technology

Clock gating Multi Vt Multi Vt Pipelining Multi Vt

Variable frequency Power gating

Clock gating Asynchronous PD SOI

Variable power supply

Back (substrate) bias

Power gating FD SOI

Multi Vdd

Use new devices-FinFet SOI Multi Vdd FinFet

Voltage islands DVFS Body Bias

DVFS Multi oxide

devices

Minimize capacitance by custom design

Low Power Design Techniques

Low Power Design Techniques

Advanced techniques

Basic techniques

Evolution of low power techniques

Source SNUG 2007

Scale both Vdd and Vth to maintain performanceQuadratic reduction in supply voltage==gtcubic reduction of powerThis equation deviates when Vdd reaches sub threshold voltage level ie Vdd ~ Vth Dynamic power reduction decreases sub threshold leakage increases==gtputs limit on scaling Dont expect any more rigorous scaling

Supply Voltage Reduction - Voltage Scaling

There are two types of clock gating styles available They are

1) Latch-based clock gating2) Latch-free clock gating

Clock tree consume more than 50 of dynamic powerTurn off the clock when it is not neededGate the clocks of flops which have common enable signal

The components of this power are

Power consumed by combinatorial logic whose values are changing on each clock edgePower consumed by flip-flops andThe power consumed by the clock buffer tree in the design

Clock Gating

Uses a simple AND or OR gate

Glitches are inevitable

Less used

Latch free clock gating

D Q

CK

D Q

CK

En

clk

Gatedclock

Adds a level-sensitive latch

Holds the enable signal from the active edge of the clock until the inactive edge of the clock

Less glitch

ICG-Integrated Clock Gating cells

Easy adoption by EDA tools

No changemodification required

Latch based clock gating

Use both LVT and HVT cells LVT gates on critical path while HVT gates off the

critical path Footprint and area of low Vt and high Vt cells are

same as that of nominal Vt cells Multi Vt optimization is placement non disturbing This enables swapping of cells Increase fabrication complexity

Multi Threshold (MVT) technique

Different multi vt flows

One (single)

pass flow

Two pass flow

Compile with a set of libraries

-Compile with a set of

libraries

-Incremental compilation with another

set of libraries

-Rvt-Lvt

-Rvt-Hvt

-Multi Vt

-Lvt

-Hvt

-Lvt to Mvt

-Hvt to Mvt

-Rvt to Multi Vt

Low Vt to Multi-Vt

-Least cell count

-Good for tight timing constraint

-Highest leakage power

-Less opportunity for leakage

optimization

High Vt to Multi-Vt

-Least leakage power

-Good for leakage critical design

-Higher cell count

With different timing constraints it works as well balanced flow

High Vt library

Low Vt library

Compile With Multi-Vt Libraries-Multi Vt One Pass Flow

Overall good result

Can be used for most of the designs

Low Vt have different well implantation Could overlap to adjacent High Vt cell Provide buffer space around edges

Multi Vt Spacing requirement

Between Hvt Cells-place only Hvt filler cellBetween Lvt Cells-place only Lvt filler cell

Placing opposite Vt filler cell can create gap in

implant regions violation of DRC

IC Compiler handles the issue

automatically

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 14: Low Power Techniques

0 1

ONSubthreshold

leakage

p-n junctionleakage tosubstrate

Gateleakage

OFF

1 0

OFF

Subthresholdleakage

p-n junctionleakage

from n-well

Gateleakage

ON

Reverse Biased Diode Current (Junction Leakage)-I1 Contdhellip

Vgslt=0 accumulation mode 0ltVgsltltVthdepletion mode Vgs~Vthweak inversion VgsgtVthInversion

Always flows from source to drain

Vgs lt~ Vth carrier diffusion causes sub threshold leakage

Sub threshold Current ndash I2 (Isub)

0 1

ONSubthreshold

leakage

p-n junctionleakage tosubstrate

Gateleakage

OFF

1 0

OFF

Subthresholdleakage

p-n junctionleakage

from n-well

Gateleakage

ON

How to reduce sub threshold leakage

Higher Vth results in lower leakage longer delay Optimize the design with the balance application of low

Vth (LVT) and high Vth devices (HVT) Older technologies - more threshold variation Newer technologies produce around 30 mV threshold

variation

Isub exponentially scales with Vth vary Vth

Does this equation valid below 90nm Q

Caused by high field effect in the drain junction of MOS transistors

GIDL increases withHigher supply voltagethinner oxideincrease in Vdb and Vdg

When Vgs lt= 0V Vd = Vddavalanche multiplication and band-to-band tunnelingMinority carriers underneath the gate are swept to the substrate

Gate Induced Drain Leakage (GIDL) - I3

How to reduce gate leakage

Due to high electric field across a thin gate oxide

Fowler-Nordheim tunneling conduction band of the oxide layer

Direct tunneling through the silicon oxide layer if it is less than 3ndash4 nm thick

Gate Oxide Tunnelling - I4

Improve fab chemistry

Reached fundamental limit of gate oxide thickness Q

Leakage Power Trends

At 90 nm and below leakage power management is essentialThinner gate oxides have led to an increase in gate leakage current

leakage current increases exponentially Leakage power is catching up with Dynamic Power

Scaling Boon or Curse Should be done for Voltage and Threshold

voltage to gain the performance

Technology shrinking vs Leakage components

45 nm and below==gtincreased electric field==gtincreased gate leakage

To counteract this voltage is scaled down to

around 1V

Other leakages are low due to improvements in the fabrication process

and material

Dynamic Power Leakage Power Design Architectural

Process Technology

Clock gating Multi Vt Multi Vt Pipelining Multi Vt

Variable frequency Power gating

Clock gating Asynchronous PD SOI

Variable power supply

Back (substrate) bias

Power gating FD SOI

Multi Vdd

Use new devices-FinFet SOI Multi Vdd FinFet

Voltage islands DVFS Body Bias

DVFS Multi oxide

devices

Minimize capacitance by custom design

Low Power Design Techniques

Low Power Design Techniques

Advanced techniques

Basic techniques

Evolution of low power techniques

Source SNUG 2007

Scale both Vdd and Vth to maintain performanceQuadratic reduction in supply voltage==gtcubic reduction of powerThis equation deviates when Vdd reaches sub threshold voltage level ie Vdd ~ Vth Dynamic power reduction decreases sub threshold leakage increases==gtputs limit on scaling Dont expect any more rigorous scaling

Supply Voltage Reduction - Voltage Scaling

There are two types of clock gating styles available They are

1) Latch-based clock gating2) Latch-free clock gating

Clock tree consume more than 50 of dynamic powerTurn off the clock when it is not neededGate the clocks of flops which have common enable signal

The components of this power are

Power consumed by combinatorial logic whose values are changing on each clock edgePower consumed by flip-flops andThe power consumed by the clock buffer tree in the design

Clock Gating

Uses a simple AND or OR gate

Glitches are inevitable

Less used

Latch free clock gating

D Q

CK

D Q

CK

En

clk

Gatedclock

Adds a level-sensitive latch

Holds the enable signal from the active edge of the clock until the inactive edge of the clock

Less glitch

ICG-Integrated Clock Gating cells

Easy adoption by EDA tools

No changemodification required

Latch based clock gating

Use both LVT and HVT cells LVT gates on critical path while HVT gates off the

critical path Footprint and area of low Vt and high Vt cells are

same as that of nominal Vt cells Multi Vt optimization is placement non disturbing This enables swapping of cells Increase fabrication complexity

Multi Threshold (MVT) technique

Different multi vt flows

One (single)

pass flow

Two pass flow

Compile with a set of libraries

-Compile with a set of

libraries

-Incremental compilation with another

set of libraries

-Rvt-Lvt

-Rvt-Hvt

-Multi Vt

-Lvt

-Hvt

-Lvt to Mvt

-Hvt to Mvt

-Rvt to Multi Vt

Low Vt to Multi-Vt

-Least cell count

-Good for tight timing constraint

-Highest leakage power

-Less opportunity for leakage

optimization

High Vt to Multi-Vt

-Least leakage power

-Good for leakage critical design

-Higher cell count

With different timing constraints it works as well balanced flow

High Vt library

Low Vt library

Compile With Multi-Vt Libraries-Multi Vt One Pass Flow

Overall good result

Can be used for most of the designs

Low Vt have different well implantation Could overlap to adjacent High Vt cell Provide buffer space around edges

Multi Vt Spacing requirement

Between Hvt Cells-place only Hvt filler cellBetween Lvt Cells-place only Lvt filler cell

Placing opposite Vt filler cell can create gap in

implant regions violation of DRC

IC Compiler handles the issue

automatically

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 15: Low Power Techniques

Vgslt=0 accumulation mode 0ltVgsltltVthdepletion mode Vgs~Vthweak inversion VgsgtVthInversion

Always flows from source to drain

Vgs lt~ Vth carrier diffusion causes sub threshold leakage

Sub threshold Current ndash I2 (Isub)

0 1

ONSubthreshold

leakage

p-n junctionleakage tosubstrate

Gateleakage

OFF

1 0

OFF

Subthresholdleakage

p-n junctionleakage

from n-well

Gateleakage

ON

How to reduce sub threshold leakage

Higher Vth results in lower leakage longer delay Optimize the design with the balance application of low

Vth (LVT) and high Vth devices (HVT) Older technologies - more threshold variation Newer technologies produce around 30 mV threshold

variation

Isub exponentially scales with Vth vary Vth

Does this equation valid below 90nm Q

Caused by high field effect in the drain junction of MOS transistors

GIDL increases withHigher supply voltagethinner oxideincrease in Vdb and Vdg

When Vgs lt= 0V Vd = Vddavalanche multiplication and band-to-band tunnelingMinority carriers underneath the gate are swept to the substrate

Gate Induced Drain Leakage (GIDL) - I3

How to reduce gate leakage

Due to high electric field across a thin gate oxide

Fowler-Nordheim tunneling conduction band of the oxide layer

Direct tunneling through the silicon oxide layer if it is less than 3ndash4 nm thick

Gate Oxide Tunnelling - I4

Improve fab chemistry

Reached fundamental limit of gate oxide thickness Q

Leakage Power Trends

At 90 nm and below leakage power management is essentialThinner gate oxides have led to an increase in gate leakage current

leakage current increases exponentially Leakage power is catching up with Dynamic Power

Scaling Boon or Curse Should be done for Voltage and Threshold

voltage to gain the performance

Technology shrinking vs Leakage components

45 nm and below==gtincreased electric field==gtincreased gate leakage

To counteract this voltage is scaled down to

around 1V

Other leakages are low due to improvements in the fabrication process

and material

Dynamic Power Leakage Power Design Architectural

Process Technology

Clock gating Multi Vt Multi Vt Pipelining Multi Vt

Variable frequency Power gating

Clock gating Asynchronous PD SOI

Variable power supply

Back (substrate) bias

Power gating FD SOI

Multi Vdd

Use new devices-FinFet SOI Multi Vdd FinFet

Voltage islands DVFS Body Bias

DVFS Multi oxide

devices

Minimize capacitance by custom design

Low Power Design Techniques

Low Power Design Techniques

Advanced techniques

Basic techniques

Evolution of low power techniques

Source SNUG 2007

Scale both Vdd and Vth to maintain performanceQuadratic reduction in supply voltage==gtcubic reduction of powerThis equation deviates when Vdd reaches sub threshold voltage level ie Vdd ~ Vth Dynamic power reduction decreases sub threshold leakage increases==gtputs limit on scaling Dont expect any more rigorous scaling

Supply Voltage Reduction - Voltage Scaling

There are two types of clock gating styles available They are

1) Latch-based clock gating2) Latch-free clock gating

Clock tree consume more than 50 of dynamic powerTurn off the clock when it is not neededGate the clocks of flops which have common enable signal

The components of this power are

Power consumed by combinatorial logic whose values are changing on each clock edgePower consumed by flip-flops andThe power consumed by the clock buffer tree in the design

Clock Gating

Uses a simple AND or OR gate

Glitches are inevitable

Less used

Latch free clock gating

D Q

CK

D Q

CK

En

clk

Gatedclock

Adds a level-sensitive latch

Holds the enable signal from the active edge of the clock until the inactive edge of the clock

Less glitch

ICG-Integrated Clock Gating cells

Easy adoption by EDA tools

No changemodification required

Latch based clock gating

Use both LVT and HVT cells LVT gates on critical path while HVT gates off the

critical path Footprint and area of low Vt and high Vt cells are

same as that of nominal Vt cells Multi Vt optimization is placement non disturbing This enables swapping of cells Increase fabrication complexity

Multi Threshold (MVT) technique

Different multi vt flows

One (single)

pass flow

Two pass flow

Compile with a set of libraries

-Compile with a set of

libraries

-Incremental compilation with another

set of libraries

-Rvt-Lvt

-Rvt-Hvt

-Multi Vt

-Lvt

-Hvt

-Lvt to Mvt

-Hvt to Mvt

-Rvt to Multi Vt

Low Vt to Multi-Vt

-Least cell count

-Good for tight timing constraint

-Highest leakage power

-Less opportunity for leakage

optimization

High Vt to Multi-Vt

-Least leakage power

-Good for leakage critical design

-Higher cell count

With different timing constraints it works as well balanced flow

High Vt library

Low Vt library

Compile With Multi-Vt Libraries-Multi Vt One Pass Flow

Overall good result

Can be used for most of the designs

Low Vt have different well implantation Could overlap to adjacent High Vt cell Provide buffer space around edges

Multi Vt Spacing requirement

Between Hvt Cells-place only Hvt filler cellBetween Lvt Cells-place only Lvt filler cell

Placing opposite Vt filler cell can create gap in

implant regions violation of DRC

IC Compiler handles the issue

automatically

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 16: Low Power Techniques

How to reduce sub threshold leakage

Higher Vth results in lower leakage longer delay Optimize the design with the balance application of low

Vth (LVT) and high Vth devices (HVT) Older technologies - more threshold variation Newer technologies produce around 30 mV threshold

variation

Isub exponentially scales with Vth vary Vth

Does this equation valid below 90nm Q

Caused by high field effect in the drain junction of MOS transistors

GIDL increases withHigher supply voltagethinner oxideincrease in Vdb and Vdg

When Vgs lt= 0V Vd = Vddavalanche multiplication and band-to-band tunnelingMinority carriers underneath the gate are swept to the substrate

Gate Induced Drain Leakage (GIDL) - I3

How to reduce gate leakage

Due to high electric field across a thin gate oxide

Fowler-Nordheim tunneling conduction band of the oxide layer

Direct tunneling through the silicon oxide layer if it is less than 3ndash4 nm thick

Gate Oxide Tunnelling - I4

Improve fab chemistry

Reached fundamental limit of gate oxide thickness Q

Leakage Power Trends

At 90 nm and below leakage power management is essentialThinner gate oxides have led to an increase in gate leakage current

leakage current increases exponentially Leakage power is catching up with Dynamic Power

Scaling Boon or Curse Should be done for Voltage and Threshold

voltage to gain the performance

Technology shrinking vs Leakage components

45 nm and below==gtincreased electric field==gtincreased gate leakage

To counteract this voltage is scaled down to

around 1V

Other leakages are low due to improvements in the fabrication process

and material

Dynamic Power Leakage Power Design Architectural

Process Technology

Clock gating Multi Vt Multi Vt Pipelining Multi Vt

Variable frequency Power gating

Clock gating Asynchronous PD SOI

Variable power supply

Back (substrate) bias

Power gating FD SOI

Multi Vdd

Use new devices-FinFet SOI Multi Vdd FinFet

Voltage islands DVFS Body Bias

DVFS Multi oxide

devices

Minimize capacitance by custom design

Low Power Design Techniques

Low Power Design Techniques

Advanced techniques

Basic techniques

Evolution of low power techniques

Source SNUG 2007

Scale both Vdd and Vth to maintain performanceQuadratic reduction in supply voltage==gtcubic reduction of powerThis equation deviates when Vdd reaches sub threshold voltage level ie Vdd ~ Vth Dynamic power reduction decreases sub threshold leakage increases==gtputs limit on scaling Dont expect any more rigorous scaling

Supply Voltage Reduction - Voltage Scaling

There are two types of clock gating styles available They are

1) Latch-based clock gating2) Latch-free clock gating

Clock tree consume more than 50 of dynamic powerTurn off the clock when it is not neededGate the clocks of flops which have common enable signal

The components of this power are

Power consumed by combinatorial logic whose values are changing on each clock edgePower consumed by flip-flops andThe power consumed by the clock buffer tree in the design

Clock Gating

Uses a simple AND or OR gate

Glitches are inevitable

Less used

Latch free clock gating

D Q

CK

D Q

CK

En

clk

Gatedclock

Adds a level-sensitive latch

Holds the enable signal from the active edge of the clock until the inactive edge of the clock

Less glitch

ICG-Integrated Clock Gating cells

Easy adoption by EDA tools

No changemodification required

Latch based clock gating

Use both LVT and HVT cells LVT gates on critical path while HVT gates off the

critical path Footprint and area of low Vt and high Vt cells are

same as that of nominal Vt cells Multi Vt optimization is placement non disturbing This enables swapping of cells Increase fabrication complexity

Multi Threshold (MVT) technique

Different multi vt flows

One (single)

pass flow

Two pass flow

Compile with a set of libraries

-Compile with a set of

libraries

-Incremental compilation with another

set of libraries

-Rvt-Lvt

-Rvt-Hvt

-Multi Vt

-Lvt

-Hvt

-Lvt to Mvt

-Hvt to Mvt

-Rvt to Multi Vt

Low Vt to Multi-Vt

-Least cell count

-Good for tight timing constraint

-Highest leakage power

-Less opportunity for leakage

optimization

High Vt to Multi-Vt

-Least leakage power

-Good for leakage critical design

-Higher cell count

With different timing constraints it works as well balanced flow

High Vt library

Low Vt library

Compile With Multi-Vt Libraries-Multi Vt One Pass Flow

Overall good result

Can be used for most of the designs

Low Vt have different well implantation Could overlap to adjacent High Vt cell Provide buffer space around edges

Multi Vt Spacing requirement

Between Hvt Cells-place only Hvt filler cellBetween Lvt Cells-place only Lvt filler cell

Placing opposite Vt filler cell can create gap in

implant regions violation of DRC

IC Compiler handles the issue

automatically

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 17: Low Power Techniques

Caused by high field effect in the drain junction of MOS transistors

GIDL increases withHigher supply voltagethinner oxideincrease in Vdb and Vdg

When Vgs lt= 0V Vd = Vddavalanche multiplication and band-to-band tunnelingMinority carriers underneath the gate are swept to the substrate

Gate Induced Drain Leakage (GIDL) - I3

How to reduce gate leakage

Due to high electric field across a thin gate oxide

Fowler-Nordheim tunneling conduction band of the oxide layer

Direct tunneling through the silicon oxide layer if it is less than 3ndash4 nm thick

Gate Oxide Tunnelling - I4

Improve fab chemistry

Reached fundamental limit of gate oxide thickness Q

Leakage Power Trends

At 90 nm and below leakage power management is essentialThinner gate oxides have led to an increase in gate leakage current

leakage current increases exponentially Leakage power is catching up with Dynamic Power

Scaling Boon or Curse Should be done for Voltage and Threshold

voltage to gain the performance

Technology shrinking vs Leakage components

45 nm and below==gtincreased electric field==gtincreased gate leakage

To counteract this voltage is scaled down to

around 1V

Other leakages are low due to improvements in the fabrication process

and material

Dynamic Power Leakage Power Design Architectural

Process Technology

Clock gating Multi Vt Multi Vt Pipelining Multi Vt

Variable frequency Power gating

Clock gating Asynchronous PD SOI

Variable power supply

Back (substrate) bias

Power gating FD SOI

Multi Vdd

Use new devices-FinFet SOI Multi Vdd FinFet

Voltage islands DVFS Body Bias

DVFS Multi oxide

devices

Minimize capacitance by custom design

Low Power Design Techniques

Low Power Design Techniques

Advanced techniques

Basic techniques

Evolution of low power techniques

Source SNUG 2007

Scale both Vdd and Vth to maintain performanceQuadratic reduction in supply voltage==gtcubic reduction of powerThis equation deviates when Vdd reaches sub threshold voltage level ie Vdd ~ Vth Dynamic power reduction decreases sub threshold leakage increases==gtputs limit on scaling Dont expect any more rigorous scaling

Supply Voltage Reduction - Voltage Scaling

There are two types of clock gating styles available They are

1) Latch-based clock gating2) Latch-free clock gating

Clock tree consume more than 50 of dynamic powerTurn off the clock when it is not neededGate the clocks of flops which have common enable signal

The components of this power are

Power consumed by combinatorial logic whose values are changing on each clock edgePower consumed by flip-flops andThe power consumed by the clock buffer tree in the design

Clock Gating

Uses a simple AND or OR gate

Glitches are inevitable

Less used

Latch free clock gating

D Q

CK

D Q

CK

En

clk

Gatedclock

Adds a level-sensitive latch

Holds the enable signal from the active edge of the clock until the inactive edge of the clock

Less glitch

ICG-Integrated Clock Gating cells

Easy adoption by EDA tools

No changemodification required

Latch based clock gating

Use both LVT and HVT cells LVT gates on critical path while HVT gates off the

critical path Footprint and area of low Vt and high Vt cells are

same as that of nominal Vt cells Multi Vt optimization is placement non disturbing This enables swapping of cells Increase fabrication complexity

Multi Threshold (MVT) technique

Different multi vt flows

One (single)

pass flow

Two pass flow

Compile with a set of libraries

-Compile with a set of

libraries

-Incremental compilation with another

set of libraries

-Rvt-Lvt

-Rvt-Hvt

-Multi Vt

-Lvt

-Hvt

-Lvt to Mvt

-Hvt to Mvt

-Rvt to Multi Vt

Low Vt to Multi-Vt

-Least cell count

-Good for tight timing constraint

-Highest leakage power

-Less opportunity for leakage

optimization

High Vt to Multi-Vt

-Least leakage power

-Good for leakage critical design

-Higher cell count

With different timing constraints it works as well balanced flow

High Vt library

Low Vt library

Compile With Multi-Vt Libraries-Multi Vt One Pass Flow

Overall good result

Can be used for most of the designs

Low Vt have different well implantation Could overlap to adjacent High Vt cell Provide buffer space around edges

Multi Vt Spacing requirement

Between Hvt Cells-place only Hvt filler cellBetween Lvt Cells-place only Lvt filler cell

Placing opposite Vt filler cell can create gap in

implant regions violation of DRC

IC Compiler handles the issue

automatically

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 18: Low Power Techniques

How to reduce gate leakage

Due to high electric field across a thin gate oxide

Fowler-Nordheim tunneling conduction band of the oxide layer

Direct tunneling through the silicon oxide layer if it is less than 3ndash4 nm thick

Gate Oxide Tunnelling - I4

Improve fab chemistry

Reached fundamental limit of gate oxide thickness Q

Leakage Power Trends

At 90 nm and below leakage power management is essentialThinner gate oxides have led to an increase in gate leakage current

leakage current increases exponentially Leakage power is catching up with Dynamic Power

Scaling Boon or Curse Should be done for Voltage and Threshold

voltage to gain the performance

Technology shrinking vs Leakage components

45 nm and below==gtincreased electric field==gtincreased gate leakage

To counteract this voltage is scaled down to

around 1V

Other leakages are low due to improvements in the fabrication process

and material

Dynamic Power Leakage Power Design Architectural

Process Technology

Clock gating Multi Vt Multi Vt Pipelining Multi Vt

Variable frequency Power gating

Clock gating Asynchronous PD SOI

Variable power supply

Back (substrate) bias

Power gating FD SOI

Multi Vdd

Use new devices-FinFet SOI Multi Vdd FinFet

Voltage islands DVFS Body Bias

DVFS Multi oxide

devices

Minimize capacitance by custom design

Low Power Design Techniques

Low Power Design Techniques

Advanced techniques

Basic techniques

Evolution of low power techniques

Source SNUG 2007

Scale both Vdd and Vth to maintain performanceQuadratic reduction in supply voltage==gtcubic reduction of powerThis equation deviates when Vdd reaches sub threshold voltage level ie Vdd ~ Vth Dynamic power reduction decreases sub threshold leakage increases==gtputs limit on scaling Dont expect any more rigorous scaling

Supply Voltage Reduction - Voltage Scaling

There are two types of clock gating styles available They are

1) Latch-based clock gating2) Latch-free clock gating

Clock tree consume more than 50 of dynamic powerTurn off the clock when it is not neededGate the clocks of flops which have common enable signal

The components of this power are

Power consumed by combinatorial logic whose values are changing on each clock edgePower consumed by flip-flops andThe power consumed by the clock buffer tree in the design

Clock Gating

Uses a simple AND or OR gate

Glitches are inevitable

Less used

Latch free clock gating

D Q

CK

D Q

CK

En

clk

Gatedclock

Adds a level-sensitive latch

Holds the enable signal from the active edge of the clock until the inactive edge of the clock

Less glitch

ICG-Integrated Clock Gating cells

Easy adoption by EDA tools

No changemodification required

Latch based clock gating

Use both LVT and HVT cells LVT gates on critical path while HVT gates off the

critical path Footprint and area of low Vt and high Vt cells are

same as that of nominal Vt cells Multi Vt optimization is placement non disturbing This enables swapping of cells Increase fabrication complexity

Multi Threshold (MVT) technique

Different multi vt flows

One (single)

pass flow

Two pass flow

Compile with a set of libraries

-Compile with a set of

libraries

-Incremental compilation with another

set of libraries

-Rvt-Lvt

-Rvt-Hvt

-Multi Vt

-Lvt

-Hvt

-Lvt to Mvt

-Hvt to Mvt

-Rvt to Multi Vt

Low Vt to Multi-Vt

-Least cell count

-Good for tight timing constraint

-Highest leakage power

-Less opportunity for leakage

optimization

High Vt to Multi-Vt

-Least leakage power

-Good for leakage critical design

-Higher cell count

With different timing constraints it works as well balanced flow

High Vt library

Low Vt library

Compile With Multi-Vt Libraries-Multi Vt One Pass Flow

Overall good result

Can be used for most of the designs

Low Vt have different well implantation Could overlap to adjacent High Vt cell Provide buffer space around edges

Multi Vt Spacing requirement

Between Hvt Cells-place only Hvt filler cellBetween Lvt Cells-place only Lvt filler cell

Placing opposite Vt filler cell can create gap in

implant regions violation of DRC

IC Compiler handles the issue

automatically

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 19: Low Power Techniques

Leakage Power Trends

At 90 nm and below leakage power management is essentialThinner gate oxides have led to an increase in gate leakage current

leakage current increases exponentially Leakage power is catching up with Dynamic Power

Scaling Boon or Curse Should be done for Voltage and Threshold

voltage to gain the performance

Technology shrinking vs Leakage components

45 nm and below==gtincreased electric field==gtincreased gate leakage

To counteract this voltage is scaled down to

around 1V

Other leakages are low due to improvements in the fabrication process

and material

Dynamic Power Leakage Power Design Architectural

Process Technology

Clock gating Multi Vt Multi Vt Pipelining Multi Vt

Variable frequency Power gating

Clock gating Asynchronous PD SOI

Variable power supply

Back (substrate) bias

Power gating FD SOI

Multi Vdd

Use new devices-FinFet SOI Multi Vdd FinFet

Voltage islands DVFS Body Bias

DVFS Multi oxide

devices

Minimize capacitance by custom design

Low Power Design Techniques

Low Power Design Techniques

Advanced techniques

Basic techniques

Evolution of low power techniques

Source SNUG 2007

Scale both Vdd and Vth to maintain performanceQuadratic reduction in supply voltage==gtcubic reduction of powerThis equation deviates when Vdd reaches sub threshold voltage level ie Vdd ~ Vth Dynamic power reduction decreases sub threshold leakage increases==gtputs limit on scaling Dont expect any more rigorous scaling

Supply Voltage Reduction - Voltage Scaling

There are two types of clock gating styles available They are

1) Latch-based clock gating2) Latch-free clock gating

Clock tree consume more than 50 of dynamic powerTurn off the clock when it is not neededGate the clocks of flops which have common enable signal

The components of this power are

Power consumed by combinatorial logic whose values are changing on each clock edgePower consumed by flip-flops andThe power consumed by the clock buffer tree in the design

Clock Gating

Uses a simple AND or OR gate

Glitches are inevitable

Less used

Latch free clock gating

D Q

CK

D Q

CK

En

clk

Gatedclock

Adds a level-sensitive latch

Holds the enable signal from the active edge of the clock until the inactive edge of the clock

Less glitch

ICG-Integrated Clock Gating cells

Easy adoption by EDA tools

No changemodification required

Latch based clock gating

Use both LVT and HVT cells LVT gates on critical path while HVT gates off the

critical path Footprint and area of low Vt and high Vt cells are

same as that of nominal Vt cells Multi Vt optimization is placement non disturbing This enables swapping of cells Increase fabrication complexity

Multi Threshold (MVT) technique

Different multi vt flows

One (single)

pass flow

Two pass flow

Compile with a set of libraries

-Compile with a set of

libraries

-Incremental compilation with another

set of libraries

-Rvt-Lvt

-Rvt-Hvt

-Multi Vt

-Lvt

-Hvt

-Lvt to Mvt

-Hvt to Mvt

-Rvt to Multi Vt

Low Vt to Multi-Vt

-Least cell count

-Good for tight timing constraint

-Highest leakage power

-Less opportunity for leakage

optimization

High Vt to Multi-Vt

-Least leakage power

-Good for leakage critical design

-Higher cell count

With different timing constraints it works as well balanced flow

High Vt library

Low Vt library

Compile With Multi-Vt Libraries-Multi Vt One Pass Flow

Overall good result

Can be used for most of the designs

Low Vt have different well implantation Could overlap to adjacent High Vt cell Provide buffer space around edges

Multi Vt Spacing requirement

Between Hvt Cells-place only Hvt filler cellBetween Lvt Cells-place only Lvt filler cell

Placing opposite Vt filler cell can create gap in

implant regions violation of DRC

IC Compiler handles the issue

automatically

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 20: Low Power Techniques

Technology shrinking vs Leakage components

45 nm and below==gtincreased electric field==gtincreased gate leakage

To counteract this voltage is scaled down to

around 1V

Other leakages are low due to improvements in the fabrication process

and material

Dynamic Power Leakage Power Design Architectural

Process Technology

Clock gating Multi Vt Multi Vt Pipelining Multi Vt

Variable frequency Power gating

Clock gating Asynchronous PD SOI

Variable power supply

Back (substrate) bias

Power gating FD SOI

Multi Vdd

Use new devices-FinFet SOI Multi Vdd FinFet

Voltage islands DVFS Body Bias

DVFS Multi oxide

devices

Minimize capacitance by custom design

Low Power Design Techniques

Low Power Design Techniques

Advanced techniques

Basic techniques

Evolution of low power techniques

Source SNUG 2007

Scale both Vdd and Vth to maintain performanceQuadratic reduction in supply voltage==gtcubic reduction of powerThis equation deviates when Vdd reaches sub threshold voltage level ie Vdd ~ Vth Dynamic power reduction decreases sub threshold leakage increases==gtputs limit on scaling Dont expect any more rigorous scaling

Supply Voltage Reduction - Voltage Scaling

There are two types of clock gating styles available They are

1) Latch-based clock gating2) Latch-free clock gating

Clock tree consume more than 50 of dynamic powerTurn off the clock when it is not neededGate the clocks of flops which have common enable signal

The components of this power are

Power consumed by combinatorial logic whose values are changing on each clock edgePower consumed by flip-flops andThe power consumed by the clock buffer tree in the design

Clock Gating

Uses a simple AND or OR gate

Glitches are inevitable

Less used

Latch free clock gating

D Q

CK

D Q

CK

En

clk

Gatedclock

Adds a level-sensitive latch

Holds the enable signal from the active edge of the clock until the inactive edge of the clock

Less glitch

ICG-Integrated Clock Gating cells

Easy adoption by EDA tools

No changemodification required

Latch based clock gating

Use both LVT and HVT cells LVT gates on critical path while HVT gates off the

critical path Footprint and area of low Vt and high Vt cells are

same as that of nominal Vt cells Multi Vt optimization is placement non disturbing This enables swapping of cells Increase fabrication complexity

Multi Threshold (MVT) technique

Different multi vt flows

One (single)

pass flow

Two pass flow

Compile with a set of libraries

-Compile with a set of

libraries

-Incremental compilation with another

set of libraries

-Rvt-Lvt

-Rvt-Hvt

-Multi Vt

-Lvt

-Hvt

-Lvt to Mvt

-Hvt to Mvt

-Rvt to Multi Vt

Low Vt to Multi-Vt

-Least cell count

-Good for tight timing constraint

-Highest leakage power

-Less opportunity for leakage

optimization

High Vt to Multi-Vt

-Least leakage power

-Good for leakage critical design

-Higher cell count

With different timing constraints it works as well balanced flow

High Vt library

Low Vt library

Compile With Multi-Vt Libraries-Multi Vt One Pass Flow

Overall good result

Can be used for most of the designs

Low Vt have different well implantation Could overlap to adjacent High Vt cell Provide buffer space around edges

Multi Vt Spacing requirement

Between Hvt Cells-place only Hvt filler cellBetween Lvt Cells-place only Lvt filler cell

Placing opposite Vt filler cell can create gap in

implant regions violation of DRC

IC Compiler handles the issue

automatically

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 21: Low Power Techniques

Dynamic Power Leakage Power Design Architectural

Process Technology

Clock gating Multi Vt Multi Vt Pipelining Multi Vt

Variable frequency Power gating

Clock gating Asynchronous PD SOI

Variable power supply

Back (substrate) bias

Power gating FD SOI

Multi Vdd

Use new devices-FinFet SOI Multi Vdd FinFet

Voltage islands DVFS Body Bias

DVFS Multi oxide

devices

Minimize capacitance by custom design

Low Power Design Techniques

Low Power Design Techniques

Advanced techniques

Basic techniques

Evolution of low power techniques

Source SNUG 2007

Scale both Vdd and Vth to maintain performanceQuadratic reduction in supply voltage==gtcubic reduction of powerThis equation deviates when Vdd reaches sub threshold voltage level ie Vdd ~ Vth Dynamic power reduction decreases sub threshold leakage increases==gtputs limit on scaling Dont expect any more rigorous scaling

Supply Voltage Reduction - Voltage Scaling

There are two types of clock gating styles available They are

1) Latch-based clock gating2) Latch-free clock gating

Clock tree consume more than 50 of dynamic powerTurn off the clock when it is not neededGate the clocks of flops which have common enable signal

The components of this power are

Power consumed by combinatorial logic whose values are changing on each clock edgePower consumed by flip-flops andThe power consumed by the clock buffer tree in the design

Clock Gating

Uses a simple AND or OR gate

Glitches are inevitable

Less used

Latch free clock gating

D Q

CK

D Q

CK

En

clk

Gatedclock

Adds a level-sensitive latch

Holds the enable signal from the active edge of the clock until the inactive edge of the clock

Less glitch

ICG-Integrated Clock Gating cells

Easy adoption by EDA tools

No changemodification required

Latch based clock gating

Use both LVT and HVT cells LVT gates on critical path while HVT gates off the

critical path Footprint and area of low Vt and high Vt cells are

same as that of nominal Vt cells Multi Vt optimization is placement non disturbing This enables swapping of cells Increase fabrication complexity

Multi Threshold (MVT) technique

Different multi vt flows

One (single)

pass flow

Two pass flow

Compile with a set of libraries

-Compile with a set of

libraries

-Incremental compilation with another

set of libraries

-Rvt-Lvt

-Rvt-Hvt

-Multi Vt

-Lvt

-Hvt

-Lvt to Mvt

-Hvt to Mvt

-Rvt to Multi Vt

Low Vt to Multi-Vt

-Least cell count

-Good for tight timing constraint

-Highest leakage power

-Less opportunity for leakage

optimization

High Vt to Multi-Vt

-Least leakage power

-Good for leakage critical design

-Higher cell count

With different timing constraints it works as well balanced flow

High Vt library

Low Vt library

Compile With Multi-Vt Libraries-Multi Vt One Pass Flow

Overall good result

Can be used for most of the designs

Low Vt have different well implantation Could overlap to adjacent High Vt cell Provide buffer space around edges

Multi Vt Spacing requirement

Between Hvt Cells-place only Hvt filler cellBetween Lvt Cells-place only Lvt filler cell

Placing opposite Vt filler cell can create gap in

implant regions violation of DRC

IC Compiler handles the issue

automatically

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 22: Low Power Techniques

Low Power Design Techniques

Advanced techniques

Basic techniques

Evolution of low power techniques

Source SNUG 2007

Scale both Vdd and Vth to maintain performanceQuadratic reduction in supply voltage==gtcubic reduction of powerThis equation deviates when Vdd reaches sub threshold voltage level ie Vdd ~ Vth Dynamic power reduction decreases sub threshold leakage increases==gtputs limit on scaling Dont expect any more rigorous scaling

Supply Voltage Reduction - Voltage Scaling

There are two types of clock gating styles available They are

1) Latch-based clock gating2) Latch-free clock gating

Clock tree consume more than 50 of dynamic powerTurn off the clock when it is not neededGate the clocks of flops which have common enable signal

The components of this power are

Power consumed by combinatorial logic whose values are changing on each clock edgePower consumed by flip-flops andThe power consumed by the clock buffer tree in the design

Clock Gating

Uses a simple AND or OR gate

Glitches are inevitable

Less used

Latch free clock gating

D Q

CK

D Q

CK

En

clk

Gatedclock

Adds a level-sensitive latch

Holds the enable signal from the active edge of the clock until the inactive edge of the clock

Less glitch

ICG-Integrated Clock Gating cells

Easy adoption by EDA tools

No changemodification required

Latch based clock gating

Use both LVT and HVT cells LVT gates on critical path while HVT gates off the

critical path Footprint and area of low Vt and high Vt cells are

same as that of nominal Vt cells Multi Vt optimization is placement non disturbing This enables swapping of cells Increase fabrication complexity

Multi Threshold (MVT) technique

Different multi vt flows

One (single)

pass flow

Two pass flow

Compile with a set of libraries

-Compile with a set of

libraries

-Incremental compilation with another

set of libraries

-Rvt-Lvt

-Rvt-Hvt

-Multi Vt

-Lvt

-Hvt

-Lvt to Mvt

-Hvt to Mvt

-Rvt to Multi Vt

Low Vt to Multi-Vt

-Least cell count

-Good for tight timing constraint

-Highest leakage power

-Less opportunity for leakage

optimization

High Vt to Multi-Vt

-Least leakage power

-Good for leakage critical design

-Higher cell count

With different timing constraints it works as well balanced flow

High Vt library

Low Vt library

Compile With Multi-Vt Libraries-Multi Vt One Pass Flow

Overall good result

Can be used for most of the designs

Low Vt have different well implantation Could overlap to adjacent High Vt cell Provide buffer space around edges

Multi Vt Spacing requirement

Between Hvt Cells-place only Hvt filler cellBetween Lvt Cells-place only Lvt filler cell

Placing opposite Vt filler cell can create gap in

implant regions violation of DRC

IC Compiler handles the issue

automatically

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 23: Low Power Techniques

Evolution of low power techniques

Source SNUG 2007

Scale both Vdd and Vth to maintain performanceQuadratic reduction in supply voltage==gtcubic reduction of powerThis equation deviates when Vdd reaches sub threshold voltage level ie Vdd ~ Vth Dynamic power reduction decreases sub threshold leakage increases==gtputs limit on scaling Dont expect any more rigorous scaling

Supply Voltage Reduction - Voltage Scaling

There are two types of clock gating styles available They are

1) Latch-based clock gating2) Latch-free clock gating

Clock tree consume more than 50 of dynamic powerTurn off the clock when it is not neededGate the clocks of flops which have common enable signal

The components of this power are

Power consumed by combinatorial logic whose values are changing on each clock edgePower consumed by flip-flops andThe power consumed by the clock buffer tree in the design

Clock Gating

Uses a simple AND or OR gate

Glitches are inevitable

Less used

Latch free clock gating

D Q

CK

D Q

CK

En

clk

Gatedclock

Adds a level-sensitive latch

Holds the enable signal from the active edge of the clock until the inactive edge of the clock

Less glitch

ICG-Integrated Clock Gating cells

Easy adoption by EDA tools

No changemodification required

Latch based clock gating

Use both LVT and HVT cells LVT gates on critical path while HVT gates off the

critical path Footprint and area of low Vt and high Vt cells are

same as that of nominal Vt cells Multi Vt optimization is placement non disturbing This enables swapping of cells Increase fabrication complexity

Multi Threshold (MVT) technique

Different multi vt flows

One (single)

pass flow

Two pass flow

Compile with a set of libraries

-Compile with a set of

libraries

-Incremental compilation with another

set of libraries

-Rvt-Lvt

-Rvt-Hvt

-Multi Vt

-Lvt

-Hvt

-Lvt to Mvt

-Hvt to Mvt

-Rvt to Multi Vt

Low Vt to Multi-Vt

-Least cell count

-Good for tight timing constraint

-Highest leakage power

-Less opportunity for leakage

optimization

High Vt to Multi-Vt

-Least leakage power

-Good for leakage critical design

-Higher cell count

With different timing constraints it works as well balanced flow

High Vt library

Low Vt library

Compile With Multi-Vt Libraries-Multi Vt One Pass Flow

Overall good result

Can be used for most of the designs

Low Vt have different well implantation Could overlap to adjacent High Vt cell Provide buffer space around edges

Multi Vt Spacing requirement

Between Hvt Cells-place only Hvt filler cellBetween Lvt Cells-place only Lvt filler cell

Placing opposite Vt filler cell can create gap in

implant regions violation of DRC

IC Compiler handles the issue

automatically

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 24: Low Power Techniques

Scale both Vdd and Vth to maintain performanceQuadratic reduction in supply voltage==gtcubic reduction of powerThis equation deviates when Vdd reaches sub threshold voltage level ie Vdd ~ Vth Dynamic power reduction decreases sub threshold leakage increases==gtputs limit on scaling Dont expect any more rigorous scaling

Supply Voltage Reduction - Voltage Scaling

There are two types of clock gating styles available They are

1) Latch-based clock gating2) Latch-free clock gating

Clock tree consume more than 50 of dynamic powerTurn off the clock when it is not neededGate the clocks of flops which have common enable signal

The components of this power are

Power consumed by combinatorial logic whose values are changing on each clock edgePower consumed by flip-flops andThe power consumed by the clock buffer tree in the design

Clock Gating

Uses a simple AND or OR gate

Glitches are inevitable

Less used

Latch free clock gating

D Q

CK

D Q

CK

En

clk

Gatedclock

Adds a level-sensitive latch

Holds the enable signal from the active edge of the clock until the inactive edge of the clock

Less glitch

ICG-Integrated Clock Gating cells

Easy adoption by EDA tools

No changemodification required

Latch based clock gating

Use both LVT and HVT cells LVT gates on critical path while HVT gates off the

critical path Footprint and area of low Vt and high Vt cells are

same as that of nominal Vt cells Multi Vt optimization is placement non disturbing This enables swapping of cells Increase fabrication complexity

Multi Threshold (MVT) technique

Different multi vt flows

One (single)

pass flow

Two pass flow

Compile with a set of libraries

-Compile with a set of

libraries

-Incremental compilation with another

set of libraries

-Rvt-Lvt

-Rvt-Hvt

-Multi Vt

-Lvt

-Hvt

-Lvt to Mvt

-Hvt to Mvt

-Rvt to Multi Vt

Low Vt to Multi-Vt

-Least cell count

-Good for tight timing constraint

-Highest leakage power

-Less opportunity for leakage

optimization

High Vt to Multi-Vt

-Least leakage power

-Good for leakage critical design

-Higher cell count

With different timing constraints it works as well balanced flow

High Vt library

Low Vt library

Compile With Multi-Vt Libraries-Multi Vt One Pass Flow

Overall good result

Can be used for most of the designs

Low Vt have different well implantation Could overlap to adjacent High Vt cell Provide buffer space around edges

Multi Vt Spacing requirement

Between Hvt Cells-place only Hvt filler cellBetween Lvt Cells-place only Lvt filler cell

Placing opposite Vt filler cell can create gap in

implant regions violation of DRC

IC Compiler handles the issue

automatically

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 25: Low Power Techniques

There are two types of clock gating styles available They are

1) Latch-based clock gating2) Latch-free clock gating

Clock tree consume more than 50 of dynamic powerTurn off the clock when it is not neededGate the clocks of flops which have common enable signal

The components of this power are

Power consumed by combinatorial logic whose values are changing on each clock edgePower consumed by flip-flops andThe power consumed by the clock buffer tree in the design

Clock Gating

Uses a simple AND or OR gate

Glitches are inevitable

Less used

Latch free clock gating

D Q

CK

D Q

CK

En

clk

Gatedclock

Adds a level-sensitive latch

Holds the enable signal from the active edge of the clock until the inactive edge of the clock

Less glitch

ICG-Integrated Clock Gating cells

Easy adoption by EDA tools

No changemodification required

Latch based clock gating

Use both LVT and HVT cells LVT gates on critical path while HVT gates off the

critical path Footprint and area of low Vt and high Vt cells are

same as that of nominal Vt cells Multi Vt optimization is placement non disturbing This enables swapping of cells Increase fabrication complexity

Multi Threshold (MVT) technique

Different multi vt flows

One (single)

pass flow

Two pass flow

Compile with a set of libraries

-Compile with a set of

libraries

-Incremental compilation with another

set of libraries

-Rvt-Lvt

-Rvt-Hvt

-Multi Vt

-Lvt

-Hvt

-Lvt to Mvt

-Hvt to Mvt

-Rvt to Multi Vt

Low Vt to Multi-Vt

-Least cell count

-Good for tight timing constraint

-Highest leakage power

-Less opportunity for leakage

optimization

High Vt to Multi-Vt

-Least leakage power

-Good for leakage critical design

-Higher cell count

With different timing constraints it works as well balanced flow

High Vt library

Low Vt library

Compile With Multi-Vt Libraries-Multi Vt One Pass Flow

Overall good result

Can be used for most of the designs

Low Vt have different well implantation Could overlap to adjacent High Vt cell Provide buffer space around edges

Multi Vt Spacing requirement

Between Hvt Cells-place only Hvt filler cellBetween Lvt Cells-place only Lvt filler cell

Placing opposite Vt filler cell can create gap in

implant regions violation of DRC

IC Compiler handles the issue

automatically

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 26: Low Power Techniques

Uses a simple AND or OR gate

Glitches are inevitable

Less used

Latch free clock gating

D Q

CK

D Q

CK

En

clk

Gatedclock

Adds a level-sensitive latch

Holds the enable signal from the active edge of the clock until the inactive edge of the clock

Less glitch

ICG-Integrated Clock Gating cells

Easy adoption by EDA tools

No changemodification required

Latch based clock gating

Use both LVT and HVT cells LVT gates on critical path while HVT gates off the

critical path Footprint and area of low Vt and high Vt cells are

same as that of nominal Vt cells Multi Vt optimization is placement non disturbing This enables swapping of cells Increase fabrication complexity

Multi Threshold (MVT) technique

Different multi vt flows

One (single)

pass flow

Two pass flow

Compile with a set of libraries

-Compile with a set of

libraries

-Incremental compilation with another

set of libraries

-Rvt-Lvt

-Rvt-Hvt

-Multi Vt

-Lvt

-Hvt

-Lvt to Mvt

-Hvt to Mvt

-Rvt to Multi Vt

Low Vt to Multi-Vt

-Least cell count

-Good for tight timing constraint

-Highest leakage power

-Less opportunity for leakage

optimization

High Vt to Multi-Vt

-Least leakage power

-Good for leakage critical design

-Higher cell count

With different timing constraints it works as well balanced flow

High Vt library

Low Vt library

Compile With Multi-Vt Libraries-Multi Vt One Pass Flow

Overall good result

Can be used for most of the designs

Low Vt have different well implantation Could overlap to adjacent High Vt cell Provide buffer space around edges

Multi Vt Spacing requirement

Between Hvt Cells-place only Hvt filler cellBetween Lvt Cells-place only Lvt filler cell

Placing opposite Vt filler cell can create gap in

implant regions violation of DRC

IC Compiler handles the issue

automatically

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 27: Low Power Techniques

D Q

CK

D Q

CK

En

clk

Gatedclock

Adds a level-sensitive latch

Holds the enable signal from the active edge of the clock until the inactive edge of the clock

Less glitch

ICG-Integrated Clock Gating cells

Easy adoption by EDA tools

No changemodification required

Latch based clock gating

Use both LVT and HVT cells LVT gates on critical path while HVT gates off the

critical path Footprint and area of low Vt and high Vt cells are

same as that of nominal Vt cells Multi Vt optimization is placement non disturbing This enables swapping of cells Increase fabrication complexity

Multi Threshold (MVT) technique

Different multi vt flows

One (single)

pass flow

Two pass flow

Compile with a set of libraries

-Compile with a set of

libraries

-Incremental compilation with another

set of libraries

-Rvt-Lvt

-Rvt-Hvt

-Multi Vt

-Lvt

-Hvt

-Lvt to Mvt

-Hvt to Mvt

-Rvt to Multi Vt

Low Vt to Multi-Vt

-Least cell count

-Good for tight timing constraint

-Highest leakage power

-Less opportunity for leakage

optimization

High Vt to Multi-Vt

-Least leakage power

-Good for leakage critical design

-Higher cell count

With different timing constraints it works as well balanced flow

High Vt library

Low Vt library

Compile With Multi-Vt Libraries-Multi Vt One Pass Flow

Overall good result

Can be used for most of the designs

Low Vt have different well implantation Could overlap to adjacent High Vt cell Provide buffer space around edges

Multi Vt Spacing requirement

Between Hvt Cells-place only Hvt filler cellBetween Lvt Cells-place only Lvt filler cell

Placing opposite Vt filler cell can create gap in

implant regions violation of DRC

IC Compiler handles the issue

automatically

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 28: Low Power Techniques

Use both LVT and HVT cells LVT gates on critical path while HVT gates off the

critical path Footprint and area of low Vt and high Vt cells are

same as that of nominal Vt cells Multi Vt optimization is placement non disturbing This enables swapping of cells Increase fabrication complexity

Multi Threshold (MVT) technique

Different multi vt flows

One (single)

pass flow

Two pass flow

Compile with a set of libraries

-Compile with a set of

libraries

-Incremental compilation with another

set of libraries

-Rvt-Lvt

-Rvt-Hvt

-Multi Vt

-Lvt

-Hvt

-Lvt to Mvt

-Hvt to Mvt

-Rvt to Multi Vt

Low Vt to Multi-Vt

-Least cell count

-Good for tight timing constraint

-Highest leakage power

-Less opportunity for leakage

optimization

High Vt to Multi-Vt

-Least leakage power

-Good for leakage critical design

-Higher cell count

With different timing constraints it works as well balanced flow

High Vt library

Low Vt library

Compile With Multi-Vt Libraries-Multi Vt One Pass Flow

Overall good result

Can be used for most of the designs

Low Vt have different well implantation Could overlap to adjacent High Vt cell Provide buffer space around edges

Multi Vt Spacing requirement

Between Hvt Cells-place only Hvt filler cellBetween Lvt Cells-place only Lvt filler cell

Placing opposite Vt filler cell can create gap in

implant regions violation of DRC

IC Compiler handles the issue

automatically

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 29: Low Power Techniques

Different multi vt flows

One (single)

pass flow

Two pass flow

Compile with a set of libraries

-Compile with a set of

libraries

-Incremental compilation with another

set of libraries

-Rvt-Lvt

-Rvt-Hvt

-Multi Vt

-Lvt

-Hvt

-Lvt to Mvt

-Hvt to Mvt

-Rvt to Multi Vt

Low Vt to Multi-Vt

-Least cell count

-Good for tight timing constraint

-Highest leakage power

-Less opportunity for leakage

optimization

High Vt to Multi-Vt

-Least leakage power

-Good for leakage critical design

-Higher cell count

With different timing constraints it works as well balanced flow

High Vt library

Low Vt library

Compile With Multi-Vt Libraries-Multi Vt One Pass Flow

Overall good result

Can be used for most of the designs

Low Vt have different well implantation Could overlap to adjacent High Vt cell Provide buffer space around edges

Multi Vt Spacing requirement

Between Hvt Cells-place only Hvt filler cellBetween Lvt Cells-place only Lvt filler cell

Placing opposite Vt filler cell can create gap in

implant regions violation of DRC

IC Compiler handles the issue

automatically

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 30: Low Power Techniques

Low Vt to Multi-Vt

-Least cell count

-Good for tight timing constraint

-Highest leakage power

-Less opportunity for leakage

optimization

High Vt to Multi-Vt

-Least leakage power

-Good for leakage critical design

-Higher cell count

With different timing constraints it works as well balanced flow

High Vt library

Low Vt library

Compile With Multi-Vt Libraries-Multi Vt One Pass Flow

Overall good result

Can be used for most of the designs

Low Vt have different well implantation Could overlap to adjacent High Vt cell Provide buffer space around edges

Multi Vt Spacing requirement

Between Hvt Cells-place only Hvt filler cellBetween Lvt Cells-place only Lvt filler cell

Placing opposite Vt filler cell can create gap in

implant regions violation of DRC

IC Compiler handles the issue

automatically

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 31: Low Power Techniques

High Vt to Multi-Vt

-Least leakage power

-Good for leakage critical design

-Higher cell count

With different timing constraints it works as well balanced flow

High Vt library

Low Vt library

Compile With Multi-Vt Libraries-Multi Vt One Pass Flow

Overall good result

Can be used for most of the designs

Low Vt have different well implantation Could overlap to adjacent High Vt cell Provide buffer space around edges

Multi Vt Spacing requirement

Between Hvt Cells-place only Hvt filler cellBetween Lvt Cells-place only Lvt filler cell

Placing opposite Vt filler cell can create gap in

implant regions violation of DRC

IC Compiler handles the issue

automatically

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 32: Low Power Techniques

Compile With Multi-Vt Libraries-Multi Vt One Pass Flow

Overall good result

Can be used for most of the designs

Low Vt have different well implantation Could overlap to adjacent High Vt cell Provide buffer space around edges

Multi Vt Spacing requirement

Between Hvt Cells-place only Hvt filler cellBetween Lvt Cells-place only Lvt filler cell

Placing opposite Vt filler cell can create gap in

implant regions violation of DRC

IC Compiler handles the issue

automatically

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 33: Low Power Techniques

Low Vt have different well implantation Could overlap to adjacent High Vt cell Provide buffer space around edges

Multi Vt Spacing requirement

Between Hvt Cells-place only Hvt filler cellBetween Lvt Cells-place only Lvt filler cell

Placing opposite Vt filler cell can create gap in

implant regions violation of DRC

IC Compiler handles the issue

automatically

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 34: Low Power Techniques

Multi Vdd (Voltage)

Dynamic power is directly proportional to power supplyReduce voltage when performance demand is lessProvide different voltage to different blocks

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 35: Low Power Techniques

Different but fixed voltage is applied to different blocks or subsystems of the SoC design

Static Voltage Scaling (SVS)

Multiple SupplyMulti-Voltage (MV) Islands- Voltage areas with fixed

singlevoltages

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 36: Low Power Techniques

Voltage areas with multiple but fixed voltages Software controlled

Dynamic Voltage and Frequency Scaling (DVFS)

When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power

consumption

Voltage as well as frequency is dynamically varied as per the different working modes

of the design

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 37: Low Power Techniques

Voltage is controlled using a control loop

An extension of DVFS

Voltage areas with variable VDD Software controlled

Adaptive voltage Scaling (AVS)

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 38: Low Power Techniques

Multi power domain interface- voltage swing should matchPropagation delay should be less

Multi Voltage Design Challenges Level Shifters

CPU blockPeripheral

block

VSS 0V

VDD1 VDD2Level shifter

1 V 12 V

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 39: Low Power Techniques

Identities of the respective power pins that must be connected to each power supply

Library description of level shifter

Type of conversion performedHigh-to-lowLow-to-highOr both

Supported voltage levels

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 40: Low Power Techniques

Floor planning and Power Planning

Burning issue

Local on chip voltage regulation or external separate supply

Every power domain requires independent local power supply

and grid structure

May have a separate power padIn flip-chip designs power pad can be taken out near from the power domain

Separate rows for standard cells and special cells

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 41: Low Power Techniques

Clock Libraries should be characterized for

different voltage levels that are used in the design

Clock Tree Synthesis (CTS) tools should be aware of different power domains

Clock tree is routed through level shifters to reach different power domains

Static Timing Analysis (STA) For each supply voltage level or

operating point constraints should specified

There can be different operating modes for different voltages

Constraints need not be same for all modes and voltages

The performance target for each mode can vary

Flip-Flop

LevelShifter

Flip-Flop

LevelShifter

Clock Generator

09v 12v11v

Multi Voltage Designs Timing Issues

TOP

Block1 Block2

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 42: Low Power Techniques

CMOS LogicLowNom Vt

Vdd

standby

standby

HighVt

HighVt

Prevents leakagein standby mode

Prevents leakagein standby mode

High speedoperation

Multiple Threshold CMOS (MTCMOS) Circuits

Use Hvt and Lvt cells

Mainly used ion CMOS full custom design

Extensively used inPower gating

Called as ldquosleep transistorrdquo

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 43: Low Power Techniques

Variable Threshold CMOS (VTCMOS)-Substrate biasing

VddVbias1

Vbias2

Vdd

variable substrate bias voltage from a control circuitry to

vary threshold voltage

General design

substrate is tied to power

or ground

ProsConsiderable power reductionNegligible area overhead

ConsRequires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 44: Low Power Techniques

Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely

entered and exited

How to shut down

Either by software or hardware Driver software can schedule the power down operations Hardware timers can be utilized A dedicated power management controller is the other option Switch off the block by using external power supply for long term Use CMOS switches for smaller duration switch off Header switch (PMOS) or footer switch (NMOS)

Power-gating

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 45: Low Power Techniques

CMOSlogic

High Vt NMOSFooter switch

Power switchingcontrol signal

Header ndashFooter Switches

A power switch (header or footer) is added to supply rails to

shut-down logic(MTCMOS switches)

CMOSlogic

High Vt PMOSHeader switchPower switching

control signal

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 46: Low Power Techniques

Power gate size Should handle the switching (rush) current Big enough not to have IR drop Footer gates are smaller for the same amount of current (NMOS has

twice mobility of PMOS)

Gate control slew rate Larger the slew larger the time taken to switch off or switch on

Simultaneous switching capacitance Refers to the amount of circuit that can be switched simultaneously

without affecting the power network integrity Rush current may damage the circuitry Switch the block step by step

Power gate leakage Should have less leakage Use High Vt transistors ==gt slower switching

Power-gating parameters

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 47: Low Power Techniques

Add a sleep transistor to every cell

Switching transistor as a part of the standard cell logic

~10X leakage reduction

Large area penaltyCreates timing issues

Fine-grain power gating

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 48: Low Power Techniques

Grid style sleep transistors Power-gating transistor is a part of the power distribution network Less sensitive to PVT variation Introduces less IR-drop variation Imposes a smaller area overhead Switching capacitance is a major issueswitch on blocks one by one use

counters daisy chain logic The global power is the higher layers of metal (Metal 5 and 6 in a 6 metal

layer process) while the switched power is in the lower layers (Metal 1 and 2)

Coarse-grain power gating

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 49: Low Power Techniques

Ring-based methodology Power gates are placed

around the perimeter of the module

Column-based methodology

Gates are inserted within the module

Coarse-grain power gating-Column or Ring based

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 50: Low Power Techniques

Isolate power gated block from the normally on blockIsolation cells are specially designed for low short circuit current when input is at threshold voltage levelIsolation cell provides a known constant logic value to an always-on block when the power-down block has no powerCan hold a logic 1 or 0 or can hold the signal value latched at the time of the power-down eventIsolation cells must themselves have power during block power down periods

Isolation Cells

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 51: Low Power Techniques

The power switching can be combined with multi-voltage operationThe interface cells between different blocks must perform both level shifting and isolation functions

Enable level shifter

Acts as level shifter and Isolation cell

1 V12 V

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 52: Low Power Techniques

Special low leakage flip-flops used to hold the data of main register of the power gated blockAlways powered up Power gating controller controls the retention mechanism

SAVE signal saves the register data into the shadow register prior to power-down and the RESTORESignal restores the data after power-up

Retention Registers

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 53: Low Power Techniques

Some logic needs to stay active during shut-down 1048707 Internal enable pins (ISOELS)

1048707 Power switches1048707 Retention registers1048707 User-specific cells

Always on logic

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 54: Low Power Techniques

Low-Power Infrastructure

Low-power design requires new cells with multiple power pinsAdditional modeling information in ldquolibrdquo is required to

automatically handle these cells

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 55: Low Power Techniques

Occupy two rows of standard cell placementThe sleep transistors need to be placed as close as possible to the metal straps to minimize IR drops

Layout Constraints

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 56: Low Power Techniques

Library syntax of special cells

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 57: Low Power Techniques

Force primary inputs latches and flip-flops into certain logic values when they are not in active state

Input vector control (IVC)

Sub threshold leakage and gate leakage are input vector dependent

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 58: Low Power Techniques

Improvement in Process technology

For 90nm and 65nm dielectric = 5 molecular

layers thick ~ 1nm

25x reduction in gate leakage

5x reduction in sub threshold leakage

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 59: Low Power Techniques

New devices SOI FinFET

2003 2009

Improvement in Process technology (Contd)

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 60: Low Power Techniques

Tradeoffs

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 61: Low Power Techniques

Tradeoffs Contd

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 62: Low Power Techniques

Asynchronous Design - Solution to Dynamic Power Clock is a third to half the total dynamic power Letrsquos get rid of the clock Micro pipeline A Simple Asynchronous Design Methodology

Is Hi-k sufficient for 22nm and 16nm Whether this type of transistor structure (hi-k metal gate) will

continue to scale to the next two generationsmdash22 nm and 16 nmmdashis a question for the future

Is there a simple coherent power strategy that unifies the best of DVFS power gating asynchronous

How do we represent and verify very complex power intent such as asynchronous Can we separate function from implementation

Future low power strategy

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 63: Low Power Techniques

Carbon Nano tubes

Channel is a coil of carbon hexagons

Mobility up to70x silicon

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 64: Low Power Techniques

Spintronics

Information is stored (written) into spins as a particular spin orientation (up or down)The spins being attached to mobile electrons carry the information along a wireThe information is read at a terminal

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65
Page 65: Low Power Techniques

bull httpasic-socblogspotcom

bull wwwcadencecom

bull wwwsynopsyscom

bull SNUG 2007 and 2008 presentations on low power

References

  • Low Power Techniques
  • Slide 2
  • Slide 3
  • Slide 4
  • Slide 5
  • Slide 6
  • Slide 7
  • Slide 8
  • Slide 9
  • Slide 10
  • Slide 11
  • Slide 12
  • Slide 13
  • Slide 14
  • Slide 15
  • Slide 16
  • Slide 17
  • Slide 18
  • Slide 19
  • Slide 20
  • Slide 21
  • Slide 22
  • Slide 23
  • Slide 24
  • Slide 25
  • Slide 26
  • Slide 27
  • Slide 28
  • Slide 29
  • Slide 30
  • Slide 31
  • Slide 32
  • Slide 33
  • Slide 34
  • Slide 35
  • Slide 36
  • Slide 37
  • Slide 38
  • Slide 39
  • Slide 40
  • Slide 41
  • Slide 42
  • Slide 43
  • Slide 44
  • Slide 45
  • Slide 46
  • Slide 47
  • Slide 48
  • Slide 49
  • Slide 50
  • Slide 51
  • Slide 52
  • Slide 53
  • Slide 54
  • Slide 55
  • Slide 56
  • Slide 57
  • Slide 58
  • Slide 59
  • Slide 60
  • Slide 61
  • Slide 62
  • Slide 63
  • Slide 64
  • Slide 65