Download V.11, issue 2, March 2016

108
semiconductorTODAY COMPOUNDS & ADVANCED SILICON Vol.11 • Issue 2 • March 2016 www.semiconductor-today.com ISSN 1752-2935 (online) Navitas launches GaN power IC •White LEDs boosted to 210lm/W II-VI closes Anadigics acquisition •WIN launches opto foundry Boosting performance of InGaN LEDs on sapphire Boosting performance of InGaN LEDs on sapphire

Transcript of Download V.11, issue 2, March 2016

Page 1: Download V.11, issue 2, March 2016

semiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O NVol.11 • Issue 2 • March 2016 www.semiconductor-today.com

ISSN 1752-2935 (online)

Navitas launches GaN power IC•White LEDs boosted to 210lm/WII-VI closes Anadigics acquisition•WIN launches opto foundry

Boosting performance ofInGaN LEDs on sapphireBoosting performance ofInGaN LEDs on sapphire

Page 2: Download V.11, issue 2, March 2016

Introducing Veeco’s new TurboDisc® EPIK700™ GaN MOCVD system As global consumption for LED general lighting accelerates, manufacturers need bigger, better MOCVD technology solutions that increase productivity and lower manufacturing costs. The EPIK700 MOCVD system combines Veeco’s award-winning TurboDisc reactor design with improved wafer uniformity, increased productivity and reduced operations expenses to enable a cost per wafer savings of up to 20 percent compared to previous systems. It also features a reactor with more than twice the capacity of previous generation reactors. This increased volume coupled with productivity advancements within the EPIK700 reactor, results in an unmatched 2.5x throughput advantage over previous reactors. Learn how Veeco’s TurboDisc EPIK700 GaN MOCVD system can improve your LED manufacturing process today. The advantage is not just big. It’s EPIK.

Contact us at www.veeco.com/EPIK700 to learn more.

Another breakthrough from Veeco. This time it’s EPIK.

Veeco’s New TurboDisc EPIK700 GaN MOCVD System

Faster LED Adoption

Lower Cost LEDs

Page 3: Download V.11, issue 2, March 2016

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

3

contentsEditorial 4

Markets News 6 CoB LED market to grow at 35.5% CAGR •GaN and SiC powersemiconductor market to surpass $1bn in 2020 then $3.7bn in 2025 Microelectronics News 9Qorvo joins 3GPP to promote development of 5G • EU INSIGHTproject to develop III-V nanowire CMOS on silicon system-on-chip •GlobalFoundries releases 7SW RF silicon-on-insulator PDKs Wide-bandgap electronics News 19University of Utah develops tin monoxide as 2D electronic material •X-FAB offers high-volume 6” SiC foundry • Fairchild launches its first1200V SiC diode • Navitas launches first GaN power ICs Materials and processing equipment News 30China push-outs hit Veeco & Aixtron in Q4 • Rubicon’s revenue halves LED News 48 White LEDs boosted to 210lm/W • Lumileds & BluGlass to co-developRPCVD-enhanced LEDs • Osram introduces first 10° binning • Soraasupports TM-30 as better color test than CRI Optoelectronics News 60 SoraaLaser presents visible laser lightingOptical communications News 62 WIN launches optical foundry • II-VI completes Anadigics acquisition• EFFECT Photonics samples its first optical SoCs • IRT Nanoelecproject integrates III-V laser directly on silicon with MZ modulator Photovoltaics News 72 NREL collaboration boosts maximum potential for CdTe above 1V •Solar Frontier to supply 150MW of PV modules to Cypress CreekRenewables • First Solar EPC surpasses 6GW of installed PV capacity

Technology focus: Optoelectronics 76Vertical epitaxial heterostructure GaAs optical transducers

Technology focus: LEDs 78Taking the temperature of LED external quantum efficiency

Technology focus: LEDs 80Improving performance of InGaN LEDs on sapphire substrates

Technology focus: LEDs 88Hybrid MOCVD/MBE growth of III-nitride tunnel junctions

Technology focus: GaN diodes 90HRL creates low-carbon GaN layers for Schottky barrier diodes

Technology focus: GaN transistors 92Aluminium ion implants for lateral gallium nitride transistors

Technology focus: GaN HEMTs 94Going beyond GaN transistor state-of-the-art performance

Technology focus: GaN transistors 96Increasing gallium nitride MOSFET threshold voltage

Technology focus: GaAs transistors 98Non-alloyed contacts for gallium arsenide devices

Suppliers’ Directory 100

Event Calendar and Advertisers’ Index 106

Vol. 11 • Issue 2 • March 2016

p19University of Utah’s AshutoshTiwari holds up a substrate layeredwith tin monoxide.

p20 X-FAB has entered wide-bandgapsemiconductor production with 6” SiCfoundry.

p67 TU/e spin off EFFECT Photonicshas launched the first product familybased on its optical system-on-chip.

semiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O N

Cover: Everlight haslaunched a versionof its low-mid-power5630 package serieswith a claimed recordluminous efficiencyof 210–220lm/W (ata color temperature

of 5000K) as well as a tight 3SDCM ellipsebinning for maximum color consistency,suitable for professional, commercial andindustrial lighting applications. p53

Page 4: Download V.11, issue 2, March 2016

On pages 80–86 of this issue we focus on research into improving theperformance of indium gallium nitride-based LEDs on sapphire substrates.Whereas higher performance is usually obtained by using silicon carbideor gallium nitride substrates and lower cost is achieved through theeconomies of scale of using silicon wafers, the use of sapphire substratesin high-volume production is a trade-off between cost and performance.Here, we cover research by various teams on techniques to improveperformance, including using non-standard crystal orientations (to achievesemi-polar material), modifying the standard multiple quantum well (MQW)structure, and forming gallium nitride (GaN)/zinc oxide (ZnO) heterojunctions. Meanwhile, regarding existing commercial mass-production white LEDs,

a number of manufacturers are vying for the record in luminous efficacy,as performance is pushed for mid-power LEDs, with US-based Lumiledslaunching 3535 form-factor LEDs approaching 200lm/W, Japan’s ToyodaGosei developing 200lm/W 3030-type LEDs, Seoul Semiconductor reaching210lm/W for its 5630-packaged LED (and 220lm/W targeted by year-end)and Taiwan’s Everlight claiming 210–220lm/W for its 5630-packaged LED(see pages 52–53). In addition, Lumileds is collaborating with Australia’sBluGlass Ltd to enhance its LEDs using BluGlass’ patented low-temperatureremote-plasma chemical vapor deposition (RPCVD) technology (whichtargets cost, throughput and efficiency advantages) — see page 54. Regarding the standard metal-organic chemical vapor deposition (MOCVD)

reactors used in LED mass production, the two dominant system makersVeeco and Aixtron have both reported fourth-quarter 2015 LED-relatedrevenues impacted by push-outs in orders by Chinese LED makers (seepages 36–39). For Veeco, ‘Lighting, Display & Power Electronics’ (MOCVD)fell from 67% of total revenue in Q3/2015 to just 47% in Q4, while‘Advanced Packaging, MEMS & RF’ rose from 10% to 20% and ‘Scientific &Industrial’ (including MBE) rose from 10% to 17%. Correspondingly, Chinafell from 58% of total sales in Q3 to 48% in Q4. Aixtron’s LED-relatedrevenue fell from 68% of full-year revenue in 2014 to just 26% in 2015(with Asia correspondingly falling from 83% to 60% of total revenue).Despite this, total revenue still rose slightly (by 2%, to €197.8m), drivenby Aixtron’s diversification strategy, with optoelectronics (excluding LEDs)rising from 9% to 31%, silicon-based microelectronics from 11% to 19%,and power electronics from 7% to 17% of total revenue. This trend isevidenced by Aixtron selling an AIX G5+ C cluster system to not only UK-based Plessey (for GaN-on-silicon LED production) but also toGrenoble-based Soitec/Leti spin-off Exagan (for its ramp-up of GaN-on-SiHEMT-based power-switching electronic devices) — see pages 40–41. Aixtron’s burgeoning optoelectronics business correlates with epiwafer

and substrate IQE’s Photonics sales growing by 28% from 2014 to 2015(see page 34), while substrate maker AXT’s InP sales grew 50% in 2015(to 30% of total revenue in Q4, exceeding GaAs sales) — see page 32. Also, as well as II-VI Inc completing its acquisition of GaAs RFIC maker

Anadigics — targeting its vertical-cavity surface-emitting laser (VCSEL)development (page 64) — Taiwanese GaAs RFIC foundry WIN has launchedoptical communications device foundry on 2–4” InP wafers (page 63). WINgave details in late March at the Optical Fiber Communications conference(which will be covered in our next issue). Mark Telford, Editor

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

4

editorialsemiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O N

Editor Mark TelfordTel: +44 (0)1869 811 577 Cell: +44 (0)7944 455 602 Fax:+44 (0)1242 291 482

E-mail: [email protected]

Commercial Director/Assistant EditorDarren Cummings Tel: +44 (0)121 288 0779Cell:+44 (0)7990 623 395Fax:+44 (0)1242 291 482E-mail: [email protected]

Advertisement SalesDarren Cummings Tel: +44 (0)121 288 0779Cell:+44 (0)7990 623 395Fax:+44 (0)1242 291 482E-mail: [email protected]

Original design Paul Johnsonwww.higgs-boson.com

Semiconductor Today covers theR&D and manufacturing ofcompound semiconductor andadvanced silicon materials and devices(e.g. GaAs, InP and SiGe wafers, chipsand modules for microelectronic andoptoelectronic devices such as RFICs,lasers and LEDs in wireless and opticalcommunications, etc).

Regular issues contain: news (funding, personnel, facilities,

technology, applications and markets); feature articles (technology, markets,

regional profiles); conference reports; event calendar and event previews; suppliers’ directory.

Semiconductor Today (ISSN 1752-2935)is published free of subscription chargein a digital format 10 times per year by Juno Publishing and Media Solutions Ltd,Suite no. 133, 20 Winchcombe Street,Cheltenham GL52 2LY, UK. See: www.semiconductor-today.com/subscribe.htm

© 2016 Juno Publishing and MediaSolutions Ltd. All rights reserved.Semiconductor Today and the editorialmaterial contained within is the copyrightof Juno Publishing and Media Solutions Ltd.Reproduction in whole or in part withoutpermission is forbidden. In most cases,permission will be granted, if the author,magazine and publisher are acknowledged.

Disclaimer: Material published withinSemiconductor Today does not necessarilyreflect the views of the publisher or staff.Juno Publishing and Media Solutions Ltdand its staff accept no responsibility foropinions expressed, editorial errors anddamage/injury to property or persons asa result of material published.

Opto boosting performance

Page 5: Download V.11, issue 2, March 2016

13966-produktmotive-easiest-to-use-213x282_CS6.indd 1 02.04.15 11:47

Page 6: Download V.11, issue 2, March 2016

The global chip-on-board (COB)LED market will rise at a compoundannual growth rate (CAGR) of 35.51%during 2016–2020, according to areport by market research firmTechNavio. Key COB LED vendors are listed as

Citizen Electronics, Cree, Nichia,Osram Opto Semiconductors,Philips Lumileds Lighting, SamsungElectronics and Seoul Semiconductor.Other prominent vendors includeEverlight Electronics, LG Innotekand Lumens. COB is a comparatively new bare-

chip technology for LED packagingin lighting applications. MultipleLED chips are placed in a smallarea, mounted directly on the sub-strate to produce an LED array, andfurther packed together to form asingle COB LED lighting module.COB LEDs hence help to reducecosts by eliminating the compli-cated solder reflow assemblyprocess. The report ‘Global Chip on Board

LED Market 2016–2020’ segmentsthe market into the applications ofgeneral lighting, automotive light-ing, and backlighting. The increase in demand for

smartphones will create demandfor more LEDs to be used in thebacklighting unit (BLU) of flat-paneldisplays (FPDs), positively impactingthe demand for COB LEDs. Thedevelopment of LED filament lampsand the development of smartlighting will also boost demand forLED lighting. For COB LEDs in the global lighting

industry, a major driving factor ofdemand has been the decliningaverage selling price (ASP) of LEDs.Some of the reasons for this areeconomies of scale and the transi-tion in the wafer size that is used tomake LED chips. The small size ofLED chips achieved by COB tech-nology supports miniaturization ofthe devices and provides high effi-ciency.

Advantages such as better lightingeffects, better color mixing, and theability to spread light over a largearea will necessitate the adoptionof CoB LEDs during the estimatedperiod. Furthermore, factors like itsefficient thermal management abil-ity, homogeneous luminosity,longer service life, high-intensitylighting, reliable output, energyefficiency, and compactness willresult in substantial growth of thismarket until 2020. Technavio reckons that factors

such as the rising demand forenergy-efficient and high-qualitydevices will drive market growthuntil 2020. In recent times,demand for energy-efficient lightsources with a long lifespan hasbeen rising rapidly. The flexibledesignstructure ofLEDs allowslightingsystemcontrols tobe usedmore effec-tively thanthe tradi-tional light-ing sources.Factorssuch astheirrationaldesign andthe pres-ence ofmicro-lensmolding willallow thesedevices toavoid thedefects ofpoint andglare light,says thereport.Advantagessuch as itsimproved

color-rendering index will result inincreased adoption during the fore-cast period, Technavio reckons. The general lighting segment was

the highest revenue-generatingsegment during 2015 and its revenue-generating capacity isexpected to rise further due toincreased utilization of the LEDs forroom, ceiling and outdoor lightingapplications. Advantages such ashigh energy efficiency, low mainte-nance costs, long service life, andthe ability to reduce glare from thelight source will necessitate theadoption of such LEDs for generallighting purposes, the report reck-ons. This market segment willreach a market value of more than$8000bn by 2020.The report forecasts that the

Asia–Pacific region (APAC) willaccount for more than 59% of theoverall market by 2020. Demandfor CoB LEDs, coupled with thepresence of many prominent CoBLED makers in APAC, will lead tosubstantial growth of this market inAPAC during the forecast period.The global CoB LED market is

highly fragmented because of thepresence of many global, regionaland local vendors. Companies witha strong foothold in the generallighting segment are the well-established suppliers in the CoBLED market. The competitive envir-onment in this market is expectedto further intensify with an increasein product and service extensions,innovations in technology, andmerger & acquisition (M&A) activities. However, the report notes that

the fluctuating economies of influential countries such as China,Russia and Brazil is posing a chal-lenge to global COB LED makers,as it impacts the international tradeof LED components and productsacross borders. www.technavio.com/report/global-embedded-systems-chip-board-led-market

Chip-on-board LED market to grow at 35.5% CAGR

The Asia–Pacificregion will accountfor more than59% of the overallmarket by 2020.Demand for CoBLEDs, coupled withthe presence ofmany prominentCoB LED makersin APAC, will leadto substantialgrowth of thismarket in APACduring theforecast period. The fluctuatingeconomies ofinfluentialcountries such asChina, Russia andBrazil is posing a challenge toglobal COB LED maker

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

6

news

Page 7: Download V.11, issue 2, March 2016

In its report ‘Global Packaged Gallium Nitride (GaN) LED Market2016–2020’ — which forecasts acompound annual growth rate(CAGR) of more than 5% — marketresearch firm Technavio has pro-filed the top four leading vendors(Cree of the USA, Epistar of Taiwan,Osram Opto Semiconductors ofGermany, and Samsung of SouthKorea), as well as the six otherprominent vendors (De CoreNanosemiconductors, LG Innotek,Nichia, Philips Lumileds, SeoulSemiconductor, and Soraa) that areexpected to impact the market during the forecast period. Competitive vendor landscape The global packaged GaN LED mar-ket is highly competitive betweenthe key vendors Cree, Epistar,Osram Opto Semiconductors andSamsung, which are adopting newtechnologies to gain an edge overthe other players. Cree invests inmarketing activities to build itsbrand and expand its product offerings to position itself in bothcommercial and consumer lightingsegments, says Technavio, whereasSamsung seeks to explore businessopportunities in new areas such ashealth, medicine and biotechnologyto enhance profitability in itsorganic light-emitting diode (OLED)business. “Despite a low concentration of

vendors, there is intense competitionin this market due to the strongpositions of the existing vendors,”says Asif Gani, Technavio’s researchanalyst for semiconductor equipment.“The highly competitive environ-ment, rapid advances in technologyand the cyclical nature of the semiconductor industry all pose asubstantial risk to the vendors.” Top four global packaged GaN LED vendors Cree relies more on innovation anddevelops new products that delivereconomic and competitive value toits customers, says Technavio. With R&D facilities in the USA,

India and China, the firm is aimingto constantly improve the qualityand performance of its products by developing brighter and moreefficient low-cost products. Cree’smanufacturing units are locatedprimarily in the USA and China. Thefirm also has subsidiaries in morethan 10 countries, including China,Taiwan, Malaysia, Japan, SouthKorea and in Europe. Major corpo-rations such as Mitsubishi Chemi-cal, Nichia, Seoul Semiconductor,and Osram Opto Semiconductorslicense the company’s products. Cree offers a comprehensive

range of LED components, LEDchips, silicon carbide (SiC) wafers,and both LED-based and traditionallighting systems. Products are usedin multiple applications such asindoor and outdoor lighting, videodisplays, transportation, electronicsigns and signals, power supplies,inverters, and wireless systems. Epistar is a pioneer in the design

and development of high-perform-ing packaged GaN LEDs. The firm isone of the leading LED makers inTaiwan and is engaged primarily indeveloping, manufacturing andselling LED chips and wafers. Itspecializes in supplying high-bright-ness LEDs and have a broad productportfolio that contains multiple LEDs.The majortarget seg-ments arelighting andconsumerelectronics.Epistar hasalso devel-oped a uniqueCo-ActivationService Model,through whichit can work incollaborationwith clients todesign anddevelopintegrated circuits.

The firm has a capacity for expan-sion, which has made it a leadingsupplier of packaged GaN LEDs.Epistar has worked jointly withbrands around the world and delivered LEDs for cellphones,monitors, laptops, and TVs. Osram Opto Semiconductors

intends to expand its global presenceby manufacturing and deliveringmultiple lighting products, solutionsand services. The firm suppliessensors, LEDs and visualizationsolutions based on semiconductortechnology for the automotive mar-ket. It also provides compact LEDssuited to pico projectors and pocketprojectors for small mobile terminals.Osram Opto also supplies surface-emitting panels for applications inhotels and catering, offices andarchitecture. The firm also has aBlack Series of LEDs for applicationsin trains, planes, automobiles andrefrigerators. Samsung’s subsidiary Samsung LED

focuses more on long-term growththan short-term profits, says Technavio. The parent company hasestablished a new global engine,the Samsung Strategy and Innova-tion Centre, with an investment of$100m, to accelerate innovationand new business creation for itsdevice solution business. In its nascent stage, this center isexpected to focus on electroniccomponents and subsystems tofuel innovation technologies andbusiness models. This aims to enableSamsung LED to capitalize on itsparent company’s resources andgain an edge over its competitors. Technavio summarizes that the

packaged GaN LED market is highlycompetitive, with significant entrybarriers such as intensive capitalinvestment. This explains theintense rivalry between existingplayers, which is likely to continueduring the forecast period. www.technavio.com/report/global-hardware-and-semiconductor-packaged-gan-led-market

Despite a lowconcentrationof vendors... the highlycompetitiveenvironment,rapid advancesin technologyand the cyclicalnature of the semiconductorindustry allpose asubstantial riskto the vendors

News: Markets

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

7

Technavio profiles top-four vendors in packagedGaN LED market for 2016–2020

Page 8: Download V.11, issue 2, March 2016

Energized by demand from hybridand electric vehicles, power suppliesand photovoltaic (PV) inverters, theemerging global market for siliconcarbide (SiC) and gallium nitride(GaN) power semiconductors willrise from just $210m in 2015 tomore than $1bn in five years then$3.7bn in 2025, according to market research firm IHS Inc in its‘SiC & GaN Power SemiconductorsReport’. Revenue is expected to risewith double-digit growth annuallyfor the next decade. SiC Schottky diodes have been on

the market for more than 10 years,with SiC metal-oxide semiconductorfield-effect transistors (MOSFET),junction-gate field-effect transistors(JFET) and bipolar junction transistors(BJT) appearing in recent years.SiC MOSFETs are proving very popular among manufacturers,with several companies alreadyoffering them, and more expected toin the coming year. The introductionof 900V SiC MOSFETs (priced tocompete with silicon SuperJunctionMOSFETs), as well as increasedcompetition among suppliers, has

forced average prices to fall in 2015. “Declining prices will spur faster

adoption of the technology,” saysRichard Eden, senior market analystfor power semiconductor discretesand modules at IHS Technology. “In contrast, GaN power transistorsand GaN modules have only justrecently appeared in the market.GaN is a wide-bandgap materialoffering similar performance bene-fits to SiC, but with greater cost-reduction potential. This price andperformance advantage is possible,because GaN power devices can begrown on silicon substrates that arelarger and less expensive than SiC,”he adds. “Although GaN transistorsare now entering the market, thedevelopment of GaN Schottkydiodes has virtually stopped.”By 2020, GaN-on-silicon devices

are expected to achieve price paritywith — and the same superior performance as — silicon MOSFETsand insulated-gate bipolar transis-tors (IGBTs). When this benchmarkis reached, the GaN power marketis expected to surpass $600m in2025. In contrast, the more estab-

lished SiC power market — mainlyconsisting of SiC power modules —will hit $3bn in the same time period.By 2025, SiC MOSFETs are fore-

cast to generate revenue exceeding$300m, almost catching Schottkydiodes to become the second best-selling SiC discrete power devicetype. Meanwhile, SiC JFETs and SiC BJTs are each forecast to gen-erate much less revenue than SiC MOSFETs, despite achieving goodreliability, price and performance.“While end-users now strongly prefer normally-off SiC MOSFETs,so SiC JFETs and BJTs look likely toremain specialized, niche products,”Eden says. “However, the largestrevenues are expected to come fromhybrid and full-SiC power modules.” Hybrid SiC power modules

(combining Si IGBTs and SiC diodes)are estimated to have generatedabout $38m in sales in 2015, andfull-SiC power modules are only2–3 years behind in the ramp-upcycle. Each module type is forecastto exceed $1bn in revenue by 2025. https://technology.ihs.com/521146/sic-gan-power-semiconductors-2016

GaN and SiC power semiconductor market tosurpass $1bn in 2020 then $3.7bn in 2025 GaN to surpass $600m in 2025 as SiC reaches $3bn

News: Markets

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

8

Qorvo Inc says that Dr Charles(Chuck) F. Campbell, engineeringsenior fellow with its Infrastructureand Defense Products Division, hasbeen selected by the Institute ofElectrical and Electronic Engineers(IEEE) to serve as a lecturer for itsDistinguished Lecturer program. As engineering leaders and recog-

nized experts in their fields, distin-guished microwave lecturers areselected to serve a three-year termspeaking to Microwave Theory andTechniques Society (MTT-S) chaptersworldwide. Campbell has served inseveral roles as a fellow of IEEE,including working on the editorial

board for IEEE Transactions onMicrowave Theory and Techniques;general chair for the 2015 CompoundSemiconductor Integrated CircuitsSymposium; and as part of the IEEEMicrowave Prize selection committee. “I am honored to have been

selected to discuss the potential ofGaN MMIC [monolithic microwaveintegrated circuits] technology,”says Campbell. “I look forward tofostering university-level designresearch and sharing my expertiseabout the benefits of GaN devicescombined with MMIC technology.” Campbell has authored and co-

authored more than 50 journal and

conference papers as well as achapter on MMIC power amplifierdesign. He received his bachelor ofscience, master of science and doctoral degrees in electrical engi-neering from Iowa State Universityin 1988, 1991 and 1993 respec-tively. From 1993 to 1998, heworked for Texas Instruments,involved with microwave moduledesign and MMIC development.Since 1998, he has worked forTriQuint Semiconductor, where heheld positions of design teamleader; design engineering director;and design engineering fellow.www.qorvo.com

IEEE appoints Qorvo senior fellow Chuck Campbell asDistinguished Microwave Lecturer in GaN power MMICs

Page 9: Download V.11, issue 2, March 2016

Qorvo Inc (which provides coretechnologies and RF solutions formobile, infrastructure and defenseapplications) has joined 3GPP (the3rd Generation Partnership Project)as a guest delegate to assist in thedevelopment of key aspects of 5Gnext-generation wireless communi-cations standards. 3GPP is a global group of organiza-

tions responsible for developing thetelecoms standards used by wire-less networks worldwide, including3G, 4G and the forthcoming 5Gspecifications. The 5G standard isdue to be released in two phases. Phase 1 (for completion in 2018) willfocus on frequencies below 6GHzand define specifications for a pri-oritized subset of vertical markets.Phase 2 (in 2019) will focus on fre-quencies above 6GHz and specs for

an expanded list of vertical markets.3GPP has identified three high-leveluse cases for 5G specifications: Enhanced Mobile Broadband tosupport consumers’ rapidly growingconsumption of video and otherdata-intensive mobile applications; Massive Machine Type Communi-cations for Internet of Things (IoT)applications involving very largenumbers of connected devices; and Ultra-reliable and Low LatencyCommunications for situations wherereliability, security and networkperformance are critical, such asself-driving vehicles, medical appli-cations and industrial control systems. Qorvo is engaging in 5G field trials

with infrastructure providers andexpects to leverage its new role inthe development of 5G standardsto broadly expand its growth

opportunities in wireless connecteddevices and infrastructure products. “The 5G standard is expected to

undergo significant progress in 2016as telecommunications standardsand use cases are further developedby 3GPP,” notes president & CEOBob Bruggeworth. “Partnership with3GPP will support key aspects of the5G roadmap under development bythe RAN (Radio Access Network)Technical Specification Group, whichis working on specifications formultiple elements within the tele-communications ecosystem, frombase-stations to connected devices,”he adds. “Qorvo is well positionedto adopt and deploy 5G solutions,given our past success in transi-tioning technology from 3G to 4G.” www.3gpp.org www.qorvo.com/mobile

Qorvo joins 3GPP to promote development of 5G standard Qorvo to support 5G roadmap under development by Radio Access Network Technical Specification Group

Qorvo Inc (which provides coretechnologies and RF solutions formobile, infrastructure and defenseapplications) has secured more thana dozen design wins at leadingmanufacturers of performance-tiersmartphones with its rapidlyexpanding family of mobile Wi-Fifront-end modules (FEMs) includingthe RF Fusion Mobile Wi-Fi iFEM.Qorvo reckons that it is uniquelypositioned to design and manufac-ture all the high-value componentsin the integrated front end, includ-ing high-performance filters,switches, low-noise amplifiers, andpower amplifiers. As Qorvo’s latest RF Fusion

Mobile Wi-Fi iFEM, the newQM48184 integrates a premiumbulk acoustic wave (BAW) Wi-Ficoexistence filter with 5GHz and 2.4GHz power amplifiers, low-noise amplifiers, switches, a diplexer, and a coupler, in a compact 4mm x 3mm package.The QM48184 is co-designed and

tuned with a global chipset partnerto provide what is claimed to besuperior power output and linearitywith low current consumption,helping smartphone makers tomaximize data throughput whileextending battery life. The Wi-Fi coexistence filter in

the QM48184 leverages Qorvo’sproprietary LowDrift BAW technol-ogy to address the design chal-lenges related to interferencebetween Wi-Fi and the adjacentLTE bands 7, 40 and 41 in China,North America and elsewhere. The firm’s coexistence filters deliverwhat is claimed to be superiorinsertion loss to enhance receivesensitivity, power-added efficiency(PAE) and power output, helpingsmartphone makers to extend bat-tery life and maximize datathroughput across the full 2.4GHzWi-Fi spectrum. Qorvo says that allof its LowDrift and NoDrift premiumBAW filters combine high perform-ance and temperature stability to

solve coexistence challenges unaddressed by other technologies. The QM48184 also employs Qorvo’s

proprietary wafer-level packaging(WLP) and CuFlip flip-chip technologyto reduce module size and enablespace savings of up to 30% versuscomparable discrete solutions in2x2 MIMO configurations. Qorvo’s Wi-Fi portfolio also

includes two pairs of FEMs designedfor chip-on-board implementations.The RFFM8538 and RFFM8248provide a complete solution forpremium smartphones, including2x2 MIMO support, while theRFFM8516 and RFFM8216 areoptimized for performance-tiersmartphones. Each pair consists ofa 2.4GHz module and a comple-mentary 5GHz module, offeringmanufacturers the flexibility toimplement a dual-band or single-band Wi-Fi solution. www.qorvo.com/rf-fusion www.qorvo.com/advanced-filters www.qorvo.com/wi-fi

Qorvo secures multiple mobile Wi-Fi design wins

News: Microelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

9

Page 10: Download V.11, issue 2, March 2016

At the Global TD-LTE Initiative’sGTI Summit 2016 (a partner eventat Mobile World Congress 2016) inBarcelona, Spain on 23 February,Qorvo Inc (which provides coretechnologies and RF solutions formobile, infrastructure and defenseapplications) says that its RF Fusionportfolio of high-band (HB) moduleshas received the GTI 2015 Awardfor ‘Outstanding Contribution onInnovative Technical Product’. The firm notes that its expanding

portfolio of high-performance RF Fusion HB modules satisfies rapidly evolving customer require-ments related to high-frequencyLTE bands in fully integrated, compact front-end placements. The GTI is an open global associa-

tion of operators and vendorsfounded in 2011 to communicate andcollaborate for the sake of speedingthe commercialization of TD-LTE.The GTI Awards program recognizesthe industry’s most outstandingcontributions to the LTE industryand encourage the development ofinnovative products, solutions andapplications. The Outstanding

Contribution award is granted tothe top offering in each category. “Qorvo is committed to working

closely with the GTI to developtightly compact, high-performancesolutions that simplify design complexity and reduce form factorsin mobile devices while enablinghigher output power for enhancedcell coverage,” says Eric Creviston,president of Qorvo’s Mobile Productsgroup. “We will continue to leverageour expanding product and technol-ogy portfolio, including our compre-hensive filter technology portfolio,to help accelerate the deploymentof next-generation wireless tech-nologies,” he adds.

The RF Fusion HB module portfolioaddresses critical TD-LTE cellularbands while enabling FDD-LTE. The pin-to-pin compatible portfoliointegrates support for bands 7, 30,38, 40 and 41 and includes supportfor uplink carrier aggregation. The expanding RF Fusion high-bandportfolio consists of the QM78064with integrated band 7 duplexer andantenna switch; the QM75001 withintegrated band 41 wideband filter anduplink carrier aggregation support;and the TQF6297H with integratedband 41 narrowband filter. The QM78064 is optimized for

global flagship smartphones, whilethe QM75001 and TQF6297H areoptimized for regional and super-regional LTE devices. Each productleverages the firm’s proprietaryLowDrift BAW filter technology toreduce insertion loss and enableWi-Fi coexistence. The RF Fusionportfolio roadmap will also supportClass 2 output power to increaseband 41 cell coverage by compen-sating for propagation loss. www.gtigroup.org www.qorvo.com/rf-fusion

News: Microelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

10

Qorvo’s RF Fusion recognized with GTI Award foroutstanding contribution to global 4G TD-LTE ecosystem

Qorvo receiving GTI Award.

Qorvo says its recently launchedRFPA55X2 Wi-Fi power amplifier(PA) family is enabling many oftoday’s leading home and businessWLAN networking devices. The RFPA55X2 Wi-Fi PA family

includes the RFPA5512, RFPA5522,RFPA5532 and RFPA5542. The PAproduct suite offers high power andlow power consumption in a designthat enables 802.11ac Wave2 fea-tures such as eight 80MHz streams,160MHz streams and multi-usermultiple-input/multiple-output(MIMO) technology supporting avariety of devices simultaneously.This allows wireless devices toconnect across longer-rangeaccess points with ultra-increased

data throughput in a high-densityuser environment under a varietyof operating conditions. Qorvo says that home and business

networking device makers turn toits power amplifiers for performanceand innovative designs that reduce manufacturing costs. TheRFPA5522, for example, providespower-added efficiency of 17% for802.11ac and more than 20% for802.11n, resulting in power-addedefficiency (PAE) savings of 4–5%that significantly reduce thermalissues in MIMO applications. Thisreduces the need for thermal compensation devices such as fans and heat-sinks, which in turnenables smaller form factors

without a loss in performance. “Qorvo’s RFPA5522 highly

efficient amplifiers deliver the highperformance and power efficiencyneeded for our newest, dual-band802.11ac consumer routers suchas the WRT1900ACS dual-bandWi-Fi Router,” comments JustinDoucette, director of product management at wireless routermanufacturer Linksys. “The RFPA5522 5GHz PA is making

next-generation features a realitywhile helping Linksys to offer themost robust routers that allow usersto connect multiple wireless productsseamlessly,” says James Klein,president of Qorvo’s Infrastructureand Defense Products group.

Qorvo’s 802.11ac power amplifiers delivering higher throughputand greater range for Wi-Fi platforms

Page 11: Download V.11, issue 2, March 2016

News: Microelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

11

Qorvo Inc (which provides coretechnologies and RF solutions formobile, infrastructure and defenseapplications) has expanded its RF Fusion family with front-endmodules (FEMs) that leverage thefirm’s RF product portfolio, advancedpackaging and process technologies,and systems-level expertise tointegrate all major transmit andreceive RF functionality into highlyintegrated split-band placements.The new RF Fusion modules show-

case the firm’s unique ability totightly integrate all major RF func-tionality across all major frequencybands into compact, high-perform-ance placements, claims EricCreviston, president of Qorvo’sMobile Products group. “With RFFusion, Qorvo is providing leadingsmartphone OEMs the highest levelof integration and performance,helping them to simplify and accel-erate the launch of their next-gen-eration Rel-12 carrier aggregation(CA)-capable 4G smartphones andtablets,” he adds. RF Fusion solutions support all

major cellular basebands and allmajor LTE bands to provide OEMswith a highly compact, scalablesource for the entire cellular frontend, while speeding an OEM’s timeto RF compliance under the moststringent of 3GPP standards, saysthe firm. The new RF Fusion FEMs — the

QM75001, QM78013 and QM78012— are split-band placements deliv-ering coverage of 2.3–2.7GHz,1.7–2.1GHz and 698–915MHz,respectively. The modules are alsooptimized for superior performancewith envelope tracking (ET) powermanagement integrated circuits(PMICs), and provide completesupport for next-generation receiveand transmit carrier aggregationfunctionality.

RF Fusion modules complementQorvo’s expanding family of RF Flexsolutions, which can be mated withthe firm’s LowDrift and NoDrifthigh-performance duplexers toenable flexible and configurablefront-end systems for mobiledevices in emerging markets aswell as emerging cellular Internetof Things (IoT) applications. The RF Fusion family of RF front-end

modules comprises the following: RF Fusion HB module: QM75001is a multimode multiband modulethat integrates all major transmitand receive RF functionality for high-frequency band coverage of globalcellular networks while deliveringwhat is claimed to be best-in-classperformance and the industry’ssmallest form factor. A subset ofthe functional content of theQM78064 RF Fusion HB module, theQM75001 integrates multimode,multiband power amplifiers (PAs),FDD and TD-LTE capable transmit/receive switches, LowDrift filters for bands 38, 40 and 41-wide, andfull support for intra-band uplinkcarrier aggregation, inter-banddownlink carrier aggregation,advanced power tracking and enve-lope tracking. The highly integratedQM75001 delivers a 35% reductionin board area compared with a dis-crete solution, and is available in acompact 4.0mm x 3.0mm x 0.8mmmulti-layer laminate substrateplacement. RF Fusion MB module: QM78013is a multimode multiband modulethat integrates all major transmitand receive RF functionality for mid-frequency band coverage of globalcellular networks while deliveringwhat is claimed to be best-in-classperformance and the industry’ssmallest form factor. The QM78013integrates multimode, multibandFDD and TD-LTE PAs, mode switch-

ing, LowDrift band 25 duplexer, aLowDrift-based multiplexer capableof multi-downlink carrier aggregationfor bands 1 and 3 in addition toband 4, along with an antennaswitch and coupler. QM78013 PAchains can fully support intra-banduplink carrier aggregation in FDD andTD-LTE bands, such as B1 and B39respectively, as well as inter-banddownlink carrier aggregation, whileoperating in both advanced powertracking and envelope trackingmodes. The highly integratedQM78013 delivers a 30% reductionin board area compared with a dis-crete solution, and is available in acompact 5.5mm x 7.7mm x 0.875mmmulti-layer laminate substrateplacement. RF Fusion LB module: QM78012is a multimode multiband modulethat integrates all major transmitand receive RF functionality for low-frequency band coverage of globalcellular networks while deliveringwhat is claimed to be best-in-classperformance and the industry'ssmallest form factor. The QM78012integrates a multimode, multibandFDD PA, mode switching, multipleLowDrift duplexers addressingbands 5/26, 8, 12/17, 20, 28a and28b, receive aggregation switch,along with an antenna switch. The QM78012 is also designed toreduce harmonic radiation, allowingfor improved performance in complex low-band plus mid-bandcarrier aggregation combinations,while operating in both advancedpower tracking and envelope track-ing modes. The highly integratedQM78012 delivers a 30% reductionin board area compared to a dis-crete solution, and is available in acompact 5.5mm x 7.2mm x 1.0mmmulti-layer laminate substrateplacement. www.qorvo.com/rf-fusion

Qorvo expands RF Fusion family with low-, mid-and high-band front-end modules providingcomplete support for next-gen receive and transmitcarrier aggregation functionality

Page 12: Download V.11, issue 2, March 2016

Qorvo Inc (which provides coretechnologies and RF solutions formobile, infrastructure and defenseapplications) has been selected bya leading global smartphone manu-facturer to expand its support of amarquee Android smartphone plat-form launching in the March quarter.Qorvo has broadly expanded itsparticipation in this device toinclude RF Fusion front-end mod-ules, diversity receive modules,power amplifier duplexers (PADs),switches, impedance tuners, low-noise amplifiers (LNAs),duplexers and filters. “We are leveraging Qorvo’s expand-

ing capabili-ties to intro-duce newenablingproductsand tech-nologies,and we lookforward toincreasingour partici-pation inadditionalmarqueedeviceslaunchingthis year,”

says Eric Creviston, president ofQorvo’s Mobile Products Group. The RF solutions chosen to support

the marquee smartphone platformlaunch include the QM78064 high-band RF Fusion module, theQM63001A diversity receive module,the TQQ1013 NoDrift SAW (surface acoustic wave) duplexer,the 885067 Wi-Fi coexistence filter,the TQF6260 B30 PAD, theQM14003 high-band LTE LNA, theRF1135 impedance tuner, the885060 diplexer, and the QM13113QM12023 and RF1646 switches forantenna control solutions. www.qorvo.com

Qorvo Inc has begun shipping itsrecently launched RF Flex Gen-3family of RF front-end modules tosupport multiple smartphone OEMs. RF Flex Gen-3 modules leverage

Qorvo’s product and technologyportfolio and systems-level expert-ise to integrate core cellular trans-mit and receive functionality intomultiband power amplifier (PA)modules and transmit modules.The PA modules and transmit mod-ules are co-architected to deliver

ease of adaptability and scalabilityin carrier aggregation operation forperformance-tier LTE smartphones inChina and other emerging markets. Qorvo’s newest generation of RF

Flex solutions enable carrier aggre-gation in flexible, scalable and cost-effective LTE architectures, saysEric Creviston, president of Qorvo’sMobile Products group. “We expectthe market for performance-tiersmartphones to grow quickly in2016 as legacy 2G/3G devices

migrate to FDD/TD-LTE 4G withcarrier aggregation capability,”adding that Qorvo is offering prod-ucts and technologies for all tiers ofthe 4G LTE market as the mobileindustry races to achieve higherdata rates and throughput. Qorvo says RF Flex helps smart-

phone makers to quickly developand ship carrier-aggregation-enabledsmartphones with regional, super-regional, and global capabilities. www.qorvo.com/rf-flex

News: Microelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

12

We are leveragingQorvo’s expandingcapabilities tointroduce newenabling productsand technologies,and we lookforward toincreasing ourparticipation inadditionalmarquee deviceslaunching this year

Qorvo starts shipping RF Flex GEN-3 front-end modulesto smartphone OEMs

Qorvo Inc of Greensboro, NC andHillsboro, OR, USA (which providescore technologies and RF solutionsfor mobile, infrastructure andaerospace/defense applications)says that its IDP Infrastructure &Defense Products Group has receivedthe Supply Chain InnovationAward from Telephonics Corp ofFarmingdale, NY, USA. Qorvo was one of 44 domestic

and international suppliers invitedto attend a Telephonics event inMelville, New York, for recognitionin the areas of supply chain collab-

oration, innovation and execution. Telephonics’ Innovation Award

recognizes key suppliers for theirinvestments in cutting-edge sup-ply chain technologies, commit-ment to stringent technicalrequirements, supplier perform-ance excellence, and overall part-nership collaboration. “Telephonics is an advanced tech-

nology leader, recognized forhighly sophisticated, field-provensurveillance, communications,analysis and integration solutionsthat help to ensure the safety and

security of military, economic andhumanitarian interests around theglobe,” comments Roger Hall, gen-eral manager of Qorvo’s defenseand aerospace products. “Collaborating successfully with

our suppliers is critically importantto our mission of providing high-technology products to our globalcustomer base, and Qorvo hastruly been a reliable partner as wecontinue to innovate new prod-ucts,” says Yolanda Fagundo, Tele-phonics’ VP, supply chain. www.telephonics.com

Telephonics recognizes IDP for excellence in Supply Chain Innovation

Qorvo begins high-volume shipments to support March-quarter launch of marquee Android smartphone platform

Page 13: Download V.11, issue 2, March 2016

News: Microelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

13

Skyworks Solutions Inc of Woburn,MA, USA (which makes analog andmixed-signal semiconductors) andSequans Communications S.A. ofParis, France, a 4G chipmaker andprovider of single-mode LTE chipsetsfor wireless device makers, havedelivered what is claimed to be thefirst solution optimized for LTE Category M (Cat M) applicationsaddressing the growing demand forembedded cellular connectivityacross the Internet of Things (IoT).The device leverages Skyworks’half-duplex RF front-end module andSequans’ new Monarch LTE Cat Msingle chip to deliver a highly integ-rated, turn-key solution based onRelease 13 of the 3GPP LTE standard.The Skyworks/Sequans platform,which is scalable to operatorrequirements worldwide, is said tosimplify the design process, shortenOEM development time and accel-erate the launch of next-generationLTE-based devices targeting low-data-rate, low-power applications. “Our complete end-to-end solution,

which is expected to be the dominantdeployment method for LTE Cat Mdevices, provides customers with apowerful, cost-effective productthat delivers industry-leading sizeand power efficiency, as well as

support for low- and mid-frequencybands,” says Sequans’ VP of marketing Craig Miller. “We have focused on developing a

comprehensive transmit/receivesolution for the new wave of devicesspanning the Internet of Thingsthat will operate ultra-efficientlyacross numerous frequency bandsaround the world,” says Skyworks’VP of marketing Carlos Bori. “OEMswill find the device easy to design andintegrate into their applications.” According to market analyst firm

ABI Research, cellular/wide-areaInternet of Things shipments willexceed 1 billion units over the nextfive years. In addition, the GSMAsays that cellular M2M connectionscould grow from around 300 milliondevices now to as many as 2 billionin 2020. Skyworks says that IoTdevelopers are increasingly choosingLTE for their connectivity as theyrealize that technologies like Cat Mmeet challenging cost and powerefficiency needs while providingvaluable longevity through a reliable,global connectivity standard like LTE. Sequans’ Monarch LTE Cat M single-

chip supports both Category M1 andM2 of the narrowband LTE userequipment categories defined in3GPP Release 13. The Monarch chip

integrates the baseband, RF trans-ceiver, memory and power man-agement functionality in a small6.5mm x 8mm package, and isoptimized for half-duplex FDD withintelligent on-chip RF filtering forglobal band support. Combined withSkyworks’ SKY68000-11 front-endmodule (an compact, fully integratedmulti-band solution integrating allthe active and passive RF front-endcomponents), the solution providesa highly optimized LTE platforms forIoT applications. The deployment of 3GPP Release 13

LTE networks and devices supportingCategory M1 and M2 is expected tobegin with trials in 2016 and com-mercialization in 2017. The low-cost/low-power narrowband connectivitysolutions should enable low-data-rateapplications and will find high usagein large-scale deployments such assmart meters, sensors and monitorsfor the smart city, agriculture,industrial, the environment and thesmart home, consumer and health-care wearables, and ubiquitoustracking tags for vehicles, cargo,children, the elderly, pets and evenluggage. www.sequans.com/products-solutions/streamlitelte/monarch-lte-platform www.skyworksinc.com

Skyworks has launched SkyOneUltra 2.0, a highly integrated, single LTE SKU (stock-keeping unit)solution that solves harmonicallyrelated carrier aggregation chal-lenges through design while deliv-ering what is claimed to be thehighest linear RF power as well aspower-added efficiency. The next-generation platform is a

complete RF front-end systemconsisting of three LTE modulesthat utilize Skyworks’ new SkyBluetechnology in addition to a separate2G transmitter — covering all of thefunctionality between the trans-

ceiver output and the antenna.The new platform not only improvesperformance in terms of poweroutput and system efficiency, italso delivers this performance inthe most compact size commerciallyavailable, supporting 22 bands inless than 240mm2. “With today’s high-end smart-

phones supporting upwards of 20frequency bands and more than 30carrier aggregation combinations,OEMs face tremendous challengesin managing board size and oper-ating battery life,” says Joel King,Skyworks’ VP & general manager

of Advanced Mobile Solutions.“SkyOne Ultra 2.0 delivers on bothfronts, providing best-in-class battery life and overall system efficiency in an industry-leadingcompact footprint,” he adds. “Further, it enables true single-SKUplatforms with flexible antennasupport and a baseband-agnosticinterface, giving OEMs competitiveadvantages and reduced times tomarket, it is claimed. SkyOne Ultra2.0 also delivers enhanced outputpower to address emerging Class 2,high-power user equipment (HPUE)requirements.”

Skyworks launches SkyOne Ultra 2.0 single-unit LTE RF front-end

Skyworks and Sequans deliver first device optimizedfor LTE Category M applications

Page 14: Download V.11, issue 2, March 2016

GlobalFoundries of Santa Clara, CA,USA (one of the world's largestsemiconductor foundries, withmore than 250 customers andoperations in Singapore, Germanyand the USA) has announced newradio-frequency silicon solutions,further expanding its portfolio ofsilicon germanium (SiGe) poweramplifier (PA) technologiesdesigned to enable performance-optimized cellular and Wi-Fi solu-tions in increasingly sophisticatedmobile devices and hardware. GlobalFoundries’ 5PAx and

1K5PAx processes (collectivelyPAx) are the latest extensions to itsbroad family of SiGe-based PAtechnologies. The offerings deliveroptimized PA, low-noise amplifier(LNA) and switch technology withimproved power efficiency, noise figure and insertion loss,enabling more power-efficient next-generation Wi-Fi and cellularsolutions for faster data access anduninterrupted connections. “Mobile suppliers are facing

mounting pressure to expand network capacity as wireless dataconsumption continues to increaserapidly,” says Dr Bami Bastani, senior VP of GlobalFoundries’ RFbusiness unit. “Our broad portfolioof high-performance SiGe poweramplifier technologies provides adistinct design, performance andcost advantage that enables ourmobility customers to deliver

cost-effective solutions with fasterdata throughput, support widercoverage areas, and consume lesspower,” he adds. Skyworks Solutions Inc of Woburn,

MA, USA (which manufactures analog and mixed-signal semicon-ductors) plans to use the technologyto enhance both the power capabil-ity and efficiency for the next gen-eration of mobile WLAN productsand high-performance WLAN prod-ucts, including access points,routers and Internet of Things (IoT)applications. “The advances that are part of

GlobalFoundries’ SiGe PAx tech-nologies enable RF front-end solu-tions for all levels of performanceand complexity,” comments BillVaillancourt, VP & general managerof Mobile Connectivity at Skyworks.“With these advanced features andthe ability to minimize form factorby implementing multiple RF func-tions on a chip, GlobalFoundries’latest PAx offerings enhance thecapabilities of integrated semicon-ductor solutions that support cus-tomers’ needs for high-performance,cost-effective technologiesaddressing portable wireless com-munication devices,” he adds. There are four technologies in

GlobalFoundries’ SiGe PA family:SiGe 5PAe, 1KW5PAe, and now5PAx and 1K5PAx. All four featurethe firm’s proven through-siliconvia technology and provide

significant performance, integrationfunctionality and cost advantagesfor users who are currently usinggallium arsenide (GaAs)-basedalternatives, it is claimed. Currently,more than 3 billion SiGe poweramplifiers have been shippedworldwide using this family of technologies, and GlobalFoundrieshas recently invested in additionalmanufacturing capacity to addressthe anticipated growth in themobile sector. The newest offerings,5PAx and 1K5PAx, are optimized tomeet the rigorous demands ofevolving mobile standards like802.11ac, which demands threetimes faster data throughput thanthe previous generation of standards. For 5GHz applications, SiGe 5PAx

(the follow-on to SiGe 5PAe) supports 2dB gain along with a 5% power-added efficiency (PAE)and 0.2dB LNA improvements rela-tive to the previous generation.SiGe 1K5PAx (like its predecessor1KW5PAe) is fabricated on a high-resistivity substrate, and istuned for integration and higherperformance. It features RFswitches with about 15% betterRon–Coff compared with 1KW5PAe,and (like 1KW5PAe) enablesdesigners to minimize form factorby implementing multiple functions(such as power amplifiers, RFswitches and LNAs) on a singlechip. www.globalfoundries.com/SiGe

GlobalFoundries broadens SiGe PA portfolio, enhancingRF performance and efficiency for wireless devices

News: Microelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

14

Skyworks Solutions has launched adual-band single-pole four-throw(SP4T) WiFi switch with integratedGPIO interface and 50Ω match onall RF output ports. The SKY13575-639LF is suitable

for dual-band switching in Wi-Fiaccess points, WLAN 802.11ac and3G/4G LTE systems and is also suit-able for access points, customer-premises equipment (CPE), LTE

systems, dual-band WLAN and test& measurement equipment. Using silicon-on-insulator (SOI)

technology, the switch consumesless than 10µA of current and offersa broad frequency range, low inser-tion loss (0.6dB at 2.5GHz, 1.1dBat 6GHz), high isolation (40dB at2.5GHz, 30dB at 6GHz) and integ-rated match. The built-in decoders also simplify

the process for users because itlimits the number of GPIO linesneeded for control. SKY13575 can operate over the

temperature range –40°C to 90°C,making it suitable for a variety ofapplications. The switch has a small footprint, and is delivered in a 14-pin, quad-flat no-lead (QFN)1.6mm x 1.6mm x 0.45mm package. www.skyworksinc.com

Skyworks launches dual-band matched SP4T Wi-Fi switch

Page 15: Download V.11, issue 2, March 2016

News: Microelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

15

Pasternack Enterprises Inc ofIrvine, CA, USA (which makes bothpassive and active RF, microwaveand millimeter-wave products) haslaunched a 19-inch rack-mountedvariable-gain RF amplifier operatingfrom 100MHz to 18GHz, designedfor lab use and test & measurementapplications. Normally, this type oftest equipment commands longlead-times for delivery (often overseveral months), but Pasternackhas made the product availablefrom stock for immediate shipment. The new amplifier offers broad-

band frequency coverage from100MHz to 18GHz with high gainlevels of 50dB minimum over

temperatures of –40°C to +85°C.Integrated digitally controlledattenuators have dynamic range upto 60dB with a 1dB step size. Typicalperformance includes 6.5dB noisefigure and +14dBm output P1dB.The package design supports afront-panel LED display with manualcontrol dial and SMA connectors, anda 9 pin D-Sub miniature connector onthe rear panel. It is environmentallysealed and designed to meet a seriesof MIL-STD-202F test conditions. The PE15A7000 VGA can be used

on a test bench but is designed to fitinto rack-mount cabinet enclosures(1U high and 10” deep). Offeringcontrol flexibility, the gain level with

precise attenuation step size over awide frequency band can be manuallycontrolled, or utilize the includedRS-232 serial cable and Ethernetconnection to link with a PC tocommand control for automatedtesting (ATE) applications thatcould involve production testingover temperature or R&D projects.“This rack-mount variable gain

amplifier offers designers high lev-els of gain and attenuation across100MHz to 18GHz that can be com-mand controlled via a PC for highlyaccurate test and measurementapplications,” notes Active RF Com-ponents product manager Tim Galla. www.pasternack.com

Pasternack launches rack-mount variable-gain RF amplifier

GlobalFoundries of Santa Clara, CA,USA (one of the world's largestsemiconductor foundries, with over250 customers and operations inSingapore, Germany and the USA)has announced availability of a newset of process design kits (PDKs)with an interoperable co-design flowto help chip designers improvedesign efficiency and deliver differen-tiated RF front-ends in increasinglysophisticated mobile devices. GlobalFoundries claims that its

RF silicon-on-insulator (RF SOI)technologies offer significant performance, integration and areaadvantages in front-end RF solutionsfor mobile devices and RF chips forhigh-frequency, high-bandwidthwireless infrastructure applications.The firm says that its most advanced7SW SOI RF technology is optimizedfor multi-band RF switching in next-generation smartphones, andis poised to drive innovation inInternet of Things (IoT) applications. The challenges of high-frequency

and large-signal design in theseapplications have increased the needfor an interoperable co-design flow,

notes GlobalFoundries. Designedfor use with Keysight Technologies’Advanced Design System (ADS)electronic design automation (EDA)software, the new 7SW SOI PDKsallow designers to edit their designsin ADS using a single Si2 OpenAccessdatabase without any interference. The RFIC interoperability simpli-

fies the design process by enablingthe user to work from a singledesign database in ADS. This allowsthe user to edit and simulateschematic designs created in ADS.The same is true for layout where,for example, a user can open an IClayout cell view in ADS, instantiatethe cell within a package or mod-ule, and then run an electromag-netic simulation on the completedesign to validate its overall systemperformance. “After releasing the first co-design

PDK for our 5PAe silicon germanium(SiGe) offering, we are now extend-ing our coverage of ADS PDK to ourmost advanced RF SOI technology,7SW SOI. Our 7SW platform, withsuperior LNA [low-noise amplifiers],switch devices, and trap-rich sub-

strates, offers improved devicesreception, interference rejection,and battery life for fewer droppedcalls and longer talk time,” saysPeter Rabbeni, senior director of RF product marketing and businessdevelopment. “Our RF SOI technol-ogy has gained significant industrytraction for cellular front-end mod-ule applications, and the new RFICinteroperability feature will allow usto provide our 7SW customersadditional design flexibility with asingle PDK,” he adds. “GlobalFoundries customers can

now access ADS’ dedicated RFdesign flow tools based on an OpenAccess based silicon PDK,”notes Volker Blaschke, Silicon RFICproduct marketing manager atKeysight EEsof EDA. “The newinteroperability feature facilitatesthe design process by using a singleOpenAccess design data library,removing redundant steps of keep-ing the design across different EDAenvironments in sync.”www.globalfoundries.comwww.keysight.com/find/eesof-ads2015.01

GlobalFoundries releases 7SW silicon-on-insulatorRF process design kits featuring latest KeysightAdvanced Design System software

Page 16: Download V.11, issue 2, March 2016

GigOptix Inc of San Jose, CA, USA(a fabless supplier of analog semi-conductor and optical communica-tions components for fiber-opticand wireless networks) has deliv-ered engineering samples of E-bandradio system in package (SiP) prod-ucts — its first E-band transmitterand receiver in a small-form-factorsurface-mount package — forpoint-to-point (PtP) wireless back-haul applications. The EXT7611-SSI/EXR7611-SSI

and EXT8611-SSI/EXR8611-SSI arethe system-in-package transceiverproducts for 71–76GHz (low band)and 81–86GHz (high band) fre-quencies, respectively. The transmitter SIP integrates

a high-performance two-chip gallium arsenide solution with 20dB of available gain, OIP3 (output third-order intercept point)

linearity of 29dBm and outputpower dynamic range controlhigher than 25dB. The transmitterSIP provides features such as enve-lope detector and power detectoroutputs for the best optimization oftransmitter signal over noise andoutput levels. The receiver SIP integrates a

GaAs low-noise amplifier (LNA) anda silicon germanium (SiGe) BiCMOSdown-converter, provides a typicalnoise figure of 5.5dB, excellent linearity and maximum availablegain of 76dB over temperature.Baseband filters up to 750MHz anda baseband VGA (variable gainamplifier) are integrated on-chip,as optional features, and selectedby a two-wire digital interface. Boththe transmitter and receiver aredesigned to meet both FCC andETSI requirements.

“This is the first transceiver pack-age product of our roadmap to sup-port the continue demand of higherdata-rate in wireless applications,”says chief technology officer AndreaBetti-Berutto. “The experiencegathered by our team in developmentof the E-band transceiver is funda-mental for GigOptix’s participation inthe upcoming 5G market,” he adds. GigOptix says that the E-band

radio market is entering its maturitystage, with significant volumedeployment, and is on the way tobecoming one of the most deployedbands for wireless back-hauling ofhundreds of thousands of radios for2016. The upcoming 5G market isexpected to dramatically increasethe demand for millimetre-waveradios for back-haul, front-haul,and access. www.gigoptix.com

News: Microelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

16

Monolithic microwave integratedcircuit developer Custom MMIC ofWestford, MA, USA has added to itsgrowing product line with theCMD231, a wideband (2–6GHz)gallium arsenide (GaAs) MMICdriver amplifier that offers highgain, single positive supply voltage(from +3V to +6V), low currentconsumption and small die size.The new device is suitable for mili-

tary/commercial communicationsand instrumentation applicationswhere small size and high linearityare needed. At 4GHz, the CMD231delivers

more than 14.5dB of gain with acorresponding P1dB (output powerat 1dB compression point) of+13.5dBm and output IP3 (third-order intercept point) linearity of23.5dBm.

The CMD231 is a 50Ω matcheddesign, which eliminates the needfor external DC blocks and RF portmatching. The device also offersfull passivation for increased reliability and moisture protection.The MMIC amplifier is a CMM-2replacement (pin compatible andperformance compatible). www.custommmic.com/CMD231DriverAmplifier

Monolithic microwave integratedcircuit developer Custom MMIChas added to its growing line ofproducts with the CMD232, a2–9GHz GaAs MMIC driver amplifierthat offers high gain, wide band-width, single positive supply volt-age, and a small die size. The new device is suitable for

military, space, communications

and test instrumentation systemsthat require small size and high linearity. At 6GHz the CMD232 delivers

more than 15dB of gain with a corresponding P1dB (output powerat 1dB compression point) of+17dBm and an output IP3 (third-order intercept point) linear-ity of 23dBm.

The CMD232 is a 50Ω matcheddesign, which eliminates the needfor external DC blocks and RF portmatching. The device also offersfull passivation for increased relia-bility and moisture protection. TheMMIC amplifier is a pin-compatibleCMM-9 replacement.www.custommmic.com/CMD232DriverAmplifier

Custom MMIC launches 2–9GHz GaAs driver amplifier

GigOptix delivers E-band radio system-in-packageproducts for point-to-point wireless back-haul

Custom MMIC launches 2–6 GHz GaAs driver amplifierfor compact high-linearity RF and microwave

Page 17: Download V.11, issue 2, March 2016
Page 18: Download V.11, issue 2, March 2016

Future radar imaging systems and5G communication systems willgenerate improved resolution andprovide higher data-transmissionrates when operated at higher frequencies, but at the cost ofincreased power consumption. To reduce power consumption,increase performance and lowercosts, the European project INSIGHT(Integration of III-V NanowireSemiconductors for Next GenerationHigh Performance CMOS SOC Tech-nologies) targets the developmentof III-V CMOS (complementarymetal-oxide-semiconductor) technology. Aiming to establish a manufac-

turable III-V CMOS technology onsilicon substrates in order to reducecosts and to save scarce materials,the six consortium partners includeGermany’s Fraunhofer Institute ofApplied Solid-State Physics (IAF),France’s micro/nanotechnologyR&D center CEA-LETI, Sweden’sLund University, the UK’s Universityof Glasgow, Ireland’s TyndallNational Institute and Switzerland’sIBM Zurich. INSIGHT has beenfunded with €4.25m over 36months (from December 2015 toNovember 2018) under grantagreement 688784 of the H2020-ICT-2015 call of the Horizon 2020Research and Innovation programof the European Union (EU). INSIGHT’s mission is to develop

complementary functionality incompound semiconductor material(III-V CMOS), supporting both analog and digital functionality in themillimeter-wave frequency domain.III-V nanowires will be used tomaintain electrostatic control, asthe gate length is scaled for futuretechnology nodes. The smallnanowire cross-section furtherfacilitates the integration onto silicon substrates using nanotech-nology.

“The fabrication of high-perform-ance III-V components on large silicon substrates using CMOS-compatible technologies opens apath for cost reduction of millimeter-wave key components with mini-mized usage of critical materials”says Lund University professorLars-Erik Wernersson (INSIGHT’scoordinator). IBM foresees a growing need to

push the limits of chip technologyto meet the emerging demands ofcognitive computers, Internet ofThings and Cloud platforms, due tothe enormous amount of data theyare handling — 90% of which isunstructured. The new technologydeveloped in INSIGHT offers apotential solution to scale chiptechnology beyond the 10nm nodeas well as opening up a range ofnew appli-cation areas.IntegratingIII-V materialsinto siliconCMOS canenable betterlogic circuitswith lowerpower con-sumption, andcan alsoenable therealization ofsystem-on-chip (SoC)products tak-ing full advan-tage of III-V’sRF/analogmetrics. There is a

growing needfor perform-ance enhance-ment of keycomponents inthe millimeter-wave fre-

quency range and new consumerapplications are demanding lowcosts. The new technology offers apotential solution, as it may provideboth high-performance analog anddigital functionality on the sameplatform where the improved man-ufacturability allows production onlarger wafers. INSIGHT addressesthe technology need with the aimto demonstrate circuits and sys-tems by optimizing both materialand device properties. For the heterogeneous integration

of III-V materials on silicon substratesusing nanowires, Fraunhofer IAFwill bring its III-V process and circuit design experience, and isinterested in transferring theresults and findings to next-gener-ation III-V device technologies. LETI’s participation involves both

the Silicon Component division andthe Integrated Circuit & EmbeddedSystem division, ranging from mat-erials to the circuit demonstration.The technology expands the LETIplatform for smart devices andInternet of Things with the potentialto squeeze multiple functions into asingle die. III-V CMOS technology may be

particularly suitable for millimeter-wave front-ends where it will beused to detect and generate signalsfor communication, radar andimaging. INSIGHT’s goal is todevelop key technologies for boththe receivers and transmitters,while exploring the limits of thetransistor geometry and layout. The expertise of the project part-

ners spans the complete spectrumfrom materials, through devices, all the way to circuits and systems,allowing the consortium to take the technology further along thepath to commercial products, it isreckoned. http://insight.eit.lth.se www.iaf.fraunhofer.de/en.html

News: Microelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

18

INSIGHT offersa potentialsolution to scalechip technologybeyond the10nm node...Integrating III-V materialsinto silicon CMOScan enablebetter logiccircuits withlower powerconsumption,and can alsoenable therealization ofSoC productstaking fulladvantage ofIII-V’sRF/analogmetrics

EU’s INSIGHT project to develop III-V nanowire-basedCMOS on silicon system-on-chip €4.25m, three-year Horizon 2020 EU program funding targetsmillimeter-wave front-ends

Page 19: Download V.11, issue 2, March 2016

News: Wide-bandgap electronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

19

CVD Equipment Corp of CentralIslip, NY, USA (a designer andmaker of chemical vapor deposition,gas control, and other equipmentfor developing and manufacturingmaterials and coatings) hasannounced the next phase in itsindustrial partnership formed inMarch 2015 with Penn State Uni-versity (PSU) to advance 2D crystaldevice development. “We are pleased to continue to

benefit from the industrial perspec-tive and specialized equipmentmanufacturing expertise that CVDEquipment Corporation brings as

part of our ongoing collaboration,”comments Joan Redwing, Professorof Materials Science and Engineering,Chemical Engineering and ElectricalEngineering. Redwing will lead theconsortium with a goal to advancethe state-of-the-art in crystal growthof 2D materials on the wafer scale. The National Science Foundation’s

Materials Innovation Platform (MIP)recently awarded $17.8m over fiveyears to fund a national user facility,based at Penn State’s MaterialsResearch Institute, for developingnew materials for next-generationelectronics.

CVD will contribute through thesupply and development of equip-ment required for synthesizing 2Dmaterials at the wafer scale. Thefirm says the promise of emerging2D materials (including graphene,boron nitride and transition metaldichalcogenides) for revolutionizingthe semiconductor and electronicdevice industries is reinforced bythis platform award from the NSF.The PSU user facility aims to syn-thesize 2D crystals for faster, moreenergy-efficient, flexible electronics. www.mrsec.psu.edu www.cvdequipment.com

A team led by University of Utahmaterials science and engineeringassociate professor Ashutosh Tiwariclaims to have discovered a new kindof two-dimensional semiconductingmaterial for transistors that opensthe door for much faster computersand smartphones that also consumemuch less power (K J Saji et al, ‘2D Tin Monoxide—An Unexploredp-Type van der Waals Semiconductor:Material Characteristics and Field Effect Transistors’, Advanced Electronic Materials;DOI: 10.1002/aelm.201500453).The paper was co-authored by University of Utah materials scienceand engineering doctoral studentsK. J. Saji and Kun Tian, and MichaelSnure of Wright-Patterson Air ForceResearch Lab near Dayton, Ohio. The tin monoxide (SnO) is a layer

of 2D material only one atom thick,allowing electrical charges to movethrough it much more quickly thanconventional 3D materials like silicon. While researchers have recently

discovered new types of 2D materialsuch as graphene, molybdenum

disulfide and borophene, they havebeen n-type materials that onlyallow the movement of negativecharge carriers (electrons). But tocreate an electronic device youneed semiconductor material thatallows the movement of both negative charge carriers (electrons)and positive charge carriers (holes).The tin monoxide material is reck-oned to be the first stable p-type2D semiconductor material. “Now we have everything — we

have p-type 2D semiconductors and

n-type 2D semiconductors,” he says.“Now things will move forwardmuch more quickly.” It is reckoned that the new 2D tin

monoxide material could lead tothe manufacturing of transistorsthat are even smaller and fasterthan those in use currently. Suchtransistors could lead to computersand smartphones that are morethan 100 times faster than regulardevices. Also, because the elec-trons move through just one layer,there will be less resistance, so themicroprocessors will not get as hotas normal computer chips. Theyalso will require much less power torun (crucial for mobile electronicsthat operate from battery power).Tiwari says this could be especiallyimportant for medical devices suchas electronic implants that will runlonger on a single battery charge.“In two or three years we shouldsee at least some prototypedevice,” Tiwari forecasts. http://onlinelibrary.wiley.com/doi/10.1002/aelm.201500453/full www.nmrl.mse.utah.edu

University of Utah develops tin monoxide as two-dimensional electronic material First stable p-type 2D semiconductor material complements existing n-type 2D semiconductors

Ashutosh Tiwari holds up a substratelayered with tin monoxide. Photo:Dan Hixson/ University of UtahCollege of Engineering.

CVD Equipment industrial partnership with Penn State University steps up 2D crystal development

Page 20: Download V.11, issue 2, March 2016

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

20

X-FAB Silicon Foundries of Erfurt,Germany — a mixed-signal IC, sensor and micro-electro-mechanicalsystems (MEMS) foundry — hasentered wide-bandgap semiconductorproduction by announcing theavailability of silicon carbide (SiC)foundry from its wafer fabricationplant in Lubbock, Texas. The firm says that, due to major

internal investments in the conver-sion of capital equipment (as well asthe support provided by the Power-America Institute at North CarolinaState University), X-FAB Texas hasheavily upgraded its manufacturingresources in order to be ‘SiC-ready’.Among the tools now added are ahigh-temperature anneal furnace,backgrind equipment for thinningSiC wafers, backside metal sputterand backside laser anneal tools. A high-temperature implanter isscheduled for installation later thisyear. X-FAB can hence now fullyleverage the economies of scale thatare already available in its estab-lished 30,000 wafer per month

silicon line, presenting the marketwith the means to produce largevolumes of SiC devices on 6” wafers. X-FAB says that, as well as its

6-inch wafer capabilities, other keydifferentiators include higher yieldsand accelerated ramp-up to full-scale production, plus decades ofexperience in manufacturing semi-conductor devices that adhere tothe most stringent quality standards(such as those for automotiveapplications). The firm will not onlysupply fabless semiconductor vendorsbut also act as a second source forintegrated device manufacturers

(IDMs) with their own SiC production. “Current SiC offerings are either

IDMs creating their own products orrelatively small foundry operationsusing 4-inch production facilities,”says Andy Wilson, X-FAB’s directorof strategic business development.“X-FAB is bringing something different to the market, with a SiCcapacity of 5000 wafers/monthready to utilize and potential toraise this further,” he adds. “We canthus offer a scalable, high-quality,secure platform that will enablecustomers to cost-effectively obtaindiscrete devices on SiC substratesand also safely apply vital differen-tiation.” In 2015, SiC diode and MOSFET

supplier Monolith Semiconductor Incof Ithaca, NY, USA relocated itsheadquarters from Ithaca, NY, toRound Rock, Texas, following astrategic partnership announced in2014 for the manufacture of its SiCswitches in X-FAB Texas’ high-volume150mm silicon production line. www.xfab.com

X-FAB offers high-volume 6-inch SiC foundry production

A 6-inch SiC wafer at X-FAB.

UK-based Cobham plc (which designsand manufactures equipment, specialized systems and componentsfor the aerospace, defense, energyand electronics industries) hasestablished a strategic partnershipto incorporate the GaN technologyof South Korea’s RFHIC Corp intoits next-generation RF products. Cobham and RFHIC will jointly

develop GaN high-power amplifier(HPA) modules for integration intoa prototype 175kW solid-statetransmitter. Development activitieswill be executed by Cobham Integ-rated Electronics Solutions, a busi-ness unit of Cobham AdvancedElectronic Solutions (CAES) at itssite in Exeter, NH, USA that bringstogether RF technology and motioncontrol solutions for the integrationof high-performance systems.

“GaN-based solid-state transmittertechnology is affordable, reliableand scalable, and has a number ofadvantages over traditional vacuumelectronic devices (VED) used incurrent transmitter designs,” notesBob McArthur, business area VP forCobham Integrated Electronic Solu-tions. “Benefits include a significantincrease in mean time betweencritical failure (MTBCF), substantialdecreases in operational and sustain-ment costs, and graceful degrada-tion in the event of hardware failure,as opposed to single point of failureor instantaneous shutdown,” he adds. “Cobham is revolutionizing radar,

communication, and EW [electronicwarfare] markets with its proprietarysolid-state transmitter, using RFHIC’sGaN amplifier technology,” says RFHICfounder & chairman Dr Samuel Cho.

Cobham has developed a 35kWprototype S-band solid-state trans-mitter for air-traffic control andweather radar applications. RFHIChas a comprehensive product port-folio ranging from discrete compo-nents to integrated high-poweramplifiers. The firms reckon theircombined expertise will enable further exploration of domestic andinternational civil and military radarapplications for GaN-based solid-state technologies. Additionally, thepartnership may help provide offsetobligations between Korean militaryand US Navy contracts. RFHIC hasan ITAR-registered and ISO 9001-certified facility in Morrisville, NC,USA to support US military/aero-space customers. www.rfhic.com www.cobham.com

UK’s Cobham and Korea’s RFHIC to co-developGaN high-power amplifier modules

Page 21: Download V.11, issue 2, March 2016

News: Wide-bandgap electronics — APEC 2016

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

21

Fairchild Semiconductor of SanJose CA, USA has launched its first1200V silicon carbide (SiC) diode,the FFSH40120ADN, in its series ofupcoming SiC solutions. The 1200Vdiode’s combination of switchingperformance, reliability and lowelectromagnetic interference (EMI)make it suitable for next-generationsolar inverters, industrial motorcontrols and welders, which are allincreasingly required to be moreenergy efficient at higher powerdensities. “The combination of market

trends and tightening industrystandards is driving the need formore energy-efficient products,and our new 1200V SiC diode isdesigned specifically to help manu-facturers achieve these ever-greater efficiency requirements andwith better reliability, ruggednessand cost efficiency,” says Jin Zhao,VP & general manager of Fairchild’sHigh Power Industrial division. “Webased this diode on silicon carbidedue to the material’s considerableadvantages over silicon, and we willadd additional SiC-based semicon-

ductors as we build a comprehen-sive family of SiC solutions.”The FFSH40120ADN diode has

what is claimed to be the best leak-age current performance in itsclass, leaking far less current attemperatures up to 175°C. Keybenefits include fast switching andno reverse recovery current, whichreduces switching losses compared

to silicon andresults in superiorenergy efficiency.Faster switchingalso allows manu-facturers to reducethe size of theirproducts’ magneticcoils and associatedpassive compo-nents, whichimproves packag-ing efficiency,reduces systemweight, and canreduce bill-of-mat-erials (BOM) costs.The diode’s ability

to switch stablyover a wide tem-

perature range is another factorcontributing to its performance, asis its zero recovery voltage whicheliminates voltage overshoots.Fairchild demonstrated the per-

formance of the 1200V SiC diode at the Applied Power ElectronicsConference and Exposition (APEC)in Long Beach, CA (20–24 March). www.fairchildsemi.com/sic

Fairchild launches its first 1200V SiC diode, targetinghigh-speed solar inverters & rugged industrial applications

At the Applied Power ElectronicsConference & Exposition (APEC2016) in Long Beach, CA, USA(20–24 March), Monolith Semicon-ductor Inc of Round Rock, TX, USAdemonstrated its fast-switching silicon carbide (SiC) metal-oxidesemiconductor field-effect transistors(MOSFETs). The in-booth demonstration illus-

trated the capabilities of Monolith’sSiC technology by operating a 5kWbuck converter with 675V nominalinput and 350V nominal output athigh frequencies (~200kHz) andhigh-efficiency (>98%) converterperformance that cannot beachieved with silicon insulated-gatebipolar transistors (IGBTs). Monolith says that its SiC technol-

ogy also allows for reductions indevice size and weight (as a resultof the higher frequency capabili-ties) and power consumption (dueto reduced power losses) in appli-cations like data-center uninter-ruptible power systems (UPS). In 2015, Monolith formed a strate-

gic partnership with Littelfuse Inc ofChicago, IL, USA (which providescircuit protection technologies) todevelop power semiconductors forindustrial and automotive markets.“We are excited for the opportunitythis offers to increase our customerreach dramatically, gain access toglobal channels, and benefit fromtheir sales and marketing depthand expertise,” says Monolith’s CEOSujit Banerjee PhD. Littelfuse has

committed to add to its investmentas Monolith achieves specific tech-nological milestones. Monolith also has a strategic

manufacturing partnership with theCMOS fabrication facility of X-FABSilicon Foundries in Lubbock,Texas, to produce Monolith’s150mm SiC wafers in high vol-umes. By producing SiC powerdiodes and MOSFETs in a facilitytypically used for silicon, Monolithaims to lower the cost, improve thereliability, and expand the availabil-ity of SiC devices. The objective isto make their adoption economi-cally feasible for a wider range ofhigh-performance, high-efficiencypower electronics systems. www.monolithsemi.com

Monolith demonstrates fast-switching SiC MOSFETs

Page 22: Download V.11, issue 2, March 2016

GE Aviation (an operating unit of GE)has been awarded a $2.1m contractfrom the US Army to develop anddemonstrate silicon carbide (SiC)-based power electronics supportinghigh-voltage next-generationground vehicle electrical powerarchitecture. GE’s Global ResearchCenter is developing silicon carbideMOSFET technology across multipleindustries including aviation,healthcare and energy. “The US Army’s implementation

of silicon carbide technology forhigh-voltage, more-electric ground vehicles, facilitates significantimprovements in size, weight andpower for high-temperature appli-cations,” says Vic Bonneau, presi-dent of Electrical Power Systems for

GE Aviation. “We have multiple SiC-based power conversion productsin development and continue toinvest in this area,” he adds. “Successes to date have led to thisnew application that will enable theUS Army to better manage on-boardpower and simplify the vehicle cool-ing architecture. Ultimately, thisproduct will increase mission capa-bility for the warfighter.” The $2.1m contract consists of an

18-month development program todemonstrate the benefits of GE’ssilicon carbide MOSFET technologycombined with gallium nitride (GaN)devices in a 15kW, 28VDC/600VDC

bi-directional converter. The hard-ware should provide twice the powerin less than half the volume of pres-

ent silicon-based power electronics.In addition, the converters will beable to operate in parallel and beCANbus programmable. The contract is in support of the US

Army’s Tank Automotive Research,Development and Engineering Center(TARDEC) next-generation vehicleelectrical power architecture leap-ahead technology development. It will result in a technologydemonstration in mid-2017. The contracting agent for US Army

TARDEC is DCS Corp, which devel-ops advanced technology solutionsand provides acquisition manage-ment expertise for US Army avia-tion, ground vehicle, soldiersystems and missile systems. www.ge.com/aviation

News: Wide-bandgap electronicss — APEC 2016

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

22

Navitas Semiconductor Inc of El Segundo, CA, USA has launchedits first products, which are claimedto be the industry’s first galliumnitride (GaN) power ICs. Navitas was founded in 2013, and

in 2014 investment firm MalibuIQlicensed the GaN power electronicstechnology from HRL LaboratoriesLLC. Navitas raised $15.1m inequity financing in July 2015. Using a proprietary ‘AllGaN’

process design kit (PDK) to mono-lithically integrate 650V GaN powerfield-effect transistors (FETs) andGaN logic and driver circuits enables10–100x higher switching frequencythan existing silicon circuits, makingpower electronics smaller, lighterand lower cost, says Navitas. A newgeneration of high-frequency,energy-efficient converters is hencebeing enabled for smartphone andlaptop chargers, OLED TVs, LED

lighting, solar inverters, wirelesscharging devices and datacenters. “GaN has tremendous potential to

displace silicon in the power elec-tronics market given its inherenthigh-speed, high-efficiency capabil-ities as a power FET,” says chieftechnology officer & chief operatingofficer Dan Kinzer. “Previously, thatpotential was limited by the lack ofequally high-performance circuitsto drive the GaN FETs quickly andcost effectively. Navitas has solvedthis remaining challenge to unlockthe full potential of the power GaNmarket. With monolithic integrationof GaN drive and logic circuits withGaN power FETs, the industry nowhas a path to cost-effective, easy-to-use, high-frequency power sys-tem designs,” he adds. “The last time power electronics

experienced a dramatic improvementin density, efficiency and cost was in

the late 70s when silicon MOSFETsreplaced bipolar transistors, enablinga transition from linear regulatorsto switching regulators,” says CEOGene Sheridan. “A 10x improve-ment in density, 3x reduction inpower losses and 3x lower costresulted a short time thereafter. A similar market disruption is aboutto occur in which GaN power ICswill enable low-frequency, silicon-based power systems to bereplaced by high-frequency GaNwith dramatic improvements indensity, efficiency and cost.” Navitas introduced its AllGaN

platform and GaN Power ICs in akeynote presentation ‘BreakingSpeed Limits with GaN Power ICs’on 21 March at the Applied PowerElectronics Conference (APEC 2016)in Long Beach, CA, USA. www.navitassemi.com www.apec-conf.org/conference/

GE Aviation receives $2.1m US Army contract todevelop SiC power electronics 18-month development program to demo GE’s SiC MOSFETs combinedwith GaN devices in 15kW, 28VDC/600VDC bi-directional converter

Navitas launches first gallium nitride power ICs ‘AllGaN’ monolithic integration of power FETs with drive and logicenables 10–100x higher frequency than silicon ICs

Page 23: Download V.11, issue 2, March 2016

Wolfspeed of Research TrianglePark, NC, USA — a Cree Companythat makes silicon carbide (SiC)and gallium nitride (GaN) wide-bandgap semiconductor devices —says that, as of the end of 2015, it had shipped gallium nitride on silicon carbide (GaN-on-SiC) RFpower transistors with a combinedRF output power of over 1.3GW.Wolfspeed achieved this whilemaintaining a failure-in-time (FIT)rate of 5-per-billion device hours.

“Wolfspeed’s achievement,exceeding 100 billion total hours offield operation for GaN-on-SiCdevices, is the largest known bodyof fielded data accumulated by anydomestic GaN supplier to date, andincludes not only discrete transistors,but complex multi-stage GaN MMICsas well,” says RF & microwavedirector Jim Milligan. “Our productionnumbers reflect the increasinglywidespread adoption of GaN-on-SiCRF technology in military and

aerospace systems, telecom base-stations, wideband test equipment,civil radar, and medical applications,”he adds. For comparison, 1.3GW is the

same power output as the energyrequired to power all of the LEDstreet lights in Los Angeles for 22 years, or enough to power morethan 124,900 USA residentialhomes for a year. www.wolfspeed.com/RF www.wolfspeed.com/power/products

News: Wide-bandgap electronicss — APEC 2016

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

23

Wolfspeed ships GaN RF devices surpassing 1.3GWoutput while maintaining FIT rate of 5-per-billion device

Wolfspeed showcased its solutionsat the Applied Power ElectronicsConference and Exposition (APEC2016) in Long Beach, CA (20–24March). In addition, as part of theconference program, Wolfspeedengineers presented new researchand leading application panels. Wolfspeed demonstrated an eval-

uation board that enables powerelectronics design engineers toquickly implement the firm’s 900VSiC MOSFETs (claimed to be theindustry’s first 900V SiC MOSFETportfolio, including exclusive SiC-optimized surface-mount pack-aged devices) and an evaluationunit utilizing high-performance SiCpower modules for three-phaseinverter applications.“Our latest evaluation board

allows design engineers to quicklyand easily evaluate the quality andperformance of these MOSFETs forthemselves,” says Guy Moxey,senior director of power products. Wolfspeed showcased its portfolio

of 900V SiC MOSFETs in the surface-mount 7L-D2PAK package,and demonstrate a pre-assembledPCB evaluation board that allowsdesign engineers to evaluate SiCMOSFET switching waveforms, gate driver performance, and cir-cuit protection features to quicklyprototype a SiC power converter.The evaluation board (available

through authorized distributors)contains two 900V C3M MOSFETswith separate Kelvin sources configured in a flexible half-bridgecircuit capable of prototyping asynchronous buck or boostinverter. This represents an optimal board layout, short-circuitprotection, and thermal manage-ment using an isolated heat-sinkto evaluate any 7L-D2PAK 900VWolfspeed MOSFET. The firm also demonstrated a

new high-performance three-phase power evaluation unit thatreduces the development timerequired to implement SiC powermodules in a three-phase inverter.Using Wolfspeed’s soon-to-bereleased CAS300M12HM2 SiCpower module and gate drivers,the evaluation unit provides amodular, configurable circuit

design using standard componentsto rapidly optimize three-phaseSiC power module designs for per-formance, efficiency, thermal man-agement, and circuit protection.Conference presentations Business development & program

manager Jeffrey Casady presentedwhat is claimed to be industry-firstperformance via the paper‘Record-Low 10mΩ 900V SiCMOSFET in TO-247’ authored byCasady, Vipindas Pala, GangyaoWang, Brett Hull, and Scott Allen.Presented as part of APEC’s‘Advances in Wide BandgapDevices’ session, the presentationdescribes how Wolfspeed’s 900VSiC MOSFET achieves what isclaimed to be record-low specificON-resistance and RDSon tempera-ture coefficient, which enable thedevelopment of discrete switchingdevices that far exceed the current densities of super-junctionMOSFETs.In addition, chief technology officer

John Palmour co-chaired the tech-nical session ‘Power Semiconduc-tors Enabling Next-GenerationApplications’, consisting of a seriesof presentations designed toshowcase the potential foradvanced wide-bandgap powersemiconductors in power electron-ics applications. www.apec-conf.org

Wolfspeed exhibits SiC power portfolio at APEC

Page 24: Download V.11, issue 2, March 2016

Efficient Power Conversion Corp(EPC) of El Segundo, CA, USA,which makes enhancement-modegallium nitride on silicon (eGaN)power field-effect transistors (FETs)for power management applications,has announced its Phase SevenReliability Report, showing the distribution of over 17 billion accu-mulated field-device hours anddetailing test data from more than7 million equivalent device-hoursunder stress. The stress tests included intermit-

tent operating life (IOL), early lifefailure rate (ELFR), humidity withbias, temperature cycling, and electrostatic discharge. The studyreports a composite 0.24 FIT rate

for products in the field, which isconsistent with all of EPC’s in situevaluations to date and validatesthe readiness of eGaN FETs to sup-plant silicon for commercial powerswitching applications, reckons thefirm. “Demonstration of the reliability of

new technology is a major chal-lenge,” says CEO & co-founder DrAlex Lidow. “The results of thisstudy show that EPC gallium nitrideproducts have the requisite reliabil-ity to displace silicon as the tech-nology of choice forsemiconductors.” For this report, EPC’s eGaN FETs

were subjected to a wide variety ofstress tests under typical conditions

for silicon-based power MOSFETs.The eGaN FETs were stressed tomeet the latest JEDEC standards,when possible. The tests included:high-temperature reverse bias(HTRB), high-temperature gate bias(HTGB), temperature cycling (TC),high-temperature high-humidity bias(H3TRB), early life failure rate (ELFR)HTRB, and intermittent operatinglife (IOL). EPC says that its extensive

reliability testing continues to showthat the devices are both intrinsi-cally and environmentally reliable.All seven phases of the reliabilitytest program are available on EPC’sweb-site. www.epc-co.com

EPC publishes reliability report documenting over1 7bn field-device hours with very low failure rate

EPC has launched the EPC9065, adevelopment board that can serveas the amplifier stage for AirFuelAlliance Class 4 and Class 5 wire-less power transfer applications.The board is a zero voltage switching(ZVS) differential-mode class-Damplifier development board configured at, but not limited to,6.78MHz (lowest ISM band). The EPC9065 includes all the

critical components, including two

screw-mounted heat-sinks forincreased power capability. It canbe easily connected into an existingsystem to speed end-product timeto market. The development board features

the EPC2007C and the EPC8010,which are 100V-rated enhancement-mode gallium nitride FETs. TheEPC2007C is used in the class-Damplifier while the EPC8010 is usedas a synchronous bootstrap FET.

The amplifier can be set to operatein either differential or single-endedmode and includes the gate drivers,a 6.78MHz oscillator, and a separateheat-sink for each Class-D section.The EPC9065 is priced at $414 each

and is available now from distributorDigi-Key Corp. Quick Start Guides,containing set-up procedures, circuit diagram, bill of material andGerber files for the boards, are provided on-line.

At the 31st IEEE Applied PowerElectronics Conference and Expo-sition (APEC 2016) in Long Beach(20–24 March), EPC exhibitedmore than 20 demonstrationsshowing GaN technology’s per-formance. Featuring its latest eGaN FETs

and integrated circuits as well ascustomers’ end-products enabled byeGaN technology, demonstrationsincluded wireless power systemsthat span the full power range ofQi and AirFuel standards and amulti-mode solution, a single-

stage 48V–1V DC–DC converter,3D real-time LiDAR imaging camera, and an LTE-compatibleenvelope tracking supply. Also, in the conference, EPC’s

experts presented the following sixtechnical presentations on GaNFETs and ICs: Educational Seminar: ‘48V toLoad Voltage: Improving Low Volt-age DC-DC Converter Performancewith GaN Transistors’ by Alex Lidow,David Reusch and John Glaser; ‘Introducing eGaN IC TargetingHighly Resonant Wireless Power’

by Michael de Rooij; ‘Thermal Evaluation of Chip-Scale Packaged Gallium NitrideTransistors’ by David Reusch; ‘GaN: Changing the Way We Live’by Alex Lidow; ‘GaN vs Silicon — OvercomingBarriers to the Rise of GaN’ by Alex Lidow (Power SemiconductorsEnabling Next Generation Appli-cations; Session IS16); and ‘Envelope Tracking – GaN Power Supply for 4G Cell PhoneBase Stations’ by Yuanzhe Zhang. www.apec-conf.org

EPC presents applications using eGaN technology at APEC

EPC launches 100W, 92%-efficient eGaN FET development boardfor 6.78MHz AirFuel wireless power standard

News: Wide-bandgap electronicss — APEC 2016

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

24

Page 25: Download V.11, issue 2, March 2016

Transphorm Inc of Goleta, nearSanta Barbara, CA, USA — whichdesigns and manufactures JEDEC-qualified 650V gallium nitride (GaN)-based devices for high-voltagepower conversion applications —has launched the TPH3207WS GaNfield-effect transistor (FET), whichhas what is claimed to be the low-est on-resistance (Ron=41mΩ) in aTO-247 package, reducing systemvolume as much as 50% withoutsacrificing efficiency. Transphormsays that the device’s low Rds(on)

and ultra-low reverse-recoverycharge (Qrr) of 175nC bring thebenefits of GaN to applications thatpreviously relied on silicon,enabling engineers to achievepower-dense solutions withreduced component count andimproved reliability in high-voltagepower conversion applications.The TPH3207 is said to improve

system reliability, performance and

power density in an easy-to-handlecascode configuration (EZ-GaN)that can be easily driven with off-the-shelf drivers. Also, thedevice’s TO-247 industry-standardpackaging allows for ease of design and development and lowelectromagnetic interference (EMI).Also, faster switching speeds fromlow capacitances and gate chargeenable designers to double thepower density, reducing the overallsystem costs.

These advantages arebeing realized in hard-switched bridges andthe continuous conduc-tion mode (CCM)bridgeless totem-polepower factor correction(PFC) designs (reduc-ing overall power sup-ply losses by as muchas 40% while achiev-ing up to 99% effi-

ciency) in on-board chargers, solarinverters, telecom power suppliesand other power conversion appli-cations. TPH3207WS samples are available

to purchase now, priced at $22.69for 1000-unit quantities. Transphorm’s GaN FET portfolio is

also strengthened with the intro-duction of the TPH3208 family(130mΩ) in industry-standard TO-220 and PQFN packages.www.transphormusa.com/products

Transphorm has added global distributor Richardson Electronicsand the Northeast representativeA/D Sales to support growingdesign activity for its GaN powertransistors and modules. “The power electronics industry is

waking up to the realization that

Transphorm GaN is enabling themost compact, highest-efficiencysolar inverters, on-board electricvehicle chargers, power suppliesand other high-power-densityapplications,” says Michael White,senior VP, sales & marketing. “Our new channel partners bring

both knowledge and access to thedesign engineering community tofacilitate the integration ofTransphorm GaN devices into theseapplications,” he adds. www.rell.comwww.ad-salesinc.com www.transphormusa.com

At the Applied Power ElectronicsConference (APEC 2016) in LongBeach, CA, USA (20–24 March),Transphorm exhibited the followinglive demonstrations: high-power 3.5kW bridgelesstotem-pole PFC with 99% efficiency; a single-phase 4.5kW inverterthat exceeds 99% efficiency; and

an end-customer (Sumolight)high-power LED lighting systemthat is an order of magnitudesmaller and lighter than a silicon-based approach. Also at APEC, Jason Cuadra,

Transphorm’s director of PowerElectronics Applications, participatedin the following speaker events:

PSMA/PELS workshop: ‘PowerMagnetics @ High Frequency —Solving the Black Magic’; Industry Session presentation(IS04): ‘GaN Takes Server PowerSupplies’ Power Density to NewHeights’. www.psma.com/technical-forums/magnetics/workshop

Transphorm demonstrates key applications at APEC

Transphorm introduces only fully qualified 650V GaN FET, with lowest on-resistance in TO-247 package Lowest Ron of 41mΩ and ultra-low Qrr of 175nC increase power densityand boost efficiencies over range of power levels

Transphorm adds Richardson Electronics as globaldistributor and A/D Sales as Northeast sales rep

News: Wide-bandgap electronicss — APEC 2016

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

25

Page 26: Download V.11, issue 2, March 2016

News: Wide-bandgap electronics — Mobile World Congress

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

26

At the Mobile World Congress(MWC 2016) in Barcelona, Spain(22–25 February), M/A-COM Tech-nology Solutions Inc of Lowell, MA,USA (which makes semiconductors,components and subassemblies foranalog RF, microwave, millimeter-wave and photonic applications)debuted a newly expanded portfolioof wireless infrastructure semicon-ductor solutions which — optimizedto meet the most demanding band-width, performance and efficiencyneeds — target new standards forintegration, ease of use and costeffectiveness. MACOM’s technology and wireless

application experience enablesmobile network operators world-wide to keep pace with burgeoningbandwidth demands while minimiz-ing capital and operating expenses,says Preet Virk, MACOM’s senior VP& general manager, Carrier Networks.“Addressing the needs of 4G, 4.5Gand 5G wireless infrastructure, withthe proven ability to support high-frequency backhaul and accesstechnologies, MACOM provides aone-stop shop forhigh-performanceRF, microwave,millimetre-waveand optical components,” he adds. Solutions and

technologies forwireless networkinfrastructure that MACOMexhibited includethe following: The newMAGb series ofGaN power tran-sistors for macrobase-stations(debuted atMWC) produceGaN perform-ance at what isclaimed to beLDMOS-like cost

structures at scaled volume pro-duction levels. MAGb power transis-tors target all major cellular bandswithin the 1.8–3.8GHz frequencyrange, and support peak outputpower up to 700W. MACOM’s small-signal solutionsfor base-station receivers spanfrom discrete high-power switch,low-noise amplifier (LNA), digitalstep attenuators, variable voltageattenuators and control componentsto semi- and fully integrated front-end modules that combinethese devices with optional biasand matching capabilities. Thesehighly integrated modules areultra-compact and easy to use, andprovide a wide range of customiza-tion and scalability options. The newest entries in MACOM’sfamily of gallium arsenide (GaAs)microwave power amplifiers (PAs)for wireless backhaul applicationsenable full frequency-band coveragefrom 7GHz to 86GHz, with bothnarrow- and wideband PA optionsto ensure deployment flexibility. MACOM’s family of E-band PAs

and integrated modules help toaccelerate the evolution to higher-capacity wireless backhaul, whileits surface-mountable E-band Tx and Rx modules simplify thedesign and manufacturing of low-costE-band point-to-point radios. MACOM is aiding the developmentof next-generation 5G and MIMOdemonstration systems, through itsdecades of experience in mmWaveand expertise from the circuit levelto the device packaging level, aswell as phased array technologies.Providing the ability to integratemultiple components into ultra-compact front-end modules,MACOM says that it can help tofacilitate the design of large antennaearrays supporting advanced 5Gbeam-forming capabilities. Regarding optical transport components, MACOM also offers aportfolio of optical front-haul (CPRI)and optical back-haul (GPON)lasers and chipsets to complementits RF and microwave product port-folio for wireless infrastructure. www.macom.com/wirelessinfra

MACOM debuts new GaN power transistors for macrobase-stations among expanded wireless infrastructure

Page 27: Download V.11, issue 2, March 2016

News: Wide-bandgap electronics — Mobile World Congress

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

27

At the Mobile WorldCongress (MWC 2016)in Barcelona, Spain(22–25 February),M/A-COM TechnologySolutions Inc of Lowell,MA, USA (which makessemiconductors, components and subassemblies foranalog RF, microwave,millimeter-wave andphotonic applications)launched its MAGbseries of GaN powertransistors for use inwireless macro base-stations. Leveraging MACOM’s

Gen4 GaN technology, the new MAGbseries is claimed to be the industry’sfirst commercial basestation-optimized family of GaN transistorsto achieve leadership efficiency,bandwidth and power gain withLDMOS-like linearity and cost structure, and a path to better-than-LDMOS cost at scaled volume production levels. The MAGb series of power transis-

tors targets all cellular bands withinthe 1.8–3.8GHz frequency range.Initial entries in the product seriesinclude single-ended transistorsproviding up to 400W peak powerin small packages, dual-transistorsand single-package Doherty config-uration providing up to 700W peakpower in both symmetric andasymmetric power options. Thenew product series delivers powerefficiency improvement of up to10% and package size reductiongreater than 15% over legacyLDMOS offerings, it is reckoned.Based on linear Gen 4 technology,the MAGb is easy to linearize andcorrect with digital-pre-distortion(DPD) schemes compared with otherGaN technologies, the firm claims. The power transistors in the MAGb

family cover much wider bandwidththan LDMOS, reducing the numberof parts needed to cover the major

cellular bands. The new productfamily delivers these advantageswhile simplifying the Dohertyimplementation over LDMOS-basedtransistors and maintaining over200MHz of video bandwidth. The MAGB-101822-120B0S is

the first product in this family andcovers 500MHz of RF bandwidthbetween 1.7GHz to 2.2GHz. It ishoused in a small AC-400 ceramicpackage and delivers over 160W ofpeak power and a peak efficiency of74% with fundamental tuning onlyand linear gain over 19dB acrossthe 500MHz band. Second in the series is the

MAGB-101822-240B0S, which hasdouble the output power of theMAGB-101822-120B0S with peakpower over 320W, 19dB of lineargain and peak efficiency over 72%with fundamental tuning only acrossthe 500MHz RF bandwidth, housedin the AC-780 ceramic package. The peak efficiency of both new

products can be further improvedto well above 80% when thedevices are presented with theproper harmonic terminations. MACOM says that, by unleashing

the efficiency, size and broadbandadvantages of its Gen4 GaN tech-nology, the new series enableswireless carriers to deploy the

latest LTE releases and significantlyreduce operating expenses athighly competitive price points,with a scalable supply chain com-bined with MACOM’s applicationsand design support team. “Gen4 GaN positions MACOM at

the vanguard of a transformativeevolution in basestation poweramplifiers, enabling a price/per-formance breakthrough that cannotbe achieved with alternative semi-conductor technologies,” reckonsPreet Virk, senior VP & generalmanager, Carrier Networks, atMACOM. “We anticipate that thewireless application expertise andcommercial manufacturing scalabil-ity that MACOM brings to thisdomain via the MAGb product plat-form will vault GaN-based PAs intothe mainstream, unlocking a hostof benefits for the next generationof wireless base-stations.” As well as giving private demon-

strations of MAGb products at theMobile World Congress in February,MACOM will also be demonstratingthe technology at the InternationalMicrowave Symposium (IMS 2016)in San Francisco (22–27 May). Select products in the new MAGb

series of GaN power transistors aresampling to qualified customers now. www.macom.com/wirelessinfra

MACOM launches Gen4-based family of GaNpower transistors for wireless basestations

The new product series delivers power efficiency improvement of up to 10% and packagesize reduction greater than 15% over legacy LDMOS offerings.

Page 28: Download V.11, issue 2, March 2016

Advantech Wireless Inc of Montreal,Canada (which manufactures satel-lite, RF equipment and microwavesystems) has opened its new USheadquarters in Buford, Georgia.The new office will provide customer

service and support as well assales, training and finished goodsinventory for fast deliveries toAdvantech Wireless’ US customers. “The improvement in Advantech

Wireless’ ability to serve our fast-growing US customer base is con-sistent with meeting the increasingdemand for our satellite networksolutions and award-winning sec-

ond-generation GaN-based SSPA[solid-state power amplifier] systems,”says Bob Petrucelli, VP of US sales.“This location will allow us to respondto new and existing customers withdedicated staff in a timely manner,”he adds. “It is strategically locatedin Buford, GA, near several key UScustomers and the Atlanta Interna-tional Airport, offering convenientair transportation and shipping tothe entire United States.” Advantech Wireless exhibited at

the Satellite 2016 Conference andExhibition (7–10 March) in National Harbor, MD, USA.

Advantech Wireless has appointedGregory Litinsky as regional VP forsales for Russia and the Common-wealth of Independent States (CIS). Litinsky has over 25 years of

experience in international sales forIsraeli and North American high-techfirms. Prior to being regional VP,Eurasia, for Gilat Satellite Networks,he was director, strategic accounts& market channels Russia & CIS forCeragon Networks. He also hasexperience in penetrating anddeveloping sales in emerging mar-kets, particularly in Russia and CIS. www.advantechwireless.com

Advantech Wireless opens new US headquarters

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

28

GaN Systems Inc of Ottawa, Ontario,Canada, a fabless developer of gallium nitride (GaN)-based powerswitching semiconductors forpower conversion and control appli-cations, says that its GS66508Pgallium nitride power transistorswere instrumental in the winningdesign of the Google ‘Little BoxChallenge’. Google and the IEEE Power Elec-

tronics Society awarded the $1mprize to ‘Red Electrical Devils’(named after Belgium’s nationalsoccer team), a team from CE+TPower of Wandre, Belgium, fordesigning, building and demonstrat-ing an inverter with the highestpower density and smallest volume.The competition included morethan 2000 registered teams, fromwhich 18 finalists were selected.After 4 months of testing the final-ists’ designs, the $1m prize winnerwas announced at the ARPA-EEnergy Innovation Summit inWashington DC.Global demand for more power is

unsustainable without using fewermanufacturing materials and con-suming less energy to operate theburgeoning number of electronicdevices, says GaN Systems. Invert-ers convert direct current (DC)

from solar panels or batteries intothe alternating current (AC). TheLittle Box Challenge organizerstasked engineers to “Figure out howto shrink an inverter down to some-thing smaller than a small laptop (a reduction of >10x in volume).” The key goal was to reach an

inverter power density in excess of50W/cubic inch in a volume ofunder 40 cubic inches. The RedElectrical Devils presented theirentry at the National RenewableEnergy Laboratory (NREL) inGolden, CO, USA, and passedexhaustive testing. Their winninginverter design produced a powerdensity of 143W/cubic inch in 14 cubic inches, outperforming theLittle Box Challenge power densitygoal by nearly a factor of 3, which,

according to Google, “is 10 timesmore compact than commerciallyavailable inverters.”“The use of GaN technology

enabled our team to reach a powerdensity of ~145W/in2 for the 2kVAinverter designed for this project,”says Olivier Bomboir, VP of productmanagement & new business atCE+T Power. “The reduced gatedrive and switching losses of GaNSystems’ GS66508P were critical toour thermal and power densitygoals. Additionally, we were highlyimpressed at how reliably thedevices performed over the monthsof rigorous, real-world testing bythe NREL team,” he adds. “This achievement is added

confirmation that gallium nitridesemiconductors are instrumental inhelping power design engineersrespond to the ever increasingneed to develop more efficientpower conversion solutions,” comments GaN Systems’ CEO Jim Witham. “GaN technologyclearly paves the way toward morepowerful, compact and efficientinverter designs.” www.littleboxchallenge.com www.cet-power.com www.gansystems.com www.nrel.gov

GaN Systems’ transistors used in winning inverterdesign for $1m Google Little Box Challenge

GaN Systems’ GS6650P transistorused in winning design for GoogleLittle Box Challenge.

Page 29: Download V.11, issue 2, March 2016

Distribution & Control Department

For sales, product & distributor information, please visit http://www.americas.fujielectric.com/componentsdistribution-control

or contact us: [email protected]

Compact! Ground Fault Protection Built in One Unit for Space Saving Designs

SameFootprint!MCCBs&ELCBsInterchangeablewithoutPanelModifications

18mm Per Pole Width(2P & 3P), IEC 35mm DIN Rail Mounting

Higher Capacity SCCR ⇒ 18kA@ 240VAC UL489

The New Generation of Low Voltage Earth Leakage Circuit Breakers

Fuji Electric Lambda SeriesNew, Compact, Powerful

Page 30: Download V.11, issue 2, March 2016

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

30

Multitest elektronische SystemeGmbH of Rosenheim, Germany (a company of Xcerra Corp of Norwood, MA, USA that makesmaterial handling equipment andinterfaces for testing and calibratingsemiconductors and sensors) hasintroduced a contacting solution fortesting extremely high-frequencysemiconductors in high-volumeproduction. The mmWave Contactoroffers field-proven electrical performance while maintainingmechanical characteristics, saysthe firm. Multitest has developed a hybrid

contacting solution that combinestraditional spring probe architec-ture for low-frequency and powerI/Os while incorporating a can-tilever solution for the peripheralhigh-frequency transceiver I/Os. By combining spring probe andcantilever technologies, Multitesthas extended the reach of volume-production contactors to theextremely high-frequency rangesneeded by automotive radar,WiGig, and 5G backhaul devices.

By keeping the interface from testequipment to the device as short aspossible while minimizing the num-ber of transitions, Multitest is ableto minimize the loss and maintainbroadband performance from DC to81GHz (<–10dB return loss and4dB to 6dB insertion loss typical at81GHz). The mmWave contactor addresses

the mechanical requirements ofhigh-volume production by incorpo-rating high-compliance, robustspring probes and materials andon-site replacement compatibility.The contactor assembly can be fullyserviced onsite without incurringdelays due to shipping lead timesor RMA queues. The entire contact-ing solution is mechanically assem-bled and each component can beremoved and replaced on-site.The mmWave contactor solution

from Xcerra is a field-proven solutionfor high-volume semiconductor testthat has overcome the challenge ofusing of metal transmission linesfor extremely high-frequency applications.

“With the advent of productionvolumes of extremely high-fre-quency semiconductors, it begs thequestion, ‘How will you test it?’,”says Jason Mroczkowski, directorRF product development & market-ing. “The experts at Multitest haveconsidered all factors, ranging fromimpedance discontinuities tostackup tolerances and their impacton RF performance at mmWavefrequencies,” he adds. “Xcerra isthe only supplier offering a com-plete test cell solution for volumeproduction of automotive radardevices up to 81GHz. This test cellincludes the tester, handler andinterface components required for atrue high-volume production test of mmWave devices. A critical component of this hardware is theMultitest mmWave Contactor. Todate there are many lab and low-volume solutions for extremelyhigh-frequency semiconductor test,but none exist for true high-volumeproduction test of mmWavedevices. Until now.”www.multitest.com/mmWave

Multitest launches mmWave Contactor interface forhigh-frequency testing in high-volume production

At the SEMI Industry StrategySymposium Europe 2016 confer-ence in Nice, France (6–8 March),Paul Lindner, executive technologydirector at EV Group of St Florian,Austria (a supplier of wafer bondingand lithography equipment forMEMS, nanotechnology and semi-conductor applications), receivedthe 2015 European SEMI Award. Established in 1989, the European

SEMI Award recognizes individualsand teams that have made a signif-icant contribution to the Europeansemiconductor and related indus-tries.Paul Lindner was nominated and

selected by his peers within theinternational semiconductor com-

munity in recognition of his out-standing contributions in the fieldof wafer processing equipment.Lindner is honoured for leadingexceptional innovations in waferbonding technologies at EVG. Theprocess separation between waferalignment and wafer bonding(developed in 1990) revolutionizedwafer bonding technology and hassince become an industry standard.Lindner is said to have changed theway the industry builds semicon-ductors, exemplifying EVG’s ongo-ing effort of “being the first” inexploring new techniques and serv-ing next-generation applications ofmicro- and nano-fabrication tech-nologies, the citation adds.

“We are very proud of SEMI Member EVG’s achievements inwafer bonding technologies and thecontributions that Paul Lindner andhis team have made to the semi-conductor community,” commentedSEMI Europe president Laith Altimime. Lindner heads the R&D, product

and project management, qualitymanagement, business developmentand process technology departmentsat EVG. He joined the firm in 1988as a mechanical design engineer andhas since pioneered semiconductorand MEMS processing systems,which have set industry standards.www.EVGroup.com http://regions.semi.org/eu/node/8501

EVG’s executive technology director Paul Lindnerreceives European SEMI Award

Page 31: Download V.11, issue 2, March 2016
Page 32: Download V.11, issue 2, March 2016

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

32

For fourth-quarter 2015, AXT Inc ofFremont, CA, USA — which makesgallium arsenide (GaAs), indiumphosphide (InP) and germanium(Ge) substrates and raw materials— has reported revenue of $18.1m,down 1.6% on $18.4m last quarterand 7.6% on $19.6m a year agobut above the expected $17–18m.Full-year 2015 revenue was $77.5m,down 7.2% on 2014’s $83.5m. Of total Q4 revenue, 66% came

from Asia Pacific, 24% from Europeand 9% from North America. Onlyone customer generated more than10% of revenue, and the top fivegenerated 42% of total revenue(down from 45% last quarter),reflecting diversification of bothproducts and customers. After growing more than 50%

from the prior year, InP substratesnow represent AXT’s single largestproduct category (nearly 30% oftotal revenue in Q4), driven mainlyby demand for optoelectronicdevices (specifically fiber-opticcommunications and telecommuni-cations and passive optical networks).“For these InP-based devices, performance is a much largerdriver than price. This contributedto a better substrate margin profileand pricing stability than we seetoday in gallium arsenide,” saysCEO Dr Morris Young. “The most significant near-term

headwind in our business is rawmaterials, which were down mean-ingfully in Q4 as a result of bothprice and volume decline,” notesYoung. In particular, gallium pricinghas continued to reach historic lows(taking a very severe hit, startingin October–November) due largelyto oversupply, resulting in a one-time charge at one of AXT’s jointventures to write-down inventoryto market-level pricing. “At thebeginning of 2015 we thought gallium prices had hit the bottom[about $200/kg], but now galliumpricing is quoted somewhere

around$140/kg,”adds Young. Germaniumpricing hasalso droppedsignificantly inrecent months,leading toAXT’s germa-nium jointventure incur-ring a loss. Gross margin

has fallenfrom 25.4% ayear ago and25.1% lastquarter to17.1%, butthis includesthe write-down chargefrom AXT’sgallium rawmaterial subsidiary(reducing consolidatedgross marginby about threepercentagepoints). Inaddition, thehistorically lowgallium pricingcurrently alsoaffected grossmargin onconsolidatedsales from thefirm’s galliumsubsidiaries (an additional hit toconsolidated gross margin of about2.5%). The balance of the differencecompared to last quarter (2.5%) isrelated to product mix. Despite Q4,full-year gross margin was 21.7%,up from 20.6% for 2014. “During 2015, our semi-insulating

GaAs substrate revenue reached arelatively stable level, havingweathered a major technology

transition in previous years,” saysYoung. “This area of our businessnow requires comparatively modestongoing investment that holdsupside potential to qualify withmeeting customers’ demands in RFdevice markets,” he adds.Operating expenses have been

from $5.6m a year ago and $5.3mlast quarter to $4.8m in Q4, led bya reduced level of R&D spending inone of the raw material subsidiaries.Operating loss has risen from$0.7m last quarter to $1.7m, dueto the impact of gallium pricing. Compared with net income of

$42,000 last quarter ($0.00 pershare), net loss was $1.2m ($0.04per share, slightly worse than theexpected $0.01–0.03 per share dueto the raw material write-downs).Full-year net loss was $2.2m($0.07 per share), up from $1.4m($0.04 per share) for 2014. In Q4, depreciation and amortiza-

tion was $1.3m. Capital expenditure(CapEx) was just $0.5m (down from$1.4m last quarter). AXT also used asmall amount of cash to repurchasestock (taking the total for full-year2015 to $2.3m). Altogether, duringthe quarter, cash and investmentshence fell from $45.4m to $44m(down on $48.9m a year ago). “2015 was a year of transformation

for AXT as we continued to realignour business with the trends thatare driving growth in compoundsemiconductor substrates,” saysYoung. “This process began inearnest two years ago following thesignificant customer consolidationand technology transition in ourindustry. As we began to pivot ourbusiness in 2014, we made mean-ingful improvements in our coststructure and began to see a positiveshift in our revenue mix,” he adds. “With our recent purchase of the

production equipment for GaAswafer processing and handling forHitachi Metals, we believe we canimprove our manufacturing capability

AXT’s Q4/2015 revenue hit by gallium pricing, but indium phosphide annual revenue up 50% Indium phosphide substrates now over 30% or revenue

Gallium pricinghas continuedto reach historiclows (taking avery severe hit,starting inOctober–November) duelargely tooversupply,resulting in aone-timecharge at one ofAXT’s jointventures towrite-downinventory tomarket-levelpricing. At thebeginning of2015 wethought galliumprices had hitthe bottom[about$200/kg], butnow galliumpricing isquotedsomewherearound$140/kg

Page 33: Download V.11, issue 2, March 2016

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

33

for quality and consistency andenhance our ability to pursuegrowth opportunities,” says Young.“With semiconducting GaAs wecontinue to play modestly. We arepursuing higher-end applicationssuch as backlighting, signage andautomotive; we have made a con-scious decision not to participate incertain lower-end applications as aresult of the serious competitivelandscape and corresponding pric-ing environment,” he adds. “In 2015, we focused on strategic

investments in our technology andmanufacturing capabilities that willposition AXT to benefit from thegrowth in InP and will improve us indriving improved consistency and

efficiency across our substrateportfolio,” continues Young. “We arepleased with these investments,and in the early results of ourefforts,” he adds. For first-quarter 2016, AXT

expects the continued weaknessfrom near-term trends to yield flatrevenue of $17.5–18.5m and a lossof $0.03–0.05 per share. “However,the longer-term shift in our busi-ness towards InP, coupled with thepotential new opportunities acrossour portfolio, gives us confidence inour renewed growth this year,” sayschief financial officer Gary Fischer. “Although raw material pricing is

providing a near-term headwind,we expect to continue to see a pos-

itive shift in our revenue mix in2016 driven by InP, providing thepotential for both revenue andmargin expansion,” says Young.“AXT is the market leader [in InP]and we’re now clearly in the earlystages of increased market adoption.” “Further, we continue to focus on

helping our customers optimize thebenefit of indium phosphide and weare actively in development of theindustry’s first 6-inch InP substrates,”notes Young. “We are confident thatwe will be able to drive continuedgrowth in indium phosphide salesand an increasing shift in our rev-enue mix towards this emergingmaterial.” www.axt.com

Keysight Technologies Inc of Santa Rosa, CA, USA (which provides electronic measurementinstruments, systems and relatedsoftware used in the design, devel-opment, manufacture, installation,deployment and operation of elec-tronic equipment) has announcedthe turn-on of chip-sets based on itsindium phosphide (InP) technologythat will enable it to deliver (in 2017)real-time and equivalent-time oscilloscopes offering record band-widths of greater than 100GHz withsignificantly better noise floors thanwhat is currently on the market. The real-time oscilloscopes will

also include a new 10-bit analog-to-digital converter (ADC) thatallows higher vertical resolution ofsignals captured at ultra-highbandwidth, and more than onemaximum bandwidth input channelper oscilloscope to enable tightchannel synchronization. Keysightattributes the results to its expert-ise in microwave semiconductordesign and packaging, oscilloscopearchitecture and in-house fabri-cation technology. “Our expertise in microwave semi-

conductor technology has allowedus to deliver the next-generation

indium phosphide process to createa breakthrough in real-time andequivalent-time oscilloscope per-formance, and it will enable signifi-cant advancements in otherKeysight products over time aswell,” says senior VP & chief tech-nology officer Jay Alexander. Engineers working with next-

generation, high-speed interfacessuch as the upcoming IEEE P802.3bs400G, as well as terabit coherentoptical modulation, will need oscil-loscopes for electrical parametricmeasurements, notes Keysight.These technologies and others willplay a key role in validating fifth-generation wireless (5G) designs.Also, these interfaces will drive theneed for high-performance, real-time and equivalent-time signalanalysis capabilities to 100GHz andbeyond, add the firm. As data ratescontinue to extend beyond 56Gb/sNRZ and 56GBaud multi-level signaling, engineers will need notonly higher bandwidth but alsohigher vertical resolution and lowernoise floors to address their valida-tion challenges, and the new chip-sets have been designed with thisin mind. Six years ago, Keysight released

its first oscilloscope with chip-setsfabricated using the firm’s propri-etary InP process, and it is still theonly company that produces oscil-loscopes made with InP chip-sets.Investing in the next-generationInP process has allowed Keysight toscale the transistor switching fre-quencies beyond the 300GHz level,enabling higher bandwidths in boththe chips and the end products. “Keysight is investing in a com-

pletely new technology chain tomeet the next-generation measure-ment needs,” says Dave Cipriani,VP & general manager of Keysight’soscilloscope business. “Our goal isto move multiple performanceparameters ahead simultaneously.The next-generation oscilloscopesdeliver bandwidths starting at80GHz and going beyond 100GHz.They will have a lower noise density,providing higher-resolution meas-urements in tightly synchronized,multi-channel systems,” he adds.“Whether customers are measuringhigher baud rates, higher-orderQAM signals or multi-channel sys-tems, these next-generation scopeswill meet their needs.” www.keysight.com/en/pcx-x2015004/oscilloscopes

Keysight’s new InP chip-sets to enable record-bandwidth100GHz+ oscilloscopes

Page 34: Download V.11, issue 2, March 2016

For full-year 2015, epiwafer foundryand substrate maker IQE plc ofCardiff, Wales, UK has reportedrevenue of £114m, up 2% on2014’s £112m. Growth was tempered by Wireless

sales falling by a further 11%, from2014’s £89.1m to £79.5m. Thisreflects the slowdown in the smart-phone market in second-half 2015,exacerbated by inventory adjust-ments through the supply chain. However, further diversification of

revenues was driven by significantgrowth in non-wireless sales, from20% of total revenue in 2014 toabout 30% in 2015. In particular, Photonics sales rose

strongly, by 28% from £12.5m to£16m, driven by increasing adoptionfor a wide range of applicationsincluding data centers, consumerapplications, industrial processes,and fibre-to-the-premises (FTTx).Revenues in other markets werebroadly flat year-on-year at £10.5m,including InfraRed revenue fallingslightly from £9.3m to £8.9m,while CMOS++ revenue rose from£1.1m to £1.7m. Overall segment revenue has

fallen from £112m to £106m. However, this was supplemented bya new revenue stream comprisingthe first Licensing income of £8m(a combination of upfront andrecurring income), earned fromlicenses to IQE’s joint ventures (JVs). “The group had another strong

financial performance in 2015, withcontinued growth in revenues,profits and cash generation,” sayschief executive Dr Drew Nelson. Adjusted gross margin remained

stable at about 28%. Adjusted selling, general and administrationexpenses (SG&A) was cut from£13.9m to £13.5m, including thebenefit of improved efficiencies. While adjusted operating profit

rose by 8% from £17.6m to £19m,earnings before interest, tax, depre-

ciation andamortisation(EBITDA)almost doubledfrom £16m to£29m. Adjusteddiluted earningsper share (EPS)has risen from2.42p to 2.6p. Cash inflow

from operations rose by 41% from£14.9m to £21m. “Our strong cashgeneration has enabled us to con-tinue to invest in new technologieswhilst de-leveraging our balancesheet,” says Nelson. Capital expenditure (CapEx) has

been increased from £9.4m to£10m. Investment in new productdevelopment was maintained atabout £5m, while investment inother intangibles was slightly lowerat £1.2m (down from £1.3m).Investment in property, plant &equipment rose by £0.6m from£3.2m to £3.8m (which remainstowards the low end of the normalexpected maintenance CapEx). “Our balance sheet leverage

peaked in January 2013 at about£94m on theback ofacquisitions,but we havesignificantlyreduced thisto about£40m by theend of 2015[down by22% from£51.9m in2014 to£40.3m in2015, asgearingreduced from30% to22%]” saysNelson. Thisreflects that

deferred consideration relating toprevious acquisitions fell by £3.5mfrom £20.6m to £17.1m, and thatnet debt has been cut by 26%(£8.1m) from £31.3m to £23.2m. Going forward, IQE expects further

diversification of revenues, drivenby a photonics market outlook and strong pipeline that supportscontinuing double-digit growth. In addition, upfront license incomefrom JVs should be about £2m inQ1/2016. IQE notes that it has a growing

portfolio of epitaxial IP, includingmore than 100 patents and a richpool of trade secrets for the designand manufacture of advancedsemiconductors. In particular,direct engagement with multipletier-1 OEMs reflects the increasingimportance of epitaxial IP as a keyenabling technology within electronicsystems. Market dynamics alsoreflect the increasing focus onadvanced semiconductor materialstechnologies (with a US-basedcompetitor being acquired for 3.5xrevenues). Also during 2015, joint ventures

were established in the UK and Singapore for the development andcommercialization of advancedsemiconductor technologies. Thesignificance of the technology wasalso recognised by the UK govern-ment with a £50m commitmentthis January to fund a CompoundSemiconductor Applications Catapultin Cardiff. “Our focus on building a strong IP

portfolio reflects our vision of globalleadership across a range of mar-kets as advanced semiconductormaterials become an increasinglyimportant enabler of a wide rangeof electronics applications,” saysNelson. “This strategy underpinsour strong financial performance.” IQE says that the outlook for wire-

less remains attractive, with recentgains in market share, contract

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

34

The outlook forwireless remainsattractive, withrecent gains inmarket share,contract wins,and new productqualifications forbase-stationapplications. Inthe short term,IQE expects theWirelessmaterials marketto grow at a rateof about 5%

Diversificationof revenueswas driven bysignificantgrowth in non-wireless sales,to about 30%of total revenue

IQE’s Photonics sales growth of 28% outweighsfurther Wireless sales drop of 11% IP licensing revenue supplementing return to Wireless growth in 2016

Page 35: Download V.11, issue 2, March 2016

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

35

wins, and new product qualifica-tions for base-station applications.In the short term, IQE expects theWireless materials market to growat a rate of about 5%. The firm sees continued progress

in other markets. Activity in thepower semiconductor market con-tinues to intensify. IQE has secureda strong IP position with cREO(Rare Earth Oxide) technology(licenced exclusively from Translu-cent Inc). Qualifications with multipleend-users are underway, in additionto continued technology develop-ment, enabled by cREO and otherin-house IP. Advanced solar is making good

progress in space applications, mit-igating slow progress in the terres-trial market (which has beenhampered by macroeconomics).Overall, the outlook remains positive,says IQE. Product qualification isunderway with a leading satellitemanufacturer, paving the way forincreasing production revenues in2017 from this sector of the market. IQE says that its InfraRed business

maintains its market leadershipposition, announcing on 26 Januarya $3.7m contract with a leadingglobal substrate maker that under-pins its strong outlook for 2016.IQEs expect the Infrared market togrowth at a rate of 5–10% for the

near future. “Moving forward, we envisage a

return to growth in Wireless, accelerating growth in Photonics,increasing contributions fromPower and Solar, and continuingleverage of our powerful IP positionthrough licensing, new productdevelopment and introductions,”says Nelson. “We have had a good start to

2016, and are trading in line withour expectations,” he notes. “Theoutlook remains positive, whichunderpins the board’s confidencethat we remain on track to achieveour expectations for the full year.” www.iqep.com

Epiwafer foundry and substratemaker IQE plc of Cardiff, Wales,UK says that Andrew Griffiths,process engineer at IQE’s Photon-ics business unit based in Cardiff,was named Young Engineer of theYear at the ESTnet Awards 2016 ata gala ceremony held on 2 Marchat the Wales Millennium Centre. The independent judging panel

awarded Griffiths the title for hisachievements at IQE, where hetackled a number of key projects.He has played an active role andmade significant contributions to anumber of process improvement

activities within IQE’s photonicsbusiness unit that have helped fur-

ther advance the teams engineeringand operational capabilities. ”This award is a well-deserved

recognition of Andrew’s hard workand commitment,” says IQE’s CEODr Drew Nelson. “Now in its fifthyear, the ESTnet awards act toshowcase excellence in the elec-tronics, software and technologiessector in Wales,” he adds. “Theimportance of attracting andretaining young talent into ourindustry cannot be overestimatedand is essential to building avibrant, high-tech economy.” http://estnet-awards.co.uk

IQE’s Andrew Griffiths wins Young Engineer of the Year title

Matheson Tri-Gas Inc of BaskingRidge, NJ, USA, together with itsparent company Taiyo Nippon SansoCorp (TNSC) of Tokyo, Japan, saysthat the Solid State Lighting &Energy Electronics Center (SSLEEC)at the University of California,Santa Barbara (UCSB) has installedan SR-4000HT-grade gallium nitridemetal-organic chemical vapor deposition (MOCVD) reactor for itscontinued compound semiconductordevice developmental work fordeep-ultraviolet light-emitting

diodes (UVC-LEDs). SSLEEC is co-directed by Nobel Prize-winningprofessor Shuji Nakamura and professor Steven DenBaars. It is said that the unique design of

the SR-4000HT assists in growinghigh-aluminium-content devices athigh growth rates, while operatingat pressures higher than 40kPa andelevated temperatures.“We have already obtained prelim-

inary growth results which demon-strate the unrivaled performance ofthe SR-4000HT reactor,” comments

Nakamura. “We look forward tousing the MOCVD reactor fromTNSC to grow the high-quality III-nitride-based materials anddevices,” he adds. “We believe that the designed fea-

tures of our reactor, which enablewell controlled vapor phase reac-tions, will allow UCSB to enjoy alarge process window to developtheir devices,” says TNSC’s CSEDivision Manager Koh Matsumoto. http://ssleec.ucsb.eduhttp://www.mathesongas.com

Solid State Lighting & Energy Electronics Center selectsNippon Sanso MOCVD reactor for UVC-LED development

IQE’s Andrew Griffiths, winner of theYoung Engineer of the Year award(courtesy ESTnet).

Page 36: Download V.11, issue 2, March 2016

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

36

Epitaxial deposition and processequipment maker Veeco InstrumentsInc of Plainview, NY, USA hasreported revenue for full-year 2015of $477m, up 21% on 2014’s$392.9m. Fourth-quarter 2015 revenue was

$106.5m, down 6% on $113.6m ayear ago and 24.5% on $141m lastquarter. Veeco had warned in lateOctober of an impact on Q4 of thepush-out of a number of metal-organic chemical vapor deposition(MOCVD) systems into 2016 by aChina-based LED maker (while thiscustomer faced challenges in secur-ing letters of credit). Nevertheless,Q4 revenue was still towards theupper end of the $90-110m guid-ance range. On a geographic basis, China

comprised 48% of total revenue(falling back from 58% last quarter),the USA 18%, EMEA (Europe, theMiddle East & Africa) 12%, and therest of the world 22% (includinggrowth from both Japan and Taiwan). The Lighting, Display & Power

Electronics segment — primarilyMOCVD systems — contributed47% of total revenue (falling from67% last quarter) and included theremaining EPIK MOCVD systemfrom the Sanan order announced inDecember 2014. The Advanced Packaging, MEMS &

RF segment contributed a record20% of revenue (doubling from10% last quarter), focused heavilyon the RF device segment. For Pre-cision Surface Processing (PSP) —formerly Solid State EquipmentHoldings LLC (SSEC) of Horsham, PA,USA until its acquisition in Q4/2015— full-year revenue growth wasmore than 20%, significantlyexceeding the targeted 10%. “PSP has enabled us to significantlyexpand our footprint in the RF andMEMS markets and also gain entryinto the high-growth AdvancedPackaging space,” says chairman &CEO John R. Peeler.

The Scientific & Industrial segmentcontributed 17% of revenue (upfrom 10%), driven by ion beam etchsales and the highest sales in morethan three years for molecular beamepitaxy (MBE) systems (used toproduce high-end gallium arsenideRF switches). The Data Storagesegment rose slightly from 13% to16% of revenue. On a non-GAAP basis, gross margin

was 36.8%, down from 38.5% ayear ago and 39.1% last quarter, buthigher than the expected 32–35%due to a slightly stronger product mix.Full-year gross margin rose from36.3% in 2014 to 38% for 2015. Operating expenses in Q4 were

$38m, roughly level with $38.1m ayear ago but up from $36.4m lastquarter and slightly above theexpected $35–37m due to variablecompensation expense. Operatingincome was $1.1m (down from$18.6m last quarter). So, afterdepreciation of $3.3m, adjustedearnings before interest, taxes,depreciation and amortization(EBITDA) was $4.4m, down from$8.3m a year ago and $21.8m lastquarter. Full-year adjusted EBITDAwas $41.7m, up on 2014’s $2.6m. Net income in Q4 was $0.6m

($0.01 per share), down on $5.1m

($0.13 per share) a year ago and($0.33 per share) last quarter.However, full-year net income of$22.1m ($0.54 per share) compareswith a loss of $4.1m ($0.10 pershare) in 2014. “We ended 2015 on a positive

note, delivering solid Q4 revenueand adjusted EBITDA and exceedingthe high end of our guidance rangefor gross margin,” notes Peeler. For full-year 2015, Veeco generated

$16m in cash from operations (the12th consecutive year of positiveoperational cash flow). However,for Q4/2015 net cash used by operations was $6m. Also in Q4,Veeco spent $9.2m to repurchasecommon stock. Altogether, duringthe quarter, cash and short-terminvestments fell by $18m from$403m to $385m. Following the sharp dip to $52m

last quarter, order bookings morethan doubled sequentially to$107m in Q4. Advanced Packaging, MEMS & RF

orders rose from 27% of totalorders last quarter to 37% in Q4(mostly due to RF orders growingby over 300%, driven by incremen-tal capacity investments for futuresmartphone demand). “A majorityof the RF device manufacturers

Veeco’s Q4/2015 hit by China MOCVD push-out, but full-year revenue still up 21% Annual growth in Advanced Packaging, MEMS & RF double the target

Page 37: Download V.11, issue 2, March 2016

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

37

utilize our PSP tool for production,and we won business from a newcustomer this quarter, furtherstrengthening our RF position,”says chief financial officer SamMaheshwari. “We also saw significantmomentum for PSP in advancedpackaging. We received productionorders from multiple customersincluding a leading Asian foundryand penetration into an Asian OSAT[outsourced semiconductor assembly& test provider],” he adds. Scientific & Industrial fell from

27% of total orders last quarter to17%. However, orders increasedsequentially on a dollar basis andwere divided evenly between MBEfor advanced materials researchand ion beam deposition tools foroptical coating. Data Storage fellfrom 30% of total bookings lastquarter to 16% in Q4. Lighting, Display & Power Electronics

rebounded from the sharp dip to23% of total orders last quarter to30% in Q4. “While this is a clearimprovement over Q3, it still reflects

the weak LED industry environmentand the oversupply condition,” saysMaheshwari. “Sales for certainapplications, such as automotiveLEDs, have been less impacted bycurrent market conditions,” he adds. “The vast majority of our MOCVD

orders were for US- and Europe-based customers, who have a morediversified product portfolio,” saysMaheshwari. On a geographic basis,China comprised just 20% of totalorders, the USA 29%, EMEA 29%,and the rest of the world 22%. Order backlog at the end of Q4/2015

remained flat quarter-to-quarter at$186m, down on $287m a year ago. “Entering 2016, we continue to

face a weak macro-economic environment and challenging LEDindustry conditions. As a result, weexpect investments for MOCVDequipment will remain soft throughthe first half of this year,” saysPeeler. “During this time, we con-tinue to strengthen our productportfolio and recently introducedthe TurboDisc K475i MOCVD reactor

[for arsenic phosphide (As/P) red,orange, yellow (R/O/Y) LEDs] tocomplement our industry-leadingEPIK 700 MOCVD product [for gallium nitride blue LEDs]. We remainfocused on positioning the companyfor long-term growth,” he adds. “Since our bookings in Q3 of 2015

were low, we see its impact in pro-jected Q1 revenue,” says Maheshwari.For first-quarter 2016, Veecoexpects revenue to drop sharply to$70–80m. Nevertheless, grossmargin should still rise to 37–39%.Operating expenses are guided tobe $38–40m. Veeco expects anadjusted EBITDA loss of $9–5mand a net loss of $14–10m($0.35–0.25 per share). “We have been successful in our

efforts to lower material cost forEPIK and stabilize pricing, whichshould support gross marginexpansion going forward,” notesMaheshwari. “We believe our targetof 40% is achievable at closer to$100m in revenue levels,” he adds.www.veeco.com

Page 38: Download V.11, issue 2, March 2016

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

38

Deposition equipment maker Aixtron SE of Herzogenrath, nearAachen, Germany has reported full-year revenue for 2015 of €197.8m,up 2% on 2014’s €193.8m. Contrary to expectations, San’an

Optoelectronics Co Ltd (China’slargest LED maker) did not qualifyAixtron’s new AIX R6 metal-organicchemical vapor deposition(MOCVD) system (contributing toAsian revenue falling from 83% oftotal revenue in 2014 to just 60%in 2015, while Europe rose from13% to 18% and the Americasfrom just 4% to 22%). Full-yearrevenue was hence down about16% on the original forecast (givenin February) of €220–250m. Butdespite this, revenue was towardsthe upper end of the revised guid-ance of €190–200m given in lateOctober. Most recently, fourth-quarter 2015 revenue was €62.5m,up 8% on Q4/2014’s €58m and up14% on Q3/2015’s €54.6m. “We were able to do this by com-

pensating for lower revenues in theLED segment with significant growthin other technology areas,” sayspresident & CEO Martin Goetzeler.“This is a result of the progress wehave made in implementing ourdiversification strategy,” he adds. As demand for high-performance

and energy-efficient components foruse in markets such as communi-cations, data storage or electricmobility increases, demand isgrowing for semiconductors foroptoelectronics and power elec-tronics, says Aixtron. In contrast,the LED market remains challeng-ing even though the global trendtowards LED lighting continues,adds the firm. However, excesscapacities and very intense compe-tition between LED makers in Asiaput a strain on the demand forMOCVD production systems. The broader distribution of revenue

by application reflects the greaterdiversification of the technology

and product portfolio: from 2014(as a proportion of total revenue),while LEDs have fallen from 68% to26%, in contrast optoelectronics(excluding LEDs) has risen from9% to 31%, silicon-based micro-electronics from 11% to 19%,power electronics from 7% to 17%,and other markets from 5% to 7%.“Aixtron has a promising technologyand product portfolio which we aredeveloping systematically,” saysGoetzeler. “Leaving aside LEDs, wewere able to register the best yearfor optoelectronics (especially forapplications such as laser, infraredLEDs and photovoltaics, as well aspower electronics) in a long time bypartially tripling our revenues,” headds. “Although we are in an earlyphase in the area of carbon nano-technology, we achieved anotherimprovement in revenues in 2015.Based on our technology for memorychips, we almost doubled our rev-enues in the silicon industry and we

have continued to drive forward ourmarket positioning for the develop-ment of new logic applications.” Gross margin was 31% in Q4/2015,

down slightly from 33% in Q3 butup from 18% a year ago. Full-yeargross margin has risen from 20%in 2014 to 25% for 2015, driven byan improving product mix andfavorable currency effects. Operating expenses were €21.1m

in Q4/2015, up from €16.3m in Q3but cut from €30.5m a year ago.Reflecting the better cost control,full-year operating expenses havebeen cut from €98m in 2014 to€76.5m in 2015, remaining wellbelow the targeted €80m. This isdespite significant R&D investmentof €55.4m (down from 2014’s€66.7m) in system technologiessuch as organic light-emitting diodes(OLEDs) and III-V-on-silicon (TFOS). Full-year EBITDA (earnings before

interest, tax, depreciation and amort-ization) improved from –€41.3mfor 2014 to –€16.4m for 2015.However, although down on+€13.9m a year ago, Q4/2015EBITDA was +€1.3m (following+€4.1m in Q3/2015). Hence, withan overall positive EBITDA of+€5.4m, Aixtron met the expecta-tions of EBITDA break-even in second-half 2015, reflecting theevolving product mix, the favorablecurrency performance, andincreased in productivity. “Achiev-ing positive EBITDA in the secondhalf of 2015 as planned and with-out the revenue share from Chinaconfirms our cost discipline as wellas the progress in the implementa-tion of our diversification strategy,”says Goetzeler. However, free cash flow has dete-

riorated further, from +€5.9m ayear ago and –€10m in Q3/2015 to–€35m in Q4. Full-year free cashflow has declined from –€47m in2014 to –€57.3m for 2015. This wasdriven mainly by a partial repay-ment of advance payments from

Aixtron’s revenue grows 14% in Q4 as diversificationoutweighs LED-related China loss Positive EBITDA achieved in second-half 2015

Full-year 2015 revenue by end-application (equipment only).

Page 39: Download V.11, issue 2, March 2016

San’an for the originally ordered 50AIX R6 systems. The remainingrepayment was made in Q1/2016. Capital expenditure in Q4/2015 was

€2.9m (level with Q3), contributingto full-year CapEx of €13.3m in 2015(roughly level with €13.4m in 2014). During 2015, cash and cash

equivalents has hence fallen by€58.7m from €268.1m at the end of2014 to €209.4m at the end of 2015. Full-year equipment order intake

has fallen by 16% from €198.7m in2014 to €167.1m in 2015 (including€31.3m in Q4, down 9% on€34.4m in Q3 and down 37% on€49.3m a year ago), due mainly tolower overall market demand espe-cially for LED production systemsas well as the effect from thelonger-than-expected qualificationprocess of the AIX R6. Due to inter-nal policies regarding the registra-tion of system orders, the majororder from San’an was not part ofthe equipment order backlog. Totalequipment order backlog of €42.9mat the end of 2015 was 34% lowerthan the €65.2m at end-2014 and38% lower than the January 2015opening backlog of €69m, due tothe high level of deliveries asscheduled at the end of 2015 incombination with low order intakes. Aixtron says that, to speed up the

firm’s transformation, it is workingcontinuously on efficiency and pro-ductivity improvements in thecourse of its internal Quality &Business Improvements (QuBI)

initiative. In addition, the companyis continuing to proceed with thediversification of its technology andproduct portfolio. By expandingtechnologies solutions for powersemiconductors, logic and memory,carbon nanostructures as well astargeted investments in OLED tech-nologies, Aixtron aims to exploitnew market opportunities. “Withthe installation of our Gen8 demon-stration system and the acquisitionof the encapsulation technology fromPlasmaSi [of Fremont, CA, USA,which provides low-temperaturesilicon nitride plasma-enhancedchemical vapor deposition (PECVD)systems for the encapsulation oforganic thin-films], we see our-selves in a good position for thecurrent year,” notes Goetzeler.

Based on Aixtron’s current ordersituation, Aixtron expects revenueof €170–200m for full-year 2016,with significantly stronger revenuegeneration in second-half 2016compared to first-half 2016. Currency-adjusted order intake isexpected to be level with 2015. Depending on completion of quali-

fication processes and market entryefforts as well as the achievementof revenues at the high end of therevenue guidance range, Aixtronexpects another improvement inresults in 2016. EBITDA, EBIT, net result and free cash flow areexpected to improve slightly on2015 but to remain negative forfull-year 2016. Positive EBITDA isexpected for full-year 2017. www.aixtron.com

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

39

The world’s largest LED epiwaferand chip maker Epistar Corp ofHsinchu Science-based IndustrialPark, Taiwan has achieved all mile-stones in the internal qualificationprocess of Aixtron AIX R6 beta-type MOCVD production system. Aixtron says that, in the course of

the qualification process, the AIX R6confirmed its value proposition interms of throughput maximization,intrinsic yield and uniformity.Designed in particular for LEDmass production, the AIX R6 also

demonstrated its cost advantagesthrough precursor efficiency andcontinuous run production oper-ation, the firm adds.“We have been satisfied with the

performance of Aixtron’s Shower-head tool during the internal testphase, especially with its improveduniformity which is the basis forhigh-quality LED products,” saysEpistar’s president Dr M. J. Jou.“We are looking forward to benefitfrom the system’s low cost of own-ership in the future,” he adds.

“The AIX R6 is a state-of-the-artLED manufacturing system thatrecognizes the needs of the LEDindustry, especially with regard tooutput, efficiency and costs,” saysAixtron’s executive VP & chiefoperating officer Dr Bernd Schulte.“Based on our long-standing andtrustful business relationship withEpistar, we are looking forward tothe further cooperation with one ofthe leading and most innovativeplayers in the global LED market.” www.epistar.com.tw

Epistar finalizes qualification of Aixtron’s AIX R6 MOCVD system

Page 40: Download V.11, issue 2, March 2016

Deposition equipment maker Aixtron SE of Herzogenrath, nearAachen, Germany has shipped anAIX G5+ C metal-organic chemicalvapor deposition (MOCVD) systemto start-up company Exagan. Based in Grenoble, France (with a

branch office in Toulouse), Exaganwas spun off in 2014 from Soitecand micro- and nanotechnologyresearch center CEA-Leti (withfinancial support from each), andlicenses materials and technologyfrom both organizations. Exaganaims to accelerate the power-elec-tronics industry's transition fromsilicon-based technology to smallerand more efficient electrical con-verters based on GaN-on-silicontechnology. Its GaN power switchesare designed for full compatibilitywith standard 200mm-wafer siliconfoundries to deliver high-perform-ance, high-reliability productsthrough a robust supply chain. Exagan will use the system to begin

volume production of GaN-on-Simaterials for power-switching devices. The AIX G5+ C Planetary Reactor

epitaxy production platform comesin a 5x200mm configuration with

single-wafer rotation and is equippedwith full cassette-to-cassette waferloading as well as Aixtron’s in-situreactor cleaning feature for high-volume manufacturing.Exagan, in collaboration with its

R&D partner CEA-Leti, selected theAIX G5+ C system after evaluatingits effectiveness in achieving tightuniformity control and highthroughput using Exagan’s propri-etary G-Stack process technology,which is used in creating a uniquestack of GaN-based materials thatenables the fabrication of the firm’sG-FET high-power, high-efficiencytransistors. Along with Soitec’sindustrial facility and expertise andCEA-Leti’s 200mm equipment andcharacterization tools, Aixtron’sequipment adds to Exagan’s supplychain as it ramps up its materialproduction facility in Grenoble.Installation is a major step in

Exagan’s and CEA-Leti’s strategicpartnership to accelerate Exagan’sGaN-on-Si integration roadmap.The partnership is supported by the‘G-drive+’ R&D project, which isfunded by Bpifrance via the Frenchgovernment ‘Investissements d’Avenir’

(‘Invest for the Future’) program. “Aixtron and our parent company

CEA-Leti have enjoyed a long andsuccessful R&D relationship devel-oping GaN-on-Si technology,” notesExagan’s chief operating officer &co-founder Fabrice Letertre. “Now,Exagan is partnering with Aixtronto deliver on our industrial roadmapby using epi to reach our cost mile-stones. By implementing an efficientGaN-on-Si manufacturing processon 200mm silicon substrates, weare aligning GaN technology withsilicon manufacturing standards.This makes our G-FET products themost cost-efficient wide-bandgapsolution for the solar, IT electronics,connectivity and automotive mar-kets,” he reckons. “Our AIX G5+ C is the only system

to date offering full automation ofGaN-on-Si MOCVD processes, ascommonly encountered in the siliconindustry,” says Dr Frank Wischmeyer,Aixtron’s VP Power Electronics.“The system achieves the higheston-wafer layer uniformity in a batchmulti-wafer configuration for maxi-mum throughput and yield.” www.exagan.com

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

40

Aixtron ships AIX G5+ C MOCVD system to Exagan forproduction ramp of GaN-on-Si power-switching devicesAixtron and Exagan partner to accelerate GaN-on-Si power HEMTmanufacturing on 200mm silicon

Aixtron SE of Herzogenrath, nearAachen, Germany says that Seoul-based LG Innotek (a subsidiary ofSouth Korean electronics companyLG Group) has selected an AIX G5WW (Warm-Wall) reactor for thedevelopment of silicon carbide (SiC)epitaxial wafers aimed mainly atpower devices for automotive andrenewable energy applications.Configured for 8x6” substrates,the system was delivered infourth-quarter 2015. The AIX G5 WW vapor phase

epitaxy (VPE) system is based onAixtron’s production-proven plane-

tary reactor platform, giving thelargest batch capacity and highestthroughput in the industry, it isreckoned. The equipment designaims to minimize production costswhile maintaining production quality. “We see rising demand for SiC-

based systems from major auto-motive manufacturers,” says LGInnotek vice president Dr MinseokKang, the leader of Korea’s WPM(World Premier Material) nationalproject for SiC materials develop-ment (led by LG Innotek). “Basedon our long-term experience withAixtron epitaxy systems, we believe

that Aixtron’s G5 WW tool offersunique advantages such as highthroughput and yield on 6” wafers.Furthermore, we can pre-qualifySiC epitaxial wafer samples usingAixtron’s SiC application lab andwe appreciate the outstanding,dedicated SiC customer supportpackage,” he comments. “We will provide full support to LG

Innotek for the quick installationand SiC process qualification of thesystem,” notes Dr Frank Wischmeyer,vice president Power Electronics atAixtron. www.lginnotek.com

LG Innotek using AIX G5 WW system to develop SiC epiwafers

Page 41: Download V.11, issue 2, March 2016

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

41

Aixtron SE of Herzogenrath, nearAachen, Germany says that UK-based Plessey Semiconductors Ltdhas ordered an AIX G5+ C clustersystem (for delivery in third-quarter2016) to expand its productioncapacity for gallium nitride LEDsmanufactured on silicon wafers(GaN-on-Si). The AIX G5+ C cluster for Plessey

consists of two multi-wafer AIXG5+ reactors, supplemented byAixtron’s next-generation cassette-to-cassette wafer handler for large-scale, fully automated epitaxyproduction. Plessey purchased theAixtron planetary system mainly toexpand its 150mm GaN-on-Siwafer production but it is alsoworking towards 200mm productionqualification mid-term, as Aixtron’sG5+ system enables the processing

of eight 150mm wafers or five200mm wafers at the same time. “We are now moving from proof of

capability for our GaN-on-Si LEDproducts into a capacity expansionphase,” says Plessey’s operationsdirector Mike Snaith. “In the mean-time, we have built significantdemand for a range of our LEDproducts. We have decided to pur-chase Aixtron’s latest planetary

system, as the AIX G5+ C combinesoutstanding on-wafer uniformity andrun-to-run performance at lowestcost of ownership — aspects thatare crucial for efficient high-volumeGaN-on-Si production,” he adds. “We have a longstanding and

trustful relationship with Plessey,”comments Dr Frank Schulte, vicepresident Aixtron Europe. “Our AIXG5+ C planetary system resolvesthe common challenges of high-yield, high-quality and high-throughput production ofGaN-based materials on large-areasilicon wafers through its fully auto-mated cassette-to-cassette loaderand a thermally activated gas etchof the MOCVD chamber,” he adds. www.plesseysemiconductors.com/led-plessey-semiconductors.phpwww.aixtron.com

Plessey expands GaN-on-Si LED production capacitywith Aixtron AIX G5+ C cluster

AIX G5+C MOCVD clusterwith cassette-to-cassettewafer handler

Page 42: Download V.11, issue 2, March 2016

Temperature measurement duringmetal-organic chemical vapor deposition (MOCVD) growth ofGaN-on-silicon (GaN/Si) devices ischallenging, notes in-situ metrologysystem maker LayTec AG of Berlin,Germany. Theoretically, conventionalinfrared (IR) pyrometry should besufficient because the silicon sub-strate is IR absorbing in the fullrange of relevant growth tempera-tures. However, one artifact makesfeedback control difficult and pro-hibits precise statistical processcontrol in industrial applications.Figure 1 shows this phenomenon:when GaN is grown, the temperaturesignal (red) starts oscillating by ±2K. Together with partners at

Otto-von-Guericke University ofMagdeburg (professor ArminDadgar and his team) and FBH(Ferdinand-Braun-Institut, Leibniz-Institut fur Hoechstfrequenztechnik)of Berlin, Germany (Dr Frank Brunner),LayTec searched for a solution andfound out that, no matter how perfect the IR pyrometer is, theseoscillations are inevitable. They arecaused by a complex interactionbetween two effects: on the onehand the IR-transparent GaN/AlGaNstrain-engineering layers togetherwith the defect-reducing bufferstructure, and on the other handthe thermal IR radiation out of thesilicon wafer that passes throughthe grown structure. LayTec’s solution was to use a pyrometrywavelength range in which thecomplex buffer layer structureSi/AlGaN/GaN/LT-AlGaN/GaN is not‘visible’ for the pyrometer. Using LayTec’s ultraviolet (UV)

pyrometer Pyro 400 (developedsome years ago for GaN-on-sapphiregrowth in the LED industry), theresults are shown in Figure 2. The same wafer as in Figure 1 wastransferred to a reactor at FBHequipped with two in-situ tools: thePyro 400 for UV pyrometry and theEpiCurve TT for IR pyrometry

(950nm emissivity corrected),reflectance and wafer bow meas-urements. The UV pyrometer (Fig-ure 2 — blue) gives a very stablewafer temperature signal withoutoscillations during GaN growth.Measurements on a referenceGaN/GaN wafer in the same run(not shown here) verified that boththe IR pyrometer and the Pyro 400

are well calibratedand give exactlythe same temper-ature on an ideallyflat and smoothGaN/GaN wafer.Figure 2 showsUV pyrometrymeasurementsclearly indicatingthat the true GaNsurface tempera-ture of the GaN/Sitemplate wafer is~5K lower thanthat of the basi-cally flat GaN/GaNwafer due to the~100km–1 convexbow of the silicontemplate measuredby EpiCurve TT. Further, it became

clear that theremaining oscilla-tions in the IRpyrometry signal(red) are not themost importantartifact. Due tointeractions of thethermal IR radia-tion with theinternal structureof the GaN/Sibuffer, there is anextra downshift inthis temperaturesignal by as muchas about 15K.This effect is

attributed to thefact that the ther-

mal IR radiation coming out of thesilicon suffers intensity losses whilepassing the highly defective regionat the Si/GaN interface. Theseinternal stray light losses dependon the Fabry–Perot resonancewithin the total GaN thickness and,therefore, also contribute to the IRtemperature oscillations. www.laytec.de/power-rf-electronics

Fig. 2: Overgrowth of template of Figure 1 with GaN in aplanetary G3 reactor: the blue - UV pyrometry, red - IRpyrometry, black - reflectance (950nm) temperature ofthe GaN buffer measured by Pyro 400 (lower blue curve)is completely free of Fabry-Perot oscillations. The IRtemperature (red) again shows oscillations but (evenmore essential) it is also downshifted by –15K from thetrue temperature of its GaN surface. Data courtesy: FBH.

Fig. 1: GaN/Si template run for creating a compressivelypre-strained buffer (as can be seen in the curvature data):red - temperature; green - curvature; blue - reflectance(950nm). Data courtesy of Otto-von-Guericke University.

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

42

LayTec details accurate wafer temperature measurementduring GaN-on-Si MOCVD for power electronics UV rather than IR pyrometry screens out complex buffer layer structure

Page 43: Download V.11, issue 2, March 2016

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

43

At the Pittcon conference in Atlanta(6–10 March), laser-based tracegas analyzer manufacturer TigerOptics LLC of Warrington, PA, USAexhibited the new ALOHA+ H2Oanalyzer, which refines the detec-tion of moisture in ammonia to levels down to 2 parts-per-billion(ppb), achieving five times the sensitivity of incumbent technology,it is reckoned. Also at Pittcon, senior scientist Dr Florian Adlerpresented the paper ‘Cavity Ring-Down Spectroscopy Analyzer forTrace Moisture Detection in Ultra-Pure Ammonia’ in the Specialty GasAnalysis session. Five years ago, Tiger introduced

its original ALOHA H2O analyzer forthe high-brightness light-emittingdiode (HB LED) market, meetingthe need to detect moisture inammonia at levels to 10ppb, ashigher concentrations impair theelectroluminescent intensity of HBLEDs and diminish process yields.This directly affects profit margins,as brightness determines whetheran LED finds use in a flat-screen TV

or is relegated to use in lower-valueconsumer products. “When we entered the moisture in

ammonia analysis market back in2004, we did so with an analyzerthat had the lowest detection limitavailable,” says founder & chiefexecutive Lisa Bergson. “We’vedeveloped close relationships withthe leading gas manufacturers,purifier makers and end-users of

ammonia,” she adds. “When theytold us that they needed an analyzerthat had limits lower than even ourbest analyzer, we developed theALOHA+ H2O analyzer and reducedthe LDL [lowest detection limit] toan astonishingly low level of 2ppb.” With the new ALOHA+ H2O

analyzer, Tiger Optics is addressingthe evermore exacting needs of HB LED makers, tool manufactur-ers, purifier makers and the gascompanies that supply ammonia,the preferred source of nitrogen forthe production of gallium nitride(GaN)-based HB LEDs. The compact analyzer fits two to a

19’’ rack and features the firm’sproven cavity ring-down spec-troscopy (CRDS) laser-based tech-nology. Often used in remotelocations (where skilled techniciansand support services are not avail-able) and offering a combination ofsensitivity and robustness, the ana-lyzers require little maintenanceand are easy to install and to oper-ate, says Tiger. www.tigeroptics.com

Tiger Optics launches analyser for detection ofmoisture in ammonia down to 2ppb

SPTS Technologies Ltd of Newport,Wales, UK (an Orbotech companythat manufactures etch, PVD andCVD wafer processing solutions forthe MEMS, advanced packaging,LED, high-speed RF on GaAs, andpower management device mar-kets) has been named Company ofthe Year at the 4th Annual ESTnetAwards, presented on 2 March atthe Wales Millennium Centre inCardiff. Also, Corey Lewis, now cus-tomer support engineer at SPTS,was awarded Apprentice of the Yearin recognition of the extraordinaryachievements in his work studiesand job role at SPTS. The ESTnet Awards celebrate

excellence in the electronics, soft-ware and technologies sector inWales by recognizing the outstand-

ing achievements of individuals andcompanies that make up the Welshtechnology community. Supportingyoung talent and the future of engi-neering, SPTS also sponsored theYoung Engineer of the Year Award. “We have had very rapid growth in

the company and been able toexpand market share and improvefootprint in all of our served mar-kets,” said Kevin Crofton, presidentof SPTS Technologies and corporateVP at Orbotech. “We hired 20 newemployees in the course of the pastyear at our headquarters in New-port, and we have been very activein growing our apprenticeship pro-gram,” he added. “This is a hugerecognition for our workforce andthe important role that SPTS playsin the Welsh economy.”

ESTnet is a network of technologyenterprises created to form strongbusiness relationships, exchangeknowledge and share ideas. Associated members include supply chains and the professionalservices that support them. Affiliate members provide theindustry with professional services.Academic members provide theindustry with graduates and opportunities to collaborate onresearch and innovation.The network provides a collabora-

tive environment in which peopleand organizations working withthese enabling technologies cancreate strong business relation-ships, exchange knowledge andshare ideas. www.spts.com

SPTS named Company of the Year at ESTnet Awards

Tiger Optics’s new ALOHA+ H2Oanalyzer.

Page 44: Download V.11, issue 2, March 2016

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

44

ClassOne Technology of Kalispell,MT, USA, which manufactures wet-chemical processing equipment(especially for emerging marketsand other cost-conscious users of≤200mm substrates), has announcedits new Plating-Plus capability, whichallows additional processes to beintegrated into a single plating tool.The firm says that this can optimizeutilization of the ≤200mm Solsticeelectroplating equipment and givesubstantial net cost savings as wellas process enhancements.“A lot of our customers, especially

those with low to moderate produc-tion levels, are seeing significantbenefits in incorporating additionalprocesses into our Solstice platingtool,” says Win Carpenter, VP ofinternational sales. “With Plating-Plus, many users can eliminate theneed to purchase additional down-stream equipment — and that elim-inates the costs of adding floorspace, operators, training, spareparts, etc. Our customers can max-imize the use of a single Solsticeand streamline their process flow.They can gain the benefits of sin-gle-wafer processing with reducedqueue times and less excess capac-ity. The result is a more efficientsolution with better capacitymatching and lower overall costs.”

“Plating-Plus is made possiblebecause of the unique flexibility wedesigned into our Solstice platingtools right from the start,” notespresident Kevin Witt. “The SolsticeS8 provides eight modular chamberpositions that can be used for dif-ferent processes — all within onestandard, highly reliable platform,”he adds. “Users can get the specialfunctionality they want simply byordering their new Solstice with aspecific configuration of chambers.” Three new chambers To date, ClassOne has introduced

three new modular Plating-Plusprocessing chambers that can beinstalled on Solstice plating tools.These are high-performance, high-efficiency chambers that enablehigh-pressure metal lift-off, resiststrip, and UBM (under-bump met-allization) etch. The new high-pres-sure metal lift-off chamber isdesigned for high-efficiency metalremoval without re-deposition. Theresist strip chamber is an advancedspray module that handles solventssafely and can run a single strip-ping process across a range of dif-ferent resists. The UBM etchchamber incorporates an intelligentoptical end-point detection technol-ogy that controls etching preciselyand consistently to avoid undercut.

ClassOne says the new Plating-Pluscapabilities make Solstice a highlyproductive tool for applicationsincluding advanced packaging,MEMS, LEDs and optoelectronics.The multi-processing system issuited to a range of needs, frompatterned plating to redistributionlayers (RDL), from flip-chip/bump/wafer-level packaging to applicationsthat require through-resist plating,resist removal and etching of seedlayers.In future, ClassOne plans to add

more new Plating-Plus chambers tocover additional processes. Pricing foreach of these chambers is designedto be significantly less than the costsof adding a new stand-alone tool. The Solstice electroplating line

serves many cost-sensitive emergingmarkets such as MEMS, sensors,LEDs, RF and others that use200mm and smaller wafers. Solstice tools are available in threedifferent models for production anddevelopment and can electroplatemany different metals and alloys,either on transparent or opaquesubstrates. ClassOne claims thatthe systems are priced at less thanhalf of what similarly configuredplating systems from larger manu-facturers would cost. www.classone.com/products

Plasma Etch Inc of Carson City, NV,USA has announced robust salesfor 2015 on its entry-level plasmacleaner for small research facilitiesand universities. The firm says thatincreasing interest in plasma pro-cessing has driven a strongincrease in sales of its signaturePE-25 plasma cleaning system. The PE-25 low-cost plasma

cleaner is an entry-level option forplasma treatment. The firmdescribes it as a robust machine

that is suitable for small productionfacilities, research labs and univer-sities. The $5900 machine wasdesigned for plasma cleaning andsurface modification. “The PE-25 is very popular with

the research community, as well asuniversities, due to its low cost andeasy to use interface,” says presi-dent Greg DeLarge. “Many of ourPE-25 customers come back to pur-chase larger machines after theyget their process nailed down and

decide exactly what they need forproduction runs.” This opening price-point system

also includes features such as auto-matic plasma control and a built-incontrol panel with a plasma timer.Plasma Etch says that the PE-25 iseasily upgradable to be controlledby any PC or laptop and can includea light tower for easy-to-read indi-cation of the steps in the plasmaprocessing sequence. www.plasmaetch

Plasma Etch announces robust sales of low-costcommercial-grade plasma cleaning system

ClassOne’s Plating-Plus capability adds processingchambers to Solstice plating tool

Page 45: Download V.11, issue 2, March 2016

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

45

Eulitha AG of Würenlingen, Switzer-land (a spin-off of the Paul ScherrerInstitute in Villigen that offersnano-lithographic equipment andservices for photonics and opto-electronic applications) says thatone of its PhableR 100 photolithog-raphy systems has been installed atthe UK’s University of Bath. The PhableR 100 exposure tool

incorporates Eulitha’s proprietaryDisplacement Talbot Lithographytechnology, which enables robustprinting of very high-resolutionperiodic patterns at low cost. Thesystem was purchased by the uni-versity as part of a comprehensiveresearch program designed todevelop manufacturing techniquesfor nano-engineered semiconduc-tors, particularly gallium nitride(GaN). The system’s purchase was made

possible following the award of a 5-year, £2.7m grant from the UKEngineering & Physical SciencesResearch Council (EPSRC) as partof the council’s Manufacturing ofAdvanced Functional Materialsfunding program. The university has been active in

GaN research since 1999 and has areputation for combining nanofabri-cation techniques with semiconduc-tor growth in order to improve the

performance of optoelectronicdevices such as light-emittingdiodes. Specifically, creating three-dimen-

sional structures at the nanoscaleprovides a route to improving thequality of these materials and inturn the performance of devices.This should ultimately increase theenergy efficiency in these and otheremerging applications, such aswater purification, where ultra-vio-let LEDs are used to preventviruses reproducing.As a result of the EPSRC invest-

ment, researchers in the Depart-ment of Electronic & ElectricalEngineering now have access to anew nanolithography suite withinthe David Bullet NanofabricationCleanroom, of which the PhableRsystem forms part, alongside theexisting access to crystal growthreactors and more conventionalfabrication facilities. The PhableR 100 system was cho-

sen as it offers what is claimed tobe the unique capability to patternlarge areas up to 100mm in diam-eter with high fidelity in a very sim-ple way. Its capability will becompared with another low-costpatterning technique, nanoimprintlithography, as part of the research. “Initial results from the tool have

matched and even exceeded ourexpectations,” comments leadresearcher Dr Philip Shields (lec-turer in the Department of Elec-tronic and Electrical Engineering).“There has been a lot of interestfrom other researchers to use thetool, and we look forward to devel-oping new research collaborationsas a consequence,” he adds. “Their research program focuses

on manufacturing technologies fornano-engineered semiconductorswhich is an area where our innova-tive technologies have the potentialto make a significant impact,” saysEulitha’s CEO Dr Harun Solak aboutthe University of Bath. The PhableR 100 system is capa-

ble of exposing periodic patternsdown to feature sizes below150nm, which rivals more expen-sive high-end i-line steppers. Thepatented focus-free imaging tech-nology enables uniform printing onnon-flat samples often found inphotonic and optoelectronic sec-tors. Eulitha had recentlyannounced the delivery of furtherlithography systems to Twente Uni-versity in The Netherlands and toCIOMP Institute in China. www.eulitha.com www.ManuGaN.org www.bath.ac.uk/research

University of Bath installs Eulitha’s Phable lithographysystem to develop nano-engineered semiconductormanufacturing techniques

Riber S.A. of Bezons, France, whichmanufactures molecular beam epi-taxy (MBE) systems as well asevaporation sources and effusioncells, says that on 17 February itreceived an order from the Univer-sity of Chicago for a fully auto-mated new-generation Compact 21research MBE system (withenhanced cell and equipment capa-bilities, including electron-gun), fordelivery by the end of 2016.

The system will be placed at theArgonne Research Laboratories inLemont, IL, as part of a jointresearch project on new oxides andoxychalcogenide materials deposi-tion between Argonne and the Uni-versity of Chicago. Argonne is a National Laboratory

funded by the US Department ofEnergy’s (DoE) Office of Science,whose main fields of research arein photovoltaics and energy storage

applications. The focus of the groupconcerns new energy-conversion andpower-efficient energy technologies. The Compact 21 Cluster is claimed

to be one of the best-performingthin-film deposition research systemson the market. Riber says that it waschosen for its high level of flexibility,its reliability, and its ability to be runremotely (enabling it to be sharedwith research groups worldwide). www.riber.com

Riber wins order for fully automated research MBEsystem from University of Chicago

Page 46: Download V.11, issue 2, March 2016

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

46

For fourth-quarter 2015, RubiconTechnology Inc of Bensenville, IL,USA (which makes monocrystallinesapphire substrates and productsfor the LED, semiconductor andoptical industries) has reportedrevenue of $2.45m, more thanhalving from $5.35m last quarterand down on $8.9m a year ago, asthe sapphire market in generalremained weak. Full-year revenuehas almost halved, from $45.7m in2014 to $23.8m in 2015. Optical and R&D revenue (mainly

optical) was $1.1m, down from$1.4m last quarter and half the$2m a year ago. Wafer revenue fell to $0.9m, down

from $2.1m last quarter and $2m ayear ago. However, this was duemainly to a key customer for 6-inchpatterned sapphire substrate (PSS)wafers delaying the start of its vol-ume purchase order by a quarter,from 1 October to 1 January (basedon revised end-customer demand).PSS wafers hence contributed just$0.4m (roughly level with $0.5m a year ago but down from $1.4mlast quarter). Polished wafers contributed $0.46m (down from$0.76m last quarter and $1.47m a year ago). Also, excess sapphire capacity and

fluctuations in inventory levels inthe supply chains of the two majorexisting markets (LEDs and mobiledevices) have reduced demand,creating additional downward pres-sure on pricing. Rubicon hence lim-ited its sales of 2- and 4-inch cores,for which revenue totaled just$0.5m, down from $1.8m lastquarter and $4.8m a year ago.Specifically, revenue for 4-inch coreshas fallen from $1.6m a year agoand $1.2m last quarter to $0.47m,while revenue for 2-inch cores(used predominantly for the mobiledevice market) was just $10,000,down from $0.55m last quarter andas much as $3.2m a year ago.

Given thelowerdemand for2- and 4-inch cores,Rubiconhas scaledback crys-tal growthproductionfurther,from 40%to 30% ofcapacity(to reducecore andbouleinventory).Idle plantcost hencerose from$1.8m lastquarter to$2.3m. The further weakening ofsapphire pricing required Rubiconto evaluate the carrying value of itsraw material, leading to a $2.3mnon-cash charge to adjust the rawmaterial inventory to its estimatedreplacement value. Operating expenses were $3.3m,

up from $3m last quarter due tohigher legal and professional fees.On a non-GAAP basis (excluding

non-cash charges), net loss was$9.9m ($0.38 per share), up from$7.7m ($0.29 per share) last quarterand $9.4m ($0.36 per share) ayear ago. Building consignment inventory of

6-inch PSS wafers for the key cus-tomer (in preparation for volumesramping in the first quarter) andlimiting the sales of cores increasedthe net cash used in operatingactivities from $1.9m last quarter to$5.5m (above Q3’s level of $5.1m).During the quarter, cash and short-term investments fell further, from$34.1m to $30m. Total capital expenditure for full-

year 2015 was kept under $1m,

investing only in tools to reducewafer polishing cost. “Improvingcash flow remains a top priority,”says chief financial officer MardelGraffy. “We are implementingchanges that we expect to reducewafer costs over the next severalmonths and expect cash flow fromoperations to improve,” he adds. “Our key 6-inch customer began

drawing on consignment inventoryin January,” notes CEO Bill Weissman.Rubicon hence expects wafer revenueto rebound in first-quarter 2016 toat least Q3/2015’s level of about$2m (driven by growth in 6-inchPSS wafer sales), while 4-inch coresales should also increase. Rubiconbelieves that 2-inch core inventorylevels have come down, but therecontinues to be excess inventory inthe supply chain. “We have begunseeing some more interest in 4-inch core for the LED market butpricing remains very weak,” notesWeissman. Overall revenue shouldhence rebound slightly to $4–5m.The firm expects some reduction incash used in operating activities.Additional growth in the wafer busi-ness is expected in second-quarter2016. “Continued decline of 4-inch wafer

prices has delayed the migration to6-inch. However, we believe 4-inchwafer pricing is now at or belowcash cost and not likely to getmuch lower,” comments Weissman.“Therefore, we expect to see chipmanufacturers put a greater focuson 6-inch migration going forward.We believe that more LED chipmanufacturers will adopt the 6-inchplatform and that 6-inch PSSshould become the fastest-growingsub-segment of the LED substratemarket,” he adds. “While PSS pricing is impacted by

the macro of sapphire pricing envir-onment, it tends to be less volatile.We believe we have a competitiveadvantage in being able to produce

Rubicon’s revenue halves in Q4 due to weak demandand delayed ramp-up at PSS wafer customer Excess inventory suppresses pricing but other markets to drive recovery

Continued declineof 4” wafer priceshas delayed themigration to 6”.However, 4” waferpricing is now at orbelow cash costand not likely to getmuch lower. Webelieve that moreLED chip makerswill adopt the 6”platform and that6” PSS shouldbecome the fastest-growing sub-segment of the LEDsubstrate market

Page 47: Download V.11, issue 2, March 2016

PSS wafers in a vertically integratedprocess starting from powder aluminum oxide, particularly inlarger diameters — few competitorshave that capability,” Weissmancontinues. “For customers that arevery sensitive to potential disruptionsin their supply chain and consistencyof quality, that vertical integrationis very important. This was a signif-icant factor in our qualification at animportant 6-inch PSS customer andwe believe it will become increas-ingly important to other LED chipmanufacturers over time,” he adds. “The customer qualification process

for these wafers can be quitelengthy, which can lead to greatercustomer loyalty and intimacy.However, despite the limited numberof capable competitors for 6-inchPSS wafers, current pricing is alsoweak because the demand for the6-inch wafers today is fairly limited,”Weissman says. “Therefore it isessential that we continue to expe-dite cost reductions in our polishingoperation so that we can optimizethe potential of our vertical integ-ration model, particularly as itrelates to our PSS opportunity,” headds. “We also recently terminated the

resource-sharing agreement weentered into with another polisherlast year. Given the current marketconditions, the other party is nolonger in need of extra capacity,”says Graffy. “That agreement wasaimed at gaining some additionalinsight into low-cost polishing ofsmaller diameters while potentiallyreducing some of our idle plant

costs in the near-term,” he adds.“While the other party did not complete all of their obligations, webenefited from the arrangementfrom their polishing insight and weare now back to our full capacity forwhen it is needed.” Rubicon is ontrack to reducing costs for 6-inchpolishing operations over the nextseveral months. “Our efforts to reduce wafer costs

are well underway and we areexpecting to see progress in thefirst quarter as we introduce newconsumables and refine processes[followed by a more substantialreduction in the second quarter],”Weissman says. “Paramountamong our objectives this year isthe drive to reduce the use of cashand become cash-flow-positive assoon as possible… We will continueto tightly manage CapEx. ” “The overall weak sapphire pricing

environment is primarily the resultof excess capacity which has beendriven by the prospect of sapphirecover glass in mobile devices,”notes Weissman. “While theprospects for that application com-ing to market remains uncertain,there are several new applicationsin various stages of developmentthat have the potential to becomelarge consumers of sapphire andhelp to reduce the current marketimbalance and supply and demand,”he adds. “During this difficult time,we are focused on building value todeveloping new and more differen-tiated products, while aggressivelyworking on cost reductions. Inaddition to pursuing our PSS poten-

tial, we are targeting high-marginoptical applications and developingnew products,” he adds. “We areworking with developers of somevery interesting potential newapplications for sapphire [primarilyin the consumer electronics andmedical device markets] which fitparticularly well with Rubicon’sunique set of sapphire knowledgeand capabilities.” Having begun supplying samples

to these optical business opportuni-ties, Rubicon expects to move twonew technologies into productionlater this year: its LANCE technology(which produces large rectangularwindows for defense and commercialapplications) and its SapphirEXcoating technology. “We are ontrack to complete our LANCE crystalgrowth deliverables this year, producing windows as large as 36” x 18” x 2”, which are unprece-dented in the market,” notes Weissman. “We also expect tomove SapphirEX into productionlater this year and we are pleasedwith the initial interest in that prod-uct,” he adds. “Our goal is to drive growth that

is more balanced between large-diameter PSS, optical products,bulk crystal like cores and rectan-gular blocks and new products,”explains Weissman. “Pricingremains depressed, particularly forbulk crystal, but that could changequickly as the cover glass appli-cation or the other new applicationscurrently in development areadopted.” www.rubicon-es2.com

At the SEMICON China 2016 eventin Shanghai (15–17 March),Monocrystal Inc of Stavropol, Russia(which makes large-diameter sapphire substrates and cores forLED, optical product and RFICapplications) exhibited the first300kg KY (Kyropoulos) sapphirecrystal, together with its traditional

products for LED, consumer elec-tronics and optics. Monocrystal says that last July it

became the first company to growa 300kg sapphire crystal using theKyropoulos method. “We welcomeour existing and potential customersto our booth to discuss theprospects of large-size sapphire

applications, which can be enabledby our extra-large crystals,” saysCEO Oleg Kachalov. As well as showcasing the 300kg

crystal, Monocrystal also gave apresentation on 16 March at theLED China Conference 2016 in theKerry Hotel Pudong, Shanghai. www.monocrystal.com

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

47

Monocrystal showcases first 300kg KY sapphire crystalat SEMICON China

Page 48: Download V.11, issue 2, March 2016

Soraa Inc of Fremont, CA, USA,which develops solid-state lightingtechnology built on ‘GaN on GaN’(gallium nitride on gallium nitride)substrates, has declared its supportfor advances in color science andthe new TM-30 method released bythe Illuminating Engineering Society(IES). TM-30 uses an optimizedcalculation method to preclude theerrors found in the existing color-rendering index (CRI) standard. “IES’ TM-30 method offers

significant progress over the CRI,”says chief scientist Aurelien David(who served as a lead technical con-tributor for the new TM-30 method).“For customers, TM-30 will providebetter insight into how the colors of

a light source compare to colorsunder natural light. And for manu-facturers, the information foundunder TM-30 — combined withother aspects of color science —will be key for developing betterproducts and optimizing the trade-off between color rendition and othercriteria of light quality,” he adds. The TM-30 test was developed to

provide a more accurate indicationof the color rendition of an object bycomparing its color under a testsource (e.g. an LED lamp) to thoseunder a reference illuminant (astandard emitter such as idealizedsunlight or filament bulb, depend-ing on the correlated color temper-ature). By doing so, the test will

indicate if the colors under the testsource are different from naturalcolors — providing a more preciseindication of color fidelity. TM-30 distinguishes itself from the

CRI test in two significant areas.First, it uses state-of-the-art colorscience to test a light source’s colorrendition of more color samples,which will preclude the inaccuratepredictions of rendering seen withthe CRI — in particular for narrow-band sources. Second, it providesusers with more information: thecolor fidelity index Rf is now com-plemented by a color gamut indexRg and by a color vector graphic,which further characterize theappearance of colors.

News: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

48

Crystal IS Inc of Green Island, NY,USA, an Asahi Kasei company thatmakes proprietary UVC ultravioletLEDs, has augmented its Optan SMD(surface-mount device) productline for instrumentation with theaddition of higher light output andwavelength-specific bins (SKUs).The newest offering is the result ofincreased demand for UVC LEDs influorescence spectroscopy, imagingand biofouling control. Like other Optan products, the

UVC LED uses lattice-matched nativealuminium nitride (AlN) substrates,

which are proven to overcome limitations of other UVC LEDs forinstrumentation, the firm claims. With high output at wavelengths

from 250–280nm, new or improvedexample applications include: · fluorescence spectroscopy (label-free spectroscopy is importantin biological cell imaging, tissuediagnostics and proteomics); · flow cytometry (routinely used inbio-analytics and medical diagnosis,cell counting, cell sorting and bio-marker detection); · biofouling control (UVC LEDs can

now be used for protecting largersensors and those operating inenvironments that experience sig-nificant biofouling); and · light source for catalyzing persul-fate oxidation in TOC (total organiccarbon) measurement (high levelsof TOC can degrade water purifica-tion systems used in semiconductorand pharmaceutical manufacturing). “Our newest Optan SMD bin has a

higher light output and longer lifetimethan any LEDs commercially availablenow,” claims CEO Larry Felton. www.cisuvc.com

Crystal IS boosts DUV LED output for instrumentation

Soraa supports TM-30 as better color test than CRI

Soraa has added both lower- andhigher-wattage 120V MR16 GU10LED lamps to its product portfolio. The 5W lamps are replacements

for 35W-equivalent halogen lamps,and the 9W lamps replace 65–75Whalogens. The two new lines jointhe firm’s existing line of 7.5Wlamps (50W halogen equivalent). “Like all of our lamps, they feature

Soraa’s signature elements of lightquality — Point Source Optics andViolet-Emission 3-Phosphor tech-nology,” says George Stringer, senior VP of global sales & marketing.

Point Source Optics technologyfeatures full-visible-spectrumGaN-on-GaN LED with a uniquefolded optic with precision-cutprismatic lenses, resulting in crisp,high-intensity and uniform beams. Violet-Emission 3-Phosphor (VP3)

LED technology enables renderingof colors and whiteness. Utilizingall colors of the rainbow, especiallydeep red emission, VP3 Vivid Colorrenders warm tones accurately andachieves a CRI of 95 and deep red(R9) rendering of 95. Also, unlikeblue-based white LEDs without any

violet emission, the VP3 Natural Whiteis achieved by engineering the violetto properly excite fluorescingbrightening agents including naturalobjects like human eyes and teeth,as well as manufactured whitematerials such as clothing, paperand cosmetics. The new 5W and 9W GU10 lamps

are compatible with a wide varietyof dimmers and are available inthree different beam angles aswell as 2700K and 3000K colortemperatures. www.soraa.com

Soraa expands GU10 LED lamp range to 5W and 9W versions

Page 49: Download V.11, issue 2, March 2016

www.evatecnet.com

LEDs • ADvAncED PAckAging • PowEr DEvicEs • MEMs • TELEcoMs • PhoTovoLTAics • EvATEc - ThE Thin FiLM PowErhoUsE

MORE INFO

COMplEtE thin film dep & etch sOlutIONs

Let Evatec engineers help you solve your manufacturing puzzles. From raising

throughput in Under Bump Metallisation (UBM) processes on 12 inch, to

delivering plasma damage free sputter processing on GaN for LEDs and Power

Devices, Evatec platforms with Advanced Process Control (APC) raise yields and

lower Cost of Ownership. For more information about Evatec visit our website at

www.evatecnet.com or contact your local sales agent.

rADiAncE sPUTTEr cLUsTEr BAk EvAPorATor LLs Evo ii LoAD-Lock sPUTTEr cLUsTErLinE® 300 ii sPUTTEr

Page 50: Download V.11, issue 2, March 2016

News: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

50

Samsung Electronics Co Ltd ofSeoul, South Korea has introducednew color quality improvements forits small-LES (light-emitting sur-face) chip-on-board (COB) packageLC series: the LC010, LC020 andLC040. The improvements arebased on ‘Vivid’ color technologythat has been optimized for high-color-quality LED lighting, espe-cially for use in premiumcommercial lighting applications. “With Samsung’s new small-LES

COB packages, LED luminaire man-ufacturers can enjoy exceptionallyhigh color quality and design flexi-bility,” says Jaewook Kwon, vicepresident of Samsung Electronics’LED Strategic Marketing Team. Available in correlated color tem-

peratures (CCTs) of 2700K, 3000K,3500K, 4000K, 5000K and 5700K,the small-LES LC series offers threealternatives: the LC010C with anoperating wattage of 10W and anLES diameter of 6mm; the LC020Cwith 20W and an 8mm LES diam-

eter; and the LC040C with 40Wand an 11mm LES diameter. The small-LES LC series reduces

the space required for the LES by50%, while doubling the center-beam candle power (CBCP) overthat of existing standard COB LEDpackages. Improved quality of light in the

small-LES LC series is enabled bySamsung’s Vivid color feature, whichprovides special color spectrumsoptimized for retail markets such asgrocery and premium commercialstores. The Vivid color spectrumsadd more brilliance to displays offresh meat and fruits, for example.

The small-LES line-up also featureshigh CRI (color rendering index) —over 80, 90 and 95, respectively.These improvements will help tosatisfy requirements for premiumcommercial lighting applications,says the firm, where color quality is acritical factor in lighting performance. In addition, Samsung’s flip-chip and

phosphor dispensing technologieshave been adopted to reduce thesize of the LES throughout the LCseries, in compliance with ZhagaBook 12. The small-LES LC seriescan increase cost effectiveness bysignificantly reducing the size ofkey elements within a luminairesuch as its optics, heat-sink andholders. Also, they can be adaptedto be used in spotlights (even thosewith a narrow beam angle of 15–25°). Samsung showcased its small-LES

LC series along with other LEDcomponent solutions at the Light + Building trade fair in Frankfurt, Germany (13–18 March). www.samsung.com

Samsung’s small-LES COB LEDs.

Samsung boosts color quality in small-LES CoB LEDsfor premium commercial LED lighting

At the Light + Building 2016 trade fair in Frankfurt, Germany(13–18 March), UK-based PlesseySemiconductors Ltd released itsnew LED beam control module.Stellar is its latest GaN-on-siliconLED solution and showcases thecombination of the firm’s MaGIClow-cost, high-efficiency, surface-emitting LEDs with modular optics. “As part of our chip-scale optics

development we have developed avariety of solutions for beam controloff the LED chip,” says chief tech-nology officer Dr Keith Strickland.“Getting light to where you need itis critical in industrial, retail, hospi-tality and outdoor lighting. Stellarprovides lighting designers with thedesign freedom needed for light-weight, low-profile, less bulky

designs,” he adds. “With the release of Stellar, we

have demonstrated that we havethe technology and supply chain toprovide mass customization oflighting solutions for manufacturersin all segments of the lighting mar-ket,” says LED sales director Giu-

liano Cassataro. “Stellar will permitlighting designers to improve thereliability and quality of light usingour state-of-the-art LED lightengine for most applications,” headds. www.plesseysemiconductors.com/led-plessey-semiconductors.php

Plessey launches LED beam control modules formedium- and high-power lighting

Plessey's high-power integratedLED.

Plessey’s new Stellar beam-formingintegrated retail lights.

Page 51: Download V.11, issue 2, March 2016

News: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

51

Samsung Electronics Co Ltd ofSeoul, South Korea has introduceda full line-up of chip-scale LEDpackages (CSP), including 0.6W- and3W-class packages, CSP arrays andPoW (Phosphor on Wafer) packages. Samsung says its CSP technology

reduces the size of a conventionalLED package by combining flip-chiptechnology with phosphor coatingtechnology, eliminating any needfor metal wires and plastic molds.This shift enables more flexible andcompact designs when manufac-turing LED lighting modules or fix-tures, and lowers the productionand operational costs of LED lightingsystems. The firm adds that its CSPproducts also allow flexibility inadjusting the size of the light-emitting surface and its associatedluminance level, to meet thediverse requirements of a widevariety of lighting applicationsincluding bulbs, spot lighting,down-lighting and street lighting.“Our new CSP products overcome

what had been significant perform-ance limitations of conventionalLED packages,” says Jacob Tarn,executive VP, LED Business Team,Samsung Electronics. “By expandingour CSP line-up, we expect to provide greater value to the LEDlighting market, enabling new possibilities in LED lighting and giving our customers greaterdesign flexibility,” he adds. “We areaggressively innovating through theintroduction of well-differentiatedLED components, while furtherstrengthening our presence in theLED marketplace in terms of tech-nology and cost.”The new CSP line-up has been

strengthened with a wide range ofoperating current options from 0.6Wto 3W and even 10W LED packages.The new packages complete Sam-sung’s CSP technology roadmap(announced at the LIGHTFAIRInternational 2015 event when thefirm’s CSP technology commercial-ization plan was unveiled).

Mid-power, 0.6W-class CSP LEDpackages Based on advanced flip-chip tech-nology, the 149lm/W LM101A and135lm/W LM102A (Samsung’s newmid-power CSP packages for LEDlighting) feature ultra-slim formfactors and simple package struc-tures aimed to enhance their costcompetitiveness. Each offers twovoltage options (3V and 6V), mak-ing the packages applicable tomany applications including bulb,high-bay lighting, down-lightingand spot lighting. The mid-powerCSP LED packages also providewide beam angles, giving greaterdesign flexibility. The LM101A andLM102A packages are available infull-color temperatures with threecolor rendering index (CRI) optionsfrom 70 to 80 and 90. High-power, 3W-class CSP LEDpackage The LH181A is a high-power CSPpackage with about 12% higherluminous flux at a maximum currentof 1.5A, compared to Samsung’s3W-class ceramic-based high-powerLED package. Luminous flux is typically about 162lm/W (at a drivecurrent of 350mA, Ra70 and5000K). Each LH181A measures1.91mm x 1.91mm (about 30%smaller than Samsung’s ceramic-based LED). The package’s ultra-small size enables much smallerlighting designs and better lumens-per-dollar value (especially usefulin outdoor and spot lighting appli-cations). A wide beam angle of140º makes it also applicable tostreet lighting by adding a lens as asecondary optic solution. CSP arrays The LH204A and LH309A CSP arrays(for mass production in second-quarter 2016) are high-power LEDsolutions with an operating wattagerange from 5W to 10W. The124lm/W LH204A is a 2x2 array ofCSP LED chips that delivers 12V ata 5W-class operating wattage. The127lm/W LH309A is a 3x3 chip array

offering 26V at a 10W-class operatingwattage. Samsung says that theCSP arrays provide high light qualityby adhering a single phosphor tothe FX (flexible) circuit board onwhich CSP LED chips with low thermal resistance are arranged.The use of a thin flexible-film FXcircuit board accentuates the thindesign of the arranged CSP LEDchips. The CSP arrays are suitablefor spotlighting applications wherehigh light quality is required from asingle light source. Phosphor-on-Wafer LED package Samsung says that its Phosphor-on-Wafer (PoW) LED package tech-nology embraces the advantages ofCSP LED packaging while overcom-ing limitations of cost reduction byupgrading the chip manufacturingprocess through the use of 8-inchGaN-on-Si wafers instead of 4-inchsapphire wafers. The firm says thatthis transition will enable a higher-quality CSP LED package by elimi-nating the chip rearrangementprocess, because the phosphorscan be coated directly on the sur-face of the GaN-on-Si wafers beforebeing diced whereas sapphirewafers have to be cut into chipsfirst and then rearranged to becoated with phosphor. By simplify-ing the LED component manufac-turing process and improving LEDpackage quality, the PoW LEDpackage technology is expected tocontribute significantly to thegrowth of the LED lighting industry.Samsung has already (severalyears ago) applied its PoW technol-ogy to 4-inch wafers in flash LEDpackages for mobile devices. Thefirm also plans to utilize the PoWtechnology for its new CSP productline-up for lighting applications bythe end of 2016. Samsung showcased the new CSP

products at the Light + Building2016 trade fair (under the theme‘Lighting & Beyond) in Frankfurt,Germany (13–18 March). www.samsung.com

Samsung launches full line-up of LED componentsbased on chip-scale packaging technology

Page 52: Download V.11, issue 2, March 2016

News: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

52

South Korean LED manufacturerSeoul Semiconductor Co Ltd saysthat its Acrich MJT 5630D packagehas achieved record luminous effi-ciency of 210lm/W for a single LEDpackage, and the package’s massproduction has begun.Seoul Semiconductor has provided

5360 LED packages (5.6mm wide x3.0mm long) for application to thelighting and IT fields. The new MJT 5630D+ LED package’s mostdistinctive characteristic is theupgrade of its luminous efficiency to210lm/W by using LED chips thatincorporate Seoul Semiconductor’smulti-junction technology (MJT). According to the Solid-State Lighting

R&D Plan released in May 2015 by the US Department of Energy(DoE), the LED is likely to comprise40% of the US lighting market(which includes fluorescent lampsand halogen lamps) by 2020. In addition, it is forecasted that by2030, more than 88% of lightingequipment will be replaced byLEDs.

The planprojectsthat, toreach itsgoals, theluminousefficiencyof the light-ing appli-ance needsto achieveat least200lm/W

and the luminous efficiency of LEDpackages needs to be at least220lm/W. In addition, it suggesteda strategy for improving the per-formance of converters that haslowered the efficiency and life ofexisting LED lamps and a strategyfor extending AC LEDs and high-voltage LEDs as a way to improvethe efficiency of LED lighting appli-cations. Seoul Semiconductor saysthat its Acrich driving technologyand Acrich MJT technology are incompliance with the AC and high-voltage technologies described inthe report released by the US DOEand are also recognized as the bestsolution for correcting the currentproblems of high defect rate andshort life of converters. The firmplans to expand Acrich MJT supply. Seoul Semiconductor’s chief tech-

nology officer Ki-bum Nam saysthat the firm aims to further proveits technology by achieving LEDpackage efficiency of 220lm/W (theDOE’s target by 2020) within a year. www.SeoulSemicon.com

Seoul Semiconductor claims record efficiency of210lm/W for Acrich MJT 5630 LED package 220lm/W targeted within a year, reaching DoE’s goal for 2020

Toyoda Gosei Co Ltd of Kiyosu,Aichi Prefecture, Japan has developed a new surface-mount3030-type white LED package(measuring 3.0mm x 3.0mm x 0.65mm) for general lightingapplications (such as bulbs, tubes,downlights and ceiling lights) thatproduces up to 200 lumens per watt(lm/W), while maintaining colorfidelity. The firm says that the newpackage (combining two blue LEDdies with phosphors) offers a cost-effective alternative to fluorescentand lower-CRI (color rendering index)LED products and can help toreduce overall energy consumption. Toyoda Gosei says that the

increase in efficiency (compared

with its prior LED package) hasbeen achieved through improve-ments to both die and package

materials. The package combineshigh efficiency and low thermalresistance to enhance the performance of the LED, and usesthermo-setting plastics to providehigh reliability while maintaining asuperior gas barrier, says the company. Samples of the 200lm/W package

(TG White 30 H) will start shippingavailable in March. Additionally,Toyoda Gosei will launch a 185lm/Wpackage (TG White 30 E, containingone die) that is cost competitive.Mass production will start in April.Further development of an evenhigher-efficiency package isplanned for fall 2016.www.toyoda-gosei.com

Toyoda Gosei develops 200lm/W surface-mount 3030-type white LED package for general lighting

Toyoda Gosei’s new surface-mount3030-type white LED package.

LED that achieved record luminousefficiency of 210lm/W.

The LED is likelyto comprise 40%of the US lightingmarket by 2020. It is forecastedthat by 2030,more than 88% of lightingequipment will bereplaced by LEDs

Page 53: Download V.11, issue 2, March 2016

Taiwan’s Everlight Electronics Co Ltd has launched a version ofits low-mid-power 5630 packageseries that attains what is claimedto be record luminous efficiencyof 210–220lm/W (at a colortemperature of 5000K), as wellas a tight 3SDCM ellipse binningfor maximum color consistency,suitable for a broad range ofprofessional, commercial andindustrial lighting applications.Samples of the 5630 HE KK7D-ELB (0.2W) are available now(for mass production in second-quarter 2016). The existing versions — the 5630 HE KK5D(195lm/W at 5000K) and the 5630 HE KK6D (205lm/W at5000K) — are already in mass production. Using thermal plastic materials

with high reflection, high lifetimeand improved optical structures,the 5630 HE KK7D-ELB LED series

has been optimized to obtain highoptical efficiency without modifyingthe circuit and PCB layout com-pared to previous versions, saysEverlight. The number of LEDs

needed (orthe powerused) canhence begreatlyreduced tosave energyfor thewhole appli-cation. Forexample, a2000lm linear fix-turedesignedusing the210–220lm/W 5630HE KK7D-ELB requires

less than 12W of power consump-tion from the LEDs (a 30–40%energy saving compared with comparable existing LED designs). www.everlight.com

News: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

53

Lumileds of San Jose, CA, USA haslaunched LUXEON 3535L HE Plus inthe familiar 3535 form factor,enabling upgrade to a mid-powerLED satisfying the need for lumi-nous efficacy approaching 200lm/Wat the LED level and 160lm/W orgreater at the luminaire level. “In one of the industry’s most

popular formats, customers arerequiring the highest efficiency withall of the fantastic features deliv-ered by our LUXEON 3535L Line,”says Matthew Everett, senior direc-tor of LUXEON Mid Power Products. Lumileds claims that only it has

proven reliability for its mid-powerLEDs that rivals that of high-powerproducts. For example, LM-80 datafor the LUXEON 3535L at a temper-ature of 85˚C and a drive currentof 200mA after 10,000 hours indi-cates that the LEDs will maintain

90% of lumen output after sevenyears of continuous operation (pro-jection using TM-21). Everett alsoclaims a better color over anglewith the LUXEON 3535L emitterversus its competitors, enablingbetter color consistency over theLED’s useful life.

Typical performance of the LUXEON 3535L HE Plus at a color temperature of 4000K andcolor rendering index (CRI) of 80 is52 lumens at 100mA drive currentand 186lm/W luminous efficacy, or34 lumens at 65mA drive currentand 194lm/W. The 3V parts are ini-tially available in color tempera-tures of 2700K, 4000K and 6500Kand 80 CRI. They are tested at100mA and are 1/7th ANSI colorbinned.For maximum design flexibility,

the LUXEON 3535L line is offered atfour performance levels: entry-level(3535LS), standard (3535L) andhigh-efficiency (3535L HE, HE Plus).The LUXEON 3535L HE Plus is oneof the breakthroughs introducedduring Lumileds’ Mid Power Marchseries of product launches. www.lumileds.com/LUXEON3535L

Lumileds launches 3535 form-factor high-efficiencymid-power LED approaching 200lm/W

Everlight boosts 5630 high-efficiency LED series to 210–220 lumens per Watt

Everlight’s 5630 HE KK7D-ELB series (0.2W) LED, which achieves210–220lm/W, for professional, commercial and industrial lightingapplications.

Lumileds’ LUXEON 3535L HE Plus LED.

Page 54: Download V.11, issue 2, March 2016

News: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

54

BluGlass Ltd of Silverwater, Australiahas entered into an exclusive collaboration agreement withLumileds of San Jose, CA, USA(which manufactures and distributesLEDs and automotive lighting products). The two firms will worktogether to explore potential use oflow-temperature remote-plasmachemical vapor deposition (RPCVD)for specific LED applications definedby the agreement (which follows aninitial collaboration between the twofirms announced last November). Spun off from the III-nitride

department of Macquarie Universityof Sydney, Australia in 2005, BluGlassdeveloped a low-temperatureprocess using RPCVD to grow materials including gallium nitride(GaN) and indium gallium nitride(InGaN) on glass substrates,

potentiallyoffering cost,throughput andefficiencyadvantages forthe productionof LEDs. Basedon its RPCVDtechnology,BluGlass hashence devel-oped patentedhardware andprocesses tar-geting the pro-duction of moreefficient semi-conductordevices (bothLEDs and powerelectronics) atlower cost.

The initial terms of the collabor-ation are envisioned to continue for6–12 months. BluGlass will provideexclusivity of the RPCVD technology(for the specific field of use as stipulated in the agreement) toLumileds for the period of the collaboration.The collaboration will not prevent

BluGlass from entering into anyother agreements concerningRPCVD equipment development,manufacturing or sale and will notrestrict the use of RPCVD outsidethe specific field of use in LEDs.BluGlass is continuing its industryevaluation agreements in otherfields of use, including green LEDs,power electronics and RPCVDequipment development.www.bluglass.com.au www.lumileds.com

LED maker Lumileds of San Jose,CA, USA has introduced the LUX-EON 2835 line as an upgrade foran industry-standard LED pack-age. LUXEON 2835 LEDs is available in

five configurations of light outputand string voltage and ESD protec-tion for a variety of illuminationapplications including retrofitlamps, downlights and troffers.“The LED retrofit bulb and otherapplication segments are takingoff right now. We decided to opti-mize for each application require-ment via different offerings withinthe LUXEON 2835 Line,” saysMatthew Everett, senior director ofMid Power Products. To cater to the market, the LUX-

EON 2835 is offered in two lightoutput ranges: 2835C for higherefficacy and what is claimed to bean industry-leading 240mA maxi-mum drive current with 3V and 6Voptions; and 2835E for the mostcost-effective retrofit lamp designswith 6V and 9V options.

Lumileds says that manufacturersof low-cost retrofit bulbs targetingsoft white (2700K, 80 CRI) canbenefit from the 2835E 9V, whichproduces 72lm at 134lm/W whendriven at 60mA. For entry-levelbulbs, a lighting manufacturermight choose the 2835E 6V, whichproduces 49lm with the sameluminous efficacy. Dimmablebulbs, LED candles and downlightmanufacturers might choose the2835C 6V, which produces 103lmat 138lm/W in 2700K and 80 CRI

when driven at 120mA. Lumiledssays that a key advantage of allLUXEON 2835 LEDs is their abilityto be driven at double these refer-ence drive currents to achieveeven higher flux and use fewerLEDs per bulb. For designers of mid-range trof-

fers, linear fixtures and down-lights, the 2835C 3V is available intwo versions, one with a TVS(Zener) and one without. The2835C 3V LED without TVS pro-duces 58lm at 156lm/W in 4000Kand 80 CRI when driven at120mA. The LUXEON 2835 Line is offered

across a correlated color tempera-ture (CCT) range of 2700-6500Kat 70 and 80 CRI. All LUXEON2835 6V and 9V LEDs are hot-color targeted to ensure that thecolor remains within ANSI binspecifications at typical applicationconditions. Micro color binningallows users to easily create 3-, 4-or 5-step MacAdam Ellipse kits. www.lumileds.com/LUXEON2835

Lumileds launches LUXEON 2835 line of LEDs for cost-effectiveretrofit lamps, downlights and troffers

Lumileds & BluGlass to co-develop RPCVD-enhanced LEDs Continued collaboration to last 6–12 months initially

Lumileds’ new LUXEON 2835 LED.

Collaborationwill not preventBluGlass fromentering intoany otheragreementsconcerningRPCVDequipmentdevelopment,manufacturingor sale and willnot restrict theuse of RPCVDoutside thespecific field ofuse in LEDs

Page 55: Download V.11, issue 2, March 2016

News: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

55

LED maker Lumileds of San Jose,CA, USA has launched the LUXEONXR-3020, an ultra-slim module thatserves as a building block for TLEDsor thin office and industrial fixtures. As the latest addition to the

Matrix Platform family of infinitelyconfigurable LED boards, linear flexstrips and modules, the slim (20mm)products are available with 24 LEDson a 280mm thermally conductiveboard (~1ft) or 48 LEDs on a560mm board (~2ft). The modulesprovide 1100lm or 2200lm, respec-tively, at 160lm/W luminous efficacyand 100mA drive current per LED,with a board temperature of 45°C. “Common indoor area lighting

applications such as troffers orTLEDs benefit from drop-in solutionsthat enable rapid luminaire assem-bly,” says Lumileds Matrix Platformproduct manager Andrew Cohen.

The turnkey nature of LUXEON XR-3020 minimizes time to marketand simplifies the supply chain byreducing optical and mechanicaldesign efforts, says the firm. The LUXEON 3020 LEDs used onthe modules can be driven at amaximum drive current of 240mA(the highest in the industry for mid-power LEDs, it is claimed) andhave passed 50,000 hours of LM-80testing. The modules also have afive-year warranty.The XR-3020 is available in color

temperatures of 3000–5000K at acolor rendering index (CRI) of 80

and at 4000K at 90 CRI. Up to 10 of the 280mm modules

can be connected in series or 16 modules connected in parallel, utilizing the four poke-in connectorsper board (driven at 200mA). For the 560mm modules, up to 10 modules can be connected inseries or 8 modules connected inparallel (driven at 400mA). Eachboard is also capable of high-currentoperation (480mA and 960mA,respectively). The XR-3020 moduleuses a Super CEM3 PCB (compositeepoxy material), enabling superiormechanical robustness and maxi-mum heat dissipation.Lumileds’ Matrix Platform includes

off-the-shelf and built-to-specoptions, enabling a virtually limitlessrange of solutions for any lightingapplication, says the firm. www.lumileds.com/XR3020

Lumileds launches LUXEON XR-3020 ultra-slimmodule to speed indoor area lighting design

Luminus Inc of Sunnyvale, CA,USA, which makes LEDs and solid-state light sources, haslaunched a third-generation familyof chip-on-board (COB) LED arrayswith what is claimed to be recordluminous efficacy and quality oflight. The new product family hastypical performance of 150 lumensper Watt efficacy at a correlatedcolor temperature (CCT) of 3000K,color rendering index (CRI) of 80+and junction temperature Tj of 85°Cfor use in indoor applications and170 lumens per Watt at 6500K,70CRI, 85°C for outdoor applications. Luminus claims that, with this

third-generation launch, it haseclipsed its major competitors whohave recently announced their ownnew generations of COBs withlower performance. The new COBsdeliver up to 20% higher efficacythan previous second-generationproducts by leveraging the firm’s

latest high-performance packagingtechnology and premium chips madeby Luminus’ parent company San’an.With volume production starting inMay, Luminus will offer its full rangeof CCT and CRI combinations,including the 95+ CRI Accuwhite andSensus below-black-body products.

“We have accelerated our COBperformance roadmaps to deliverindustry-leading efficacy, whichenables customers to build newluminaires and retrofit bulbs withhigher CRI, more flux, and lowerthermal loads,” says senior productmarketing director David Davito. The third-generation COB family is

available in a wide range of CCTand CRI combinations, and light-emitting surface diameters includ-ing 9mm, 11mm, 14mm, 18mm,22mm and 27mm. As with allLuminus COB products, the third-generation family is 100% specifiedand tested at 85°C to guaranteehot performance in both indoor andoutdoor applications. Luminus exhibited its third-

generation COBs and other newillumination light sources at theLight+Building 2016 trade fair inFrankfurt, Germany (13–18 March). www.luminus.com

Luminus’ third-generation of chip-on-board LED.

Luminus launches third-generation chip-on-board LEDswith up to 170lm/W efficacy

Lumileds’ LUXEON XR-30201ft (24-LED) and 2ft. (48-LED)modules

Page 56: Download V.11, issue 2, March 2016

News: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

56

Cree Inc of Durham, NC, USA haslaunched the XLamp CXB1310 andCXB1520 high-density LED arrays.Incorporating elements of the firm’sSC5 Technology Platform, the newLEDs deliver the highest lumendensity at 6mm and 9mm — which is claimed to be the mostlumens in the industry for their LES[light-emitting surface] sizes. Theadvance enables new LED lightingform factors for applications suchas track lights, lamps and down-lights, says the firm. For example,the CXB1520 LED packs the lumenoutput of seven 60W-replacementlamps into an area much smallerthan a dime, allowing lighting man-ufacturers to put more light where itis intended at a lower system cost. The high performance of the

CXA2 high-density LED arrays allowlighting manufacturers to reducethermal, mechanical and opticalcosts at the system level. Forexample, when combined with a74mm-diameter optic, the 3000K,80CRI CXB1520 high-density LEDarray delivers over 50,000 candelain a 10° beam while drawing only

40W. This high lumen densityallows lighting manufacturers todeliver the performance of a 70Wceramic metal halide (CMH) PAR38lamp througha muchsmallerPAR20-sizeoptic using43% lesspower. TheCXB1310high-density

LED array delivers up to 3200lumens in a 6mm LES, allowingsimilar reductions in size and powerfrom traditional light sources. Offering what is claimed to be

the industry’s best color consistencyfor designs that use only one LED,XLamp CXA2 high-density LEDarrays are characterized andbinned at 85°C, available in 2-, 3- and 5-step EasyWhite color temperatures (2700–6500K)and correlated color temperature(CRI) options of 70, 80 and 90. TheCXB1310 and CXB1520 LEDs haveLM-80 long-term test data availableimmediately, delivering reportedL90 lifetime projections of at least36,300 hours at 85°C and 105°C. The CXA2 high-density LED arrays

are available in the same form factoras the CXA LED arrays, allowing theuse of existing optics, holders anddrivers. The CXA2 high-density LEDarrays are also UL-recognized andfeature a level 4 rating. Productsamples are available now, andproduction quantities are availablewith standard lead times. www.cree.com/cxa2

XLamp CXA2 high-density LEDs double lumen output

LED chip, lamp and lighting makerCree Inc of Durham, NC, USA saysthat, by leveraging key elementsof its SC5 Technology Platform, itshigh-power XLamp XP-L and XP-G2LEDs now provide up to 9% morelumens and lumens-per-watt(LPW) than previously available.The firm says that the enhance-ments allow lighting manufactur-ers to quickly increaseperformance for existing designswithout any additional investmentor re-qualification. “We originally selected the XP-G2

LED for our street-lighting productsbecause of its high performanceand proven reliability,” commentsDante Cariboni, CEO of Italy-basedfirm Fivep SpA (Cariboni Group).“The brighter and more efficientXP-G2 LED announced today will

allow us to improve the performanceof our products without changingthe design,” he adds. “The higher-performing XPL and

XPG2 LEDs are examples of howCree delivers innovation that ourcustomers can use immediately to

improve existing designs,” saysDave Emerson, VP & general man-ager for Cree LEDs. Sharing the same 3.45mm x

3.45mm footprint as the industry-standard XP platform, the XP-LLED delivers up to 1150 lumens,while the XP-G2 LED delivers up to586 lumens, both at 85°C. Reflect-ing the long-term reliability of theXLamp XP platform, both the XP-Land XP-G2 LEDs have 10,000hours of LM-80 data available at105°C, delivering reported L90lifetimes of greater than 36,000hrs (less than 10% light loss afterfour years).Product samples are available

now and production quantities areavailable with standard lead times. www.cree.com/SC5 www.cree.com/xlamp

Cree boosts output of XLamp XP LEDs by 9%

Cree’s XLamp XP-L LED.

Cree’s CXA2 high-density LED array.

CXA2 high-density LEDarrays areavailable in thesame formfactor as theCXA LED arrays

Page 57: Download V.11, issue 2, March 2016

Pick your size.The Temescal UEFC-4900–ultimate lift-o metallization

performance like the UEFC-5700, but optimized for smaller wafers and smaller production volumes.

It’s the elephant in the room. With our Auratus™ deposition

enhancement methodology and the UEFC-5700, we brought

you huge metallization process improvements including near

perfect uniformity; but UEFC-5700 is a high-volume production

tool. Now we’ve packed this performance into a mid-sized system,

the UEFC-4900, because sometimes the elephant is just too big.

Harmonize your process to the vapor cloud and experience the

huge performance benets, even if you run smaller wafers and

smaller production volumes.

A Temescal system can bring near perfect uniformity to your

lift-o metallization coating process. To nd out more, visit

www.temescal.net/auratus-elephant or call +1-925-371-4170.

© 2015 Ferrotec (USA) Corporation. All rights reserved. Temescal is a registered trademark and Auratus is a trademark of Ferrotec (USA) Corporation.

TemescalUEFC–4900

Page 58: Download V.11, issue 2, March 2016

Osram Opto Semiconductors GmbHof Regensburg, Germany says thatits new TEN° binning provides thebasis for what is claimed to beunprecedented color consistencyfor white LEDs, used for exampleas single-LED light sources in spot-lights and downlights. To achievethis, the existing standard CIE 19312° xy color space has been supple-mented with CIE 2015 10° u’v’,recently developed by the Interna-tional Commission on Illuminationand implemented by Osram Optoas 10° binning. TEN° is available on the market in the firm’s thirdgeneration of Soleriq S 13 LEDs asan additional feature from March. Osram says that its new TEN°

binning is differentiated from theestablished CIE 1931 2° color spacein that it corresponds much betterto the physiological perception ofcolor, hence 10° binning. This is of interest for achieving uniformillumination from spotlights anddownlights in which individual whitechip-on-board (CoB) LEDs are primarily used. TEN° will first beused in the new generation of theSoleriq S 13 and will provide optimumcolor consistency in applications. “Soleriq LEDs comply with current

industry standards and remaincompletely compatible with existingwhite groupings,” notes AlexanderWilm, key expert for General Lightingat Osram Opto. “TEN° binning issimply an additional property — andan extremely useful one,” he adds. When Soleriq LEDs that have been

binned on the basis of these latestfindings are installed in spotlightsfor example, it will be much easierto avoid differences in light colorscompared with products groupedaccording to the old CIE 1931 2°standard, says Osram Opto. Thisresults in fewer process stages forluminaire manufacturers whichwould otherwise be necessary dueto different white color impressionsin the ultimate application. Osram noticed that two LEDs with

the same color coordinates in theCIE 1931 2° color space could still

exhibit noticeably different whitetones, which resulted in the start-ing point for development activitiesto overcome this issue. One reasonis for the difference is that thesmall rods responsible for colorperception in the human eye arenot evenly distributed, leading todifferent color perceptions even

with LEDs in one SDCM binningwith conventional metrics. Thisproblem has been known andresearched by scientists for manydecades, but the results of theirresearch have never been appliedto general lighting. Osram says ithas now changed that. www.osram-os.com/tenbinning

News: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

58

Osram introduces first 10° binning for white LEDs

Standard three SDCM binning in the CIE 1931 2° xy color space.

TEN° binning in the 2015 10° u’v’ color space.

Page 59: Download V.11, issue 2, March 2016

At the Light + Building 2016 trade fair in Frankfurt, Germany(13–18 March), Osram Opto Semi-conductors GmbH of Regensburg,Germany is unveiling its Duris P 10LED, suitable for use in professionaloutdoor lighting systems. Offeringtypical luminous flux of 1100 lumen(at a color temperature of 5000K)from a robust, lead-frame-based,high-performance package, theDuris P 10 can enable up to 50%lower system costs, it is reckoned,while also delivering second boardreliability, ensuring long-term oper-ation even in fluctuating ambienttemperatures. The Duris P 10 contains four

2mm2 UX:3 chips, which are alsoused in the Oslon family of LEDs.Compared with similarly sizedceramic packages, the lead-frametechnology combines improvedthermal properties with what isclaimed to be excellent secondboard reliability. Whereas fluctua-tions in temperature may causemechanical stress at the solderconnections, lead-frame packagescan be optimized in terms of theirthermal behavior and their secondboard reliability.

Measuring a compact 7mm x7mm, the Duris P 10 package sim-plifies luminaire design and reducesthe space needed for the printed-circuit board and luminaire hous-ing, requiring less material overall.The high lumen value reduces thenumber of secondary optics needed.By streamlining the handling of theluminaire in production, systemcosts can be reduced by as much as50%, it is claimed. With Duris P 10,users benefit from optimized heatremoval through the lead framecompared with similarly sized LEDswith ceramic packages. The coppercore of the lead frame ensures optimal heat removal and moreefficient operation of the luminairein the chosen application if the

same cooling system is used, orsavings in the cooling system forthe same luminaire efficiency. “We are able to deliver these

product benefits thanks to ourautomotive expertise,” says system expert Dr Ralph Bertram.“In developing different LEDs forheadlights, we gained extensiveexperience in package technologyand high-current chips. With ourexperience in LED mass production,we developed the new Duris P 10,an extremely powerful and cost-effective product for general lighting.” All of the materials used in the

new LED are extremely robust,says Osram Opto, and are suitablefor outdoor applications or use incorrosive atmospheres. The mainapplications are street lighting, tunnel lighting and high-bay andlow-bay LED lighting systems inindustrial buildings. The Duris P 10 is available now

along with its new sister LED, theDuris P 8, which has smaller packagedimensions and a luminous flux of290lm. Within a year, the Duris P 9(with a luminous flux of 450lm) willalso be launched. www.osram-os.com/duris

At the Strategies in Light show inSanta Clara, CA, USA (1–3 March),Dr Giles Humpston, applicationsengineer at Cambridge NanothermLtd of Haverhill, Suffolk UK, outlinedhow thermal management technol-ogy can help LED designers squarethe circle of performance-to-price inhis presentation ‘Using Nanoceramicto enhance the performance of LEDsubsystems at reduced cost’. As LEDs continue to transition into

the general lighting market, high-power packaged LEDs are becomingubiquitous. High-power LEDs aretypically >1W in a small (10mm2)footprint, notes the firm. At best,only 45% of the electrons put into

the device will be converted intovisible photons — the other 55%will be converted into heat. This isthe problem: How to get the heatout of the packaged LED as quickly,and cost effectively, as possible? Until now electronics-grade ceramics,

in particular cost-effective alumina(Al2O3), have been used as a sub-strate in high-power LEDs. WhereAl2O3 falls down is in its thermalperformance — at about 20W/mKit’s a poor performer, says the firm.For the more exotic aluminium nitride(AIN) this is 160–200W/mK; goodthermal performance but costly.What is needed is a solution thatoffers good enough thermal per-

formance with a price tag that ismore palatable than AIN. Humpston demonstrated how a

patented process to convert thesurface of standard aluminium intoan ultra-thin layer of nanoceramic,finished with a fully inorganic sput-tered copper wiring trace, is a suit-able material for the high-powerpackaged LED industry. Falling right in the thermal sweet-

spot of 152W/mK with a price tagsitting between Al2O3 and AIN,nanoceramics open up a new classof material, says the firm, aided byease of manufacture, filled coppervias and economies of scale.www.camnano.com

Osram’s new Duris P 10 LED provides 1100lm andthermal benefits, cutting system cost by up to 50%

Osram Opto’s new Duris P 10 LED.

News: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

59

Nanoceramics for high-power packaged LEDs

Page 60: Download V.11, issue 2, March 2016

VerLASE Technologies LLC ofBridgewater, NJ, USA (a spin-offfrom technology development firmVersatilis LLC of Shelburne, VT, USA)says that the US Patent Office hasissued US Patent No. 9,269,854, a fundamental patent covering theuse of two-dimensional (2D) semiconductor materials as theactive, light-emitting layer in LEDs,laser diodes and other optoelec-tronic devices. The technology enables low-cost,

novel electroluminescent devices,which can be tailored to directlyemit in any color in the visible, or ina mixed combination for white lightat a desired color temperature,without phosphors or other color-converting media. Such devices canbe made entirely of inorganic mat-erials using existing, often depreci-ated silicon foundries, or evenmade using liquid chemistry withwell accepted, scalable techniques.VerLASE says that the technology,

which can also be hybridized withsome organic layers, challengesorganic light-emitting diodes (OLEDs)in applications requiring smallerpixel sizes, such as micro-displaysfor augmented and virtual realityapplications, where OLEDs havehad extreme difficulty in achievingsmall pixels with sufficient bright-ness. Other applications includesmaller displays of all kinds, rangingfrom wearables to smartphone andtablet screens, offering a practical

way around some of the technicaland cost hurdles pacing broaderadoption of OLEDs.Semiconducting 2D materials like

graphene have captured a muchattention for their potential use inmultiple applications. Such materialscan be grown in crystalline layersthat are weakly bound to each other(like sheets in a ream of paper) andcan be readily cleaved or exfoliatedinto extremely thin layers. The firmhas been investigating using photo-luminescent quantum wells (QWs)made from nano-platelets (NPLs) of2D materials (such as galliumselenide, gallium sulphide andtungsten disulphide) as highly effi-cient down-converting phosphorsthat can also be free of cadmium orother heavy metals. VerLASE had previously secured a

broad patent (US 9,035,344) coveringthe use of such 2D semiconductormaterials as phosphors, analogousto quantum dots (QDs) but in a‘flat’ or 2D aspect. The new patentextends this work to cover use ofsuch 2D materials as electrolumi-nescent layers in active devices,solidifying the firm’s IP portfolio in2D materials. QDs were originally envisioned as

being disruptive in a similar way forelectroluminescent devices, but haveso far been used successfully mainlyas remote phosphors in LCD displaysfor modifying backlighting color.They face numerous challenges in

expanding their applications range,particularly to active layers, andthe best QD materials to date typi-cally contain cadmium. At the same time, an emerging

body of research around the worldpoints to the inherent advantagesof 2D semiconductor materials for awide range of applications. Theyoffer similar optical advantages asQDs with narrow spectral charac-teristics, better colors and colorsaturation, but with better efficiencyand much better thermal charac-teristics for superior stability inmany lighting, projector and dis-play applications, says VerLASE. It can also be easier to work with

2D semiconductor materials indepositing the other layers neededto make active devices, the firmadds. VerLASE is in the process ofshowing simple, working proto-types of such electroluminescentdevices, thinning down the active2D layer to demonstrate direct red,green and blue emission.“The unique attributes of 2D QWs

can enable the original QD vision ofinorganic electroluminescentdevices,” believes chief technicalofficer Ajay Jain (inventor of thetechnology), adding that the samebasic 2D semiconductor materialscan also be used as gain media forlasers and other high-value electro-optical devices. www.verlase.com www.versatls.com

News: Optoelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

60

VerLASE extends patent portfolio in 2D semiconductormaterials for light sources

LED chip, lamp and lighting fixturemaker Cree Inc of Durham, NC, USAhas announced ‘Essentia by Cree’as a new brand of commercial light-ing products offering a 90+ LPW(lumens per Watt) and correlatedcolor temperatures (CCTs) between2700K and 5000K. The new brandcomplements Cree-branded lightingproducts.

The Essentia by Cree lighting portfolio is engineered to provideoutstanding performance for newconstruction and renovation appli-cations and comes with a five-yearlimited warranty. Available fromMarch, the new product categoriesin the portfolio are targeted toinclude track lighting, downlights,exit and emergency lighting,

flat-panel troffers, and outdoorflood and area lighting. “Powered by Cree LEDs, this new

product portfolio ensures that ourcustomers have a complete line ofproducts available from Cree withsuperior value and ROI,” says Norbert Hiller, executive VP of light-ing at Cree. www.cree.com

Cree complements lighting product portfolio with new commercial brand Essentia by Cree

Page 61: Download V.11, issue 2, March 2016

News: Optoelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

61

At the Strategies in Light conferencein Santa Clara, CA, USA (1–3 March),SoraaLaser of Goleta, CA, USA(which is commercializing visiblelaser light sources for display, auto-motive and specialty applications)presented its light source technology,featuring what are claimed to beunique performance propertiessuch as collimated output andwaveguide delivery and providingadvantages over LED, OLED andlegacy sources in specialty lighting. SoraaLaser is an independent

spin-off from Soraa Inc of Fremont,CA, USA — which develops solid-state lighting technology builton ‘GaN on GaN’ (gallium nitride ongallium nitride) substrates — andwas co-founded by the 2014 Nobel Laureate in Physics professorShuji Nakamura and professorSteve Denbaars. “Laser diodes aredroop-free, and can be combinedwith phosphors to safely producehighly directional output with superior delivered lumens per wattcompared to other light sources,”says Nakamura. SoraaLaser’s visible laser light

sources are based on its proprietaryand patented semi-polar GaN laser diodes, combined with phosphor technology. The laserlight sources provide novel proper-ties compared with other lightsources by combining the benefitsof solid-state illumination (such asminimal power consumption andlong lifetime) with the highly directional output that has beenpossible only with legacy technol-ogy. Because the laser light is focused

to a small spot on the phosphorand converted to white light,SoraaLaser says that its light sourcesenable safe, highly collimated whitelight output, superior optical controlwith miniature optics and reflectors,along with high-efficiency fiber-optictransport and glare-free waveguidedelivery. These features are suitablefor specialty lighting applications

including architectural, hospitality,retail, security, entertainment, andautomotive, says the firm. At Strategies in Light, SoraaLaser

presented during the ‘Quality ofLight’ Workshop as well as a Seminar on laser-phosphor sources.

Also at Strategies in Light, the firmexhibited its laser light sources,including fiber-optic and direct-emitting white and blue laser modules. www.soraalaser.com www.soraa.com

SoraaLaser presents visible laser light source technologyfor specialty lighting

Page 62: Download V.11, issue 2, March 2016

Nanoelec Research TechnologicalInstitute (IRT) in Grenoble, France— an R&D consortium headed byCEA-Leti focused on information &communication technologies (ICT)using micro- and nanoelectronics —has announced the first co-integ-ration of a III-V/silicon laser andsilicon Mach–Zehnder modulatordemonstrating 25Gbps transmissionon a single channel. This transmissionrate usually is achieved using anexternal source, over a 10km single-mode fiber (SMF). Existing interconnect technologies,

which use micro-optics integrationto assemble a discrete laser and asilicon photonic circuit, will soonreach their limits and new solutionsmust be found to handle increasingtraffic. Integrating photonics capabilities

on silicon chips is replacing cur-rently established technologies,

vastly increasing bandwidth, densityand reliability, while dramaticallyreducing energy consumption. In the age of photonics-on-silicon,data transmission will be measuredin terabits per second. “Jointly obtained by STMicro-

electronics and Leti in the frame ofthe IRT Nanoelec cooperation,these results — especially fabricat-ing the laser directly on silicon —demonstrate IRT Nanoelec’s world-wide leadership in III/V-on-siliconintegration to achieve high-data-rate fiber-optic modules,” says the project manager StéphaneBernabé. “IRT Nanoelec and itspartners on this project — Leti,STMicroelectronics, Samtec andMentor Graphics — are paving theway to integrating this technologyin next-generation transceivers for optical data links,” Bernabé continues.

To achieve the recent results, sili-con photonics circuits integratingthe modulator were processed firston a 200mm silicon-on-insulator(SOI) wafer, although 300mmwafers also could be used in thenear future. Then, a 2-inch wafer ofIII-V material was directly bondedon the wafer. In the third step, thehybrid wafer was processed usingconventional semiconductor and/orMEMS process steps to produce anintegrated modulator-and-lasertransmitter. IRT Nanoelec launched its silicon

photonics program in 2012, withcore members Mentor Graphics,STMicroelectronics and CNRS. Theprogram brings together, under oneroof, the expertise and equipmentneeded to address the entire pho-tonics-on-silicon value chain. www.irtnanoelec.fr/photonics-on-silicon

News: Optical communications

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

62

IRT Nanoelec project integrates III-V laser directlyon silicon with Mach–Zehnder modulator25Gbps transmission achieved over 10km single-mode fiber

POET Technologies Inc of San Jose,CA, USA — which has developed theproprietary planar optoelectronictechnology (POET) platform formonolithic fabrication of integratedIII-V-based electronic and opticaldevices on a single semiconductorwafer — has announced a researchand development initiative forfuture generations of smart pixelsand other applications in associationwith the Institute of MaterialsResearch and Engineering (IMRE),a part of the Singapore-basedAgency for Science, Technology andResearch (A*STAR). Jointly funded by POET and IMRE,

the 18-month project is designed inpart to adapt the POET platform to

potential applications in smart pixeltechnology for the burgeoning augmented reality market. Additional aspects of the new

initiative include enhancing POET’sgallium arsenide (GaAs) processtechnology platform, as well asR&D on novel gallium nitride (GaN)epitaxial growth technologies topotentially support integrated optoelectronic light engines for thesmart lighting market. With this initiative, POET expects

to expand its intellectual property(IP) portfolio and roadmap, and toenrich its III-V applications suite.The firm will have joint ownershipof any developed IP with IMRE,with a right of first refusal related to

exclusive rights to any IP that isdeveloped. “We are gratified to be partnering

with an esteemed global leader likeIMRE in advancing our disruptiveand enabling process technology,”says POET’s CEO Dr SureshVenkatesan. “The unique aspect ofthe POET process platform is itsversatility in supporting multipleapplications,” he adds. “This jointinitiative is also significant as agreat stride toward developingalternate applications and develop-ing the POET roadmap beyond theinaugural addressable market ofdata communications.” www.imre.a-star.edu.sg www.poet-technologies.com

POET and A*STAR’s IMRE to jointly developsmart pixels for augmented reality applications New 18-month project to enhance POET’s GaAs process and develop GaN epi growth for integrated optoelectronic light engines

Page 63: Download V.11, issue 2, March 2016

News: Optical communications

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

63

WIN Semiconductors Corp of Tao Yuan City, Taiwan — the largestpure-play provider of compoundsemiconductor wafer foundry serv-ices — has announced its entry intothe high-data-rate optical devicemarket by adding optical deviceproduction capabilities to its broadgallium arsenide (GaAs) and gallium nitride GaN) technologyportfolio. The new foundry services provide

flexible, large-scale manufacturingof a variety of complex laser andphotodiode designs for 2.5G, 10Gand 25G data rates. This verticalproduction capability provides cus-tomizable manufacturing services

includingepitaxialgrowth/re-growth,materialand devicecharacteri-zation aswell as fulldevice fabrication and testing. WIN’s flexible epitaxial growth and

optical device manufacturing willaccommodate indium phosphide(InP) substrates from 2-inch to 4-inch diameter. Epitaxial growth/characterization

services for lasers and photodiodesare now in place, and installation/

development of a complete opticaldevice fabrication line is underwayand scheduled for full release byfourth-quarter 2016. WIN says thatthe vertically integrated devicecapability will provide the opticalmarket with access to its manufac-turing scale, production efficiencyand technology expertise. WIN attended the Optical Fiber

Communications Conference (OFC 2016) in Anaheim, CA, USA(20–25 March), where its technolo-gists and sales representatives provided full details of the firm’snew optical device wafer foundryservices. www.winfoundry.com

Shanghai Pudong Science andTechnology Investment Co Ltd(PDSTI) and its affiliate are toinvest about $5m in GigOptix Inc ofSan Jose, CA, USA (a fabless sup-plier of analog semiconductor andoptical communications componentsfor fiber-optic and wireless networks). PDSTI will purchase 1,754,385

unregistered shares of common

stock at a price of $2.85 per share(a premium of about 17% toGigOptix’s average trading priceover the prior 30 days). Immedi-ately following the closing of theinvestment, PDSTI will hold about3.77% of GigOptix’s issued andoutstanding common stock. Proceeds from the investment will

go towards providing financial flexi-

bility and pursuing additionalstrategic growth opportunities. “We welcome PDSTI as a new

investor to GigOptix, as we con-tinue to grow our core high-speedenterprise communications andcloud connectivity business,” saysGigOptix’s founder, chairman &CEO Dr Avi Katz. www.gigoptix.com

WIN Semiconductors launching foundry servicesfor optical device manufacturing New 2–4” InP epi growth, device processing and test services due forfull release by Q4/2016 to support high-data-rate applications

GigOptix announces $5m investment from Shanghai Pudong Science and Technology Investment Proceeds to fund additional strategic growth opportunities

GigOptix has signed global tech-nology distributor Avnet Inc as distributor for all its product linessales in China. GigOptix says that establishing the

partnership with Avnet enhancesits already solid business in theChinese Enterprise and Cloud connectivity markets, and supportsthe anticipated rapid growth inbusiness over the next few years. “Adding GigOptix to our line-card

will enable us to offer better andcost-effective customer-focusedsolutions to reach a larger customerbase in China,” says Frederick Fu,regional president, Avnet ElectronicsMarketing China. “Avnet’s compre-hensive portfolio of products andbreadth of capabilities combinedwith GigOptix’s expertise, in particular on applications in Enterprise and Cloud connectivity,will allow us to capitalize on the

growth opportunities in the Chinamarket,” he adds. “Their strong presence will enable

us to expand our current marketreach and will provide the breadthof expertise that enables us toaddress new market segments,”says Dr Raluca Dinu, GigOptix’sexecutive VP global customeroperations, about Avnet. www.avnet.com www.gigoptix.com

GigOptix signs Avnet as distributor for China

Flexible epi growthand optical devicemanufacturing willaccommodate InPsubstrates from 2-inch to 4-inchdiameter

Page 64: Download V.11, issue 2, March 2016

At SPIE Photonics West 2016 in SanFrancisco (16–18 February), engi-neered materials and optoelec-tronic component maker II-VI Inc of Saxonburg, PA, USApresented a broad portfolio of prod-ucts from several of its business divisions, showcasing newproducts and services. In particular, the subsidiary II-VI

Laser Enterprise GmbH of Zurich,Switzerland showcased a higher-power 1060nm single-mode laserdiode seed module and a new lineof high-power multi-bar stack laserdiode modules. The new 1060nmlaser diode seed module delivers

kink-free powers of up to 1.5W innanosecond pulse operationenabling fiber-laser systems with highly efficient pulse amplification and improvedfrequency conversions. Theexpanded portfolio of high-powermulti-bar stack laser diode modules includes a new series oftap-water-cooled stacks for medical,pumping and defense applications. In addition, subsidiary II-VI

Suwtech of Shanghai, China high-lighted its new 808nm fiber-cou-pled diode laser module, whichoffers output powers from 15W upto 30W. The module is a single-

emitter cascaded generic designthat allows higher output powerswith increased wall-plug efficiencyand simplified thermal management. Finally, II-VI Photop of Fuzhou,

China showcased a new 5kW lasercombiner and new acousto-opticmodulators. The laser combiner isavailable in configurations rangingfrom 3x1 to 31x1 and is capable ofhandling pump laser powers of upto 300W to achieve power levelsexceeding 5kW. The acousto-opticmodulators are designed for pulsedfiber lasers operating at 1μm wave-length. http://spie.org/photonics-west.xml

News: Optical communications

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

64

Engineered materials and optoelec-tronic component maker II-VI Inc ofSaxonburg, PA, USA has completedits acquisition of broadband wire-less and wireline communicationscomponent maker Anadigics Inc ofWarren, NJ, USA for about $78.2m($0.85 per share in cash). The purchase price was funded primarilyfrom the firm’s existing capacityunder its credit facility.As a result of the merger, Anadigics

has become a subsidiary of II-VI,with its common stock being delistedand ceasing trading on Nasdaq.

Also, II-VI’s outlook for fiscalthird-quarter 2016 (issued on 26 January) has now been raised,from revenue of $185–195m to$190–198m. Adjusted earnings pershare (EPS) is expected to be$0.26–0.29 (inside the originalguidance range of $0.25–0.29). The results exclude one-time

items, but do include the results of operations for two months ofEpiWorks Inc of Champaign-Urbana,IL, USA (which manufactures epi-taxial wafers for optical components,wireless devices and high-speed

communication applications) —acquired on 1 February — and theresults of Anadigics for 15–31 March.One-time items are expected to be$0.05–0.06 per share and includeinvestment banking and legal feesand one-time expense for fair valueinventory adjustments. EPS on areported basis is now expected tobe $0.21–0.23. II-VI has begun its evaluation of

assets and integration with theleadership teams of EpiWorks andAnadigics. This work is expected togive rise to additional one-time costs.

II-VI Inc completes acquisition of Anadigics

II-VI Inc is ramping up shipmentsof low-noise optical amplifiers withintegrated tunable filters for400Gb/s DWDM transponders. High-bit-rate DWDM transponders

enable interconnectivity amonggeographically dispersed data-cen-ters. II-VI’s low-noise opticalamplifiers improve the reach of400Gb/s DWDM transponders byboosting the optical power of thetransmitted signal with highfidelity due to an integrated wave-length-tunable optical noise filter. “Our optical amplifier technology

platform with integrated tunable

filter (announced in early 2015)generated strong market demand,”says Dr Sanjai Parthasarathi, VP product marketing & strategy,Optical Communications Group.“We are now ramping up productionof our low-noise optical amplifiermodule designed for 400Gb/sDWDM transponder line-cards.” Higher transmission rates increase

the difficulty of distinguishing optical signal from noise at thereceiver. Tunable optical filtersimprove 400Gb/s transmission byfiltering out amplified spontaneousemission (ASE), which is the

most significant contributor ofoptical noise. II-VI designs and manufactures

in-house a complete portfolio ofminiature hybrid micro-optics,tunable optical components and980nm pump lasers to achievevertically integrated optical amplifiersolutions. Low-noise optical amplifiers are Telcordia qualified atthe individual component level andas a module. They are commer-cially available as a full assemblyor in various sub-assembly config-urations. www.ii-vi-photonics.com

II-VI Inc ramps volume production of low-noise optical amplifierswith integrated tunable filters for 400Gb/s DWDM transponders

II-VI Inc presents new laser modules at Photonics West

Page 65: Download V.11, issue 2, March 2016

2016 International Conference on

Compound Semiconductor Manufacturing

Technology

May 16th – 19th, 2016

Hyatt Regency Miami

Miami, Florida, USA

Final Call For Papers

ABSTRACTS NOW DUE NOVEMBER 15, 2015

Go to www.csmantech.org to submit a 1- to 2-page abstract

www.csmantech.org

Conference Chair

Glen “David” Via

[email protected]

Technical Program Chair

Celicia Della-Morrow

[email protected]

Exhibits Chair

Ruediger Schreiner

[email protected]

Workshop Chair

Alex Smith

[email protected]

University Liaison

Shiban Tiku

[email protected]

Sponsorship Chair

Peter Ersland

[email protected]

Publication Chair

Jansen Uyeda

[email protected]

Abstracts should clearly state: – The purpose of the work – How CS Manufacturing Technology was used or advanced – Specific results & how they were achieved with supporting data Please use the abstract guidelines found at: www.csmantech.org Please use U.S. fonts only

Papers Are Solicited on Manufacturing-Related Topics

Producibility

Wafer & Device Processing

Process Control & Yield

Epitaxy & Material

RF & Power Devices

Optical Devices & LED

Emerging Technologies

Test & Reliability

Packaging & Heterogeneous Integration

Business Outlook

Equipment

GaN, GaAs, InP, Diamond, Sb Compounds, SiGe, & other related materials

Abstract

Deadline

Extended!

Page 66: Download V.11, issue 2, March 2016

The US Department of Commercehas added China’s ZhongxingTelecommunications Equipment Corp(ZTE), its affiliates ZTE KangxunTelecommunications Ltd and Beijing8-Star, and Iranian firm ZTE Parsianto a list of entities “acting contraryto the national security or foreignpolicy interests of the United States”under Export Administration Regu-lations, with immediate effect[www.federalregister.gov/articles/2016/03/08/2016-05104/additions-to-the-entity-list].“Specifically, the ZTE Corporation

document ‘Report Regarding Comprehensive Reorganization andStandardization of the CompanyExport Control Related Matters’(available at www.bis.doc.gov)indicates that ZTE Corporation hasre-exported controlled items tosanctioned countries contrary toUnited States law,” reports Kevin J. Wolf, Assistant Secretaryfor Export Administration, in theFederal Register ‘Daily Journal ofthe United States Government’.“The ZTE Corporation document‘Proposal for Import and ExportControl Risk Avoidance’ (availableat www.bis.doc.gov) describes howZTE Corporation also planned andorganized a scheme to establish,control, and use a series of ‘detached’(i.e. shell) companies to illicitly

re-export controlled items to Iran inviolation of US export control laws,”he adds. ZTE replied to the ruling: “ZTE is

fully committed to compliance withthe laws and regulations in thejurisdictions in which it operates.ZTE has been cooperating, will continue to cooperate and commu-nicate with all US agencies asrequired. The company is workingexpeditiously towards resolution ofthis issue.” “China is opposed to the US citing

domestic laws to place sanctions onChinese enterprises,” commentsChina’s Foreign Ministry spokespersonHong Lei. “We hope the US stopsthis erroneous action and avoidsdamaging Sino-US trade coopera-tion and bilateral relations[www.fmprc.gov.cn/mfa_eng/xwfw_665399/s2510_665401/t1345751.shtml].”One company affected by the ruling

is Oclaro Inc of San Jose, CA, USA(which providescomponents,modules andsubsystems foroptical commu-nications), asseen in falls instock prices.The firm hassupplied multi-

ple products to ZTE. Oclaro is cur-rently reviewing the impact of theDepartment of Commerce action onits ability to continue to ship prod-ucts to ZTE, adding that it “intendsto fully comply with the Depart-ment’s final rule”.To date, ZTE is projected to

comprise more than 10% of Oclaro’srevenue in fiscal third-quarter 2016.Oclaro now expects its results to beat the low end of the guidanceranges it provided on 2 February. Other ZTE suppliers include Intel,

Qualcomm, and Texas Instruments.It is thought that the ZTEannouncement also affected thestock positions of Lumentum,NeoPhotonics, Fabrinet, Finisar, andtelecom analog/mixed-signal chip-maker Inphi.The ruling will also affect companies

that include a significant proportionof US-made components in theirproducts. Export licenses will beneeded for US firms to supply ZTE,which in general are expected to bedenied. ZTE will continue to be ableto sell smartphone handsets in theUSA.ZTE has annual sales of more than

$15bn and has a 7% share in theUS smartphone market.www.zte.com.cn www.oclaro.com Author: Mike Cooke

News: Optical communications

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

66

US curbs exports to China’s ZTE for violating Iran controls US adds ZTE to list of companies acting contrary to national securityor foreign policy interests

NeoPhotonics Corp of San Jose,CA, USA (a vertically integrateddesigner and manufacturer ofhybrid photonic integrated optoelectronic modules and subsystems for high-speed communications networks) hasissued a statement regarding theUS Department of Commerce’spublication in the Federal Registerof a ‘final rule’ amending theExport Administration Regulations

that added four entities to the‘Entity List’ maintained by theDepartment of Commerce foractions contrary to the nationalsecurity and foreign policy interests of the USA. The additionsincluded the following two customers of NeoPhotonics:China’s Zhongxing Telecommuni-cations Equipment Corp (ZTE) and its subsidiary ZTE KangxunTelecommunications Ltd.

NeoPhotonics says that its revenue from ZTE during full-year2015 was about 1% of its totalrevenue, and that it does notanticipate materially different revenue from ZTE for first-quarter2016 or full-year 2016. The firmsays that this event has thereforecaused no change to the outlookfor first-quarter 2016 that it provided on 1 March. www.neophotonics.com

NeoPhotonics’ revenue guidance unaffected by US Department of Commerce ruling on ZTE

China isopposed to theUS citingdomestic lawsto placesanctions onChineseenterprises

Page 67: Download V.11, issue 2, March 2016

EFFECT Photonics b.v. — a spin offfrom the Technical University ofEindhoven (TU/e) in The Nether-lands that develops optical compo-nents using indium phosphide(InP)-based multi-channel photonicintegrated circuits (PICs) for mobilenetworks and data-centers — islaunching its first product familybased on its optical system-on-chip(SoC) technology platform (whichintegrates all the active and passivecomponents of a DWDM systemwithin a single chip, without tradi-tional gold box packaging). Thelaunch is the culmination of 5 yearswork to bring the technology tomarket-readiness. EFFECT Photonics is aiming to

address soaring demand for afford-able bandwidth between data-cen-ters and back from mobile celltowers by bringing dense wave-length division multiplexing tech-nology to the edges of the network.By using high-integration system-on-chip technology, port density

can be increased by over six timesand operational expenses reducedby 40% compared with existingapproaches, the firm reckons. The product family includes trans-

ceivers for the transmission of100Gbps over 80km targeting thelowest cost and power, togetherwith high-density solutions contain-ing many wavelengths for emerg-ing WDM access markets infront-haul, back-haul and NG-PON2. Industry-standard socketsare supported including CFP andCFP2, so the products can be used

in existing and future systems. “We could see a bandwidth crunch

coming at the edges of the networkand knew that DWDM could be theanswer, but it had to be done differ-ently, so we developed a holisticsolution from the ground up,” saysCEO James Regan. “We have com-pletely re-thought how to tacklethe issues of cost, power and den-sity,” he adds. “As well as integ-rating many optical functions into asingle chip, we have reduced thecost and complexity of our packag-ing, resulting in a commerciallyviable solution. We are now at thesampling stage,” Regan continues. “100Gbps over 40-80km is a

significant market opportunity thathas no cost-effective solutiontoday,” comments Andrew Schmitt,founder & principal partner at market analyst firm Cignal AI. “This is the next battleground for100G solutions.” www.ofcconference.orgwww.effectphotonics.nl

EFFECT Photonics samples its first opticalsystem-on-chip product familyEindhoven spin-off’s InP-based photonic integrated circuits targetlow-cost 100Gbps DWDM over 80km

M/A-COM Technology Solutions Incof Lowell, MA, USA (which makessemiconductors, components andsubassemblies for analog RF,microwave, millimeter-wave andphotonic applications) has begunvolume shipments of its physicalmedia device (PMD) chip-set for100Gbps short-reach SR-4 appli-cations. The chip-set includes theMALD-37045, a four-channel25.78G/28.05G VCSEL driver, andthe MATA-37044, a transimpedanceamplifier (TIA)/limiting amplifier,both with integrated clock & datarecovery (CDR) functionality. The devices offer ultra-low powerconsumption, making them suitablefor small-form-factor applications,says MACOM.

The integrated CDR functionalityprovides improved jitter perform-ance and lower power comparedwith discrete chip-sets. Targeted forQSFP module applications, thedevices feature direct, DC-coupledwire-bonding to vertical-cavity surface-emitting lasers (VCSELs)and photodetectors. Together, thedevices offer a complete one-stopsolution for 100Gbps QSFP opticalmodules, active optical cables (AOCs)and on-board optical engines forEthernet and InfiniBand applications. “The MALD-37045 and MATA-37044

extend MACOM’s leading portfolioof CDRs, laser drivers and TIAs tosupport short-reach applications,”says Marek Tlalka, direct of productmarketing, High-Performance Analog.

“The industry-leading high integ-ration, small chip-size and lowpower of MACOM’s VCSEL driverchipset make it an ideal solution for100Gbps connectivity in high-volumedata-center and high-performancecomputing applications,” commentsInfinity Huang, head of R&D atChina-based Hisense BroadbandMultimedia Technologies Co Ltd,which is one of the first companiesto ship volume quantities of 100Goptical QSFP connectivity productsbased on MACOM’s new chip-set. The MALD-37045 and MATA-37044

were on display at the Optical FiberCommunication Conference & Exposition (OFC 2016) in Anaheim,CA, USA (22–24 March). www.macom.com

MACOM ships PMD chip-set for 100G SR-4 QSFP modules

News: Optical communications

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

67

EFFECT Photonics’ optical SoC.

Page 68: Download V.11, issue 2, March 2016

For fourth-quarter 2015, NeoPhotonics Corp of San Jose, CA,USA (a vertically integrateddesigner and manufacturer ofhybrid photonic integrated opto-electronic modules and subsystemsfor high-speed communicationsnetworks) has reported record revenue of $89.1m, up 6.7% on$83.6m last quarter and up 12.8%on $79m a year ago (and above the $82–86m guidance range).Full-year revenue has risen by10.9% from $306.2m in 2014 to arecord $339.4m in 2015, despiteheadwinds from product-pruningactivities during first-half 2015. The two 10%-or-greater customers

comprised 69% of total revenuecollectively: US-based Ciena (14% of total revenue, down from26% last quarter) and China’sHuawei Technologies (55% of totalrevenue, up from 41%, reflectingthe strength of 100G deploymentsin China). Of total revenue, Chinahence rose from 49% to 67%(reflecting the burgeoning demand)as the Americas fell back from 30%to 17% (reflecting quarterly skewing— NeoPhotonics sees no meaning-ful change over the longer term).Japan fell from 4% to 3%, while therest of the world fell from 17% to13%. Driven by China, sales of

High Speed Products (for 100G-and-beyond) hence rose 10% sequen-tially to $51.7m (58% of totalrevenue, up from 56% last quarter,and the highest 100G percentage inthe industry it is claimed). Revenue for Network Products and

Solutions (lower-speed transceivers,<100Gb/s) was up 2% sequentiallyto $37.4m. “We view these productsas mature and therefore maydecline over the medium term,” noteschief financial officer Ray Wallin.“This decline is anticipated to havean uplift effect on gross margins.” “For the quarter, we reported record

revenue, non-GAAP gross profit and

net income,” says CEO Tim Jenks.“And for the year we reported bothrecord revenue and record non-GAAP earnings, making it the bestyear in the company’s history.” On a non-GAAP basis, gross margin

was 32.4%, up from 30.3% a yearago and 29.8% last quarter, drivenby the greater mix of higher-marginHigh Speed Products as well asmanufacturing cost reductions.Full-year gross margin rose from25% in 2014 to 31.5% in 2015,driven by the 100G product growth. Operating expenses (OpEx) were

$22.6m (25.4% of revenue), up on$20.7m (24.8% of revenue) lastquarter and $19.2m (24.3% of revenue) a year ago. Full-yearoperating expenses were $84.7m(24.9% of revenue), roughly levelwith $84.6m (27.6% of revenue) in2014 despite acquiring Emcore’stunable laser product line at thebeginning of 2015. Non-GAAP results exclude $2.8m

of end-of-life inventory write-downcharges related to the firm’s driveto optimize the tunable laser product line in alignment with its100G-and-beyond product strategy.NeoPhotonics is terminating supportof certain versions of tunable lasersthat are not aligned with this strategy. Net income was $6.9m ($0.16 per

diluted share), up on $4.6m ($0.11 per diluted share) last quarterand $6.3m ($0.19 per diluted share)a year ago (the firm’s sixth consec-utive non-GAAP profitable quarter).Full-year netincome was arecord $21.1m($0.53 perdiluted share)in 2015, astrongimprovementon the net lossof $9.2m($0.29 perdiluted share)in 2014.

Likewise, adjusted EBITDA (earnings before interest, taxes,depreciation and amortization) was$11.8m (13% of revenue, close tothe target model of 15%), up on$10.2m last quarter and $11.6m ayear ago. Full-year adjustedEBITDA was a record $43.2m (13% of revenue) in 2015, up from$12m in 2014. Cash flow generated from opera-

tions was $5m in Q4 (contributingto $26m in full-year 2015). Capital expenditure was $5.8m (up from $5.4m last quarter), takingfull-year CapEx to $16.8m. During Q4, cash and cash equiva-

lents, short-term investments andrestricted cash and investments fellfrom $103.6m to $102m. Despite the first quarter historically

being seasonally low (due to completing annual price negotia-tions and due to the timing of Chinese New Year and lower Access shipments in the winter),for Q1/2016 NeoPhotonics expectsrevenue to rise by 3–10% to$92–98m due to strong momentumfrom 100G demand (in Q4/2015,NeoPhotonics acquired the precisionoptical power monitor business ofEigenLight Corp, but this will con-tribute only about 1% of revenue).Gross margin should be 30–33%,and diluted earnings per share$0.10–0.18. NeoPhotonics’ target model

remains the same in 2016: 35% gross margin, OpEx of 25% ofrevenue, 10% operating margin,and 15% EBITDA margin. “The market for 100G-and-beyond

optical networks [for both telecomand data-center applications] isgrowing very robustly and NeoPhotonics’ leading product posi-tions, coupled with our new productintroductions for coherent transportand data-center applications, placesus in an advantageous position forthe coming year,” reckons Jenks.“We are currently expecting full-

News: Optical communications

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

68

NeoPhotonics reports record revenue of $89.1m for Q4,driven by 100G sales in China Firm adding capacity at chip, component and module levels

The market for100G-and-beyond opticalnetworks [forboth telecomand data-centerapplications] isgrowing veryrobustly

Page 69: Download V.11, issue 2, March 2016

year revenue growth for 2016 to bein the range of 15% overall. To sup-port this growth, we are addingcapacity at chip, component andmodule levels, expanding assembly& test capacities, and we are work-ing with our supply-chain partnerson their expansion plans,” he adds. “We believe NeoPhotonics has the

highest share of micro tunablelasers and integrated coherentreceivers for the expanding range ofcoherent transmission applications,from 100G to 400G data rates,”says Jenks. “This market will drivecontinuing growth in revenue,” hebelieves. “To support our accelerat-ing demand conditions across eachof our high-speed products, we areincreasing our capital expendituresfor the coming full year to a rangeof 7–9% of revenue,” adds Wallin. “Our client-side business is seeing

strong sequential growth as well,”says Jenks. “Extending this productrange, our new 100G QSFP28 modules are expected to ramp tovolume shipments over the follow-ing two quarters for high-density,telecom-client and data-centerapplications. Further, we are devel-

oping 400G client-side modules inCFP8 configurations that will bebased on our leading 28Gbaudlasers at 50Gbps using a PAM4architecture. Taking this develop-ment one step further, we are alsointroducing an ultra-high-speed56Gbaud EML laser and driver IC setwhich enables single-wavelengthPAM4 100G applications as well aseventually four-wavelength 400Gintra-datacenter transmission,” headds. “Also, for mega-datacenterapplications we have introduced aseries of new high-power laserdiode array products designed topower short-reach silicon photonics-based 100G intra-datacenter interconnections which use parallelsingle-mode architectures (PSM4).” NeoPhotonics also announced that

it will be entering the coherentmodule market. The first product isa new CFP2-ACO module, to be soldinitially in a Class 3 configuration(shipping in 2016), and exhibited tolead customers at the Optical FiberCommunications conference inAnaheim, CA, USA (22–24 March).“Our coherent module products will feature NeoPhotonics high-

performance components inside,enabling these modules to meetthe highest specifications in theindustry,” says Jenks. In addition, NeoPhotonics is

supplying multiple other CFP2-ACOmodule manufacturers with itshigh-performance components.“We are very well positioned tobenefit as a leader in both plug-gable modules and components ascoherent applications grow,”believes Jenks. Also at OFC, NeoPhotonics fea-

tured a new 43Gbaud integratedcoherent receiver (ICR), which willextend the reach of 200G coherenttransmission to more than 1000kmand is suitable for use either inpluggable modules or on high-density line-cards. “These introductions represent a

significant increase in productbreadth and capability for NeoPho-tonics as we move into this height-ened demand cycle,” notes Jenks.“As a result, we believe we are wellpositioned for the expansion in100G-and-beyond networks,” headds. www.neophotonics.com

News: Optical communications

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

69

NeoPhotonics has announced initial sample availability for theClearLight CFP2-ACO (analogcoherent optics) Class 3 coherentpluggable module (the firm’s firstCFP-2 ACO module in a Class 3configuration). Building on NeoPhotonics’

strength in components for coher-ent transport systems, the newproduct is the first in a series ofhigh-performance pluggable mod-ules and represents an expansionto the firm’s coherent productsuite to meet growing demand forhigh-density coherent solutions forboth telecom and data-center net-works. The ClearLight CFP2-ACO com-

bines all of the optical functionsnecessary for a coherent transpon-der in a standard-form-factor com-pact pluggable module and is

designed to fully support the Opti-cal Internetworking Forum (OIF)‘Implementation Agreement forCFP2-Analog Coherent OpticsModule’ (OIF-CFP2-ACO-01.0, 22January) for Class 3. The moduleincorporates NeoPhotonics’ ultra-narrow-linewidth external-cavitytunable laser and high-responsiv-ity integrated coherent receiver(ICR), exhibits low electrical powerconsumption, and is suitable for100G DP-QPSK and 200G 16QAMoperation. The ClearLight Class 3CFP2-ACO is compatible with digi-tal signal processor (DSP) solu-tions from multiple vendors, andplaces the control of the opticsoutside the module with the DSP. “We are building upon our core

strength in advanced hybrid photonic integrated circuits [PICs]to combine multiple different func-

tions in a compact module utilizingthe right material technology foreach element,” says chairman & CEOTim Jenks. “This will allow us tofollow this first product with aseries of modules to meet the everincreasing performance demandsof future networks.” In addition to demonstrating the

new CFP2-ACO, at the OpticalFiber Communication Conference& Exhibition (OFC 2016) in Anaheim, CA, USA (22–24 March)NeoPhotonics exhibited its suite ofstandard and small-form-factorPIC-based components for 100Gcoherent line-side applications,along with its 100G client-sideCFP2 and QSFP28 transceivers andits next-generation multi-castswitch for contentionless net-works. www.ofcconference.org

NeoPhotonics sampling 100G Class 3 coherent pluggable CFP2-ACO

Page 70: Download V.11, issue 2, March 2016

News: Optical communications

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

70

Oclaro has now qualified andreleased to production both its 2kmCWDM4 QSFP28 and 10km LR4QSFP28 client-side transceiversdesigned for 100Gb/s inter-connec-tions between switches within datacenters in addition to conventionalrouter and transport 100Gb/sclient-side interfaces in core networks. By delivering low power consump-

tion and high transmission per-formance quality through Oclaro’sinternal 1310nm 28Gb/s laserdiode and lens-integrated receivertechnologies, the CWDM4 and LR4QSFP28 transceivers will enabledata centers to transition to longer-reach single-mode fiber (SMF)interconnections needed to supportgrowing bandwidth demands. “Oclaro has a proven track record

of delivering leading-edge 100Gb/sclient transceivers to customerstransitioning to higher-speed net-works,” says Yves LeMaitre, president,Optical Connectivity Business atOclaro. “With our unique and pro-prietary 28Gb/s laser diode andlens-integrated receiver technolo-gies, we can now offer two flavorsof single-mode QSFP28 optimizedfor data-center topology and reach.Our goal is to provide data-centeroperators with a reliable supply of100Gb/s transceiver solutions thatsupport multiple standards while also

ensuring low power consumption andhigh transmission quality in a cost-effective small form factor,” he adds. “The availability of QSFP28 optics

will be instrumental in spurringmajor growth for 100GbE in thedata-center market,” commentsVladimir Kozlov, founder & CEO ofLightCounting Market Research.“Our current forecast shows thismarket to be approximately $500mby the 2018 timeframe and Oclarois well positioned as a trusted sup-plier ready to meet this growingdemand.” The CWDM4/CLR4 QSFP28 trans-

ceiver is designed to address therequirements of cloud data-centeroperators that need to move to SMFat 100Gb/s because mesh inter-connection between Leaf and Spineswitches require connectivity of upto 2km over duplex single-modefiber. To facilitate that transition,the CWDM4/CLR4 QSFP28 providesa low-cost solution that featuresmaximum 3.5W power consump-tion and high transmission qualitythrough Oclaro’s uncooled 1310nm28Gb/s laser diode technology.Additional features of theCWDM4/CLR4 QSFP28 include: utilizing cost-effective integratedtransmitter and receiver designsleveraging Oclaro’s manufacturingexperience gained as a supplier of

single-mode 100Gbit/s client-sidetransceivers; leveraging Oclaro’s experience in25Gb/s electrical signal design forPCB, gold box, and flex circuits; and supporting both the CWDM4 MSAand CLR4 alliance specifications tooffer flexible solutions.Oclaro says that the LR4 QSFP28

transceiver delivers high perform-ance, ensures interoperability withexisting CFP/CFP2 and CFP4 prod-ucts, and supports OTU4 specifi-cations by using in-house 28G laserdiode technologies. Due to its narrower width and lower powerconsumption, the LR4 QSFP28 cansupport up to 18 100Gb/s ports (36 ports with dual stack implemen-tation) while also providing superioroptical performance and transmis-sion quality. Additional features ofthe LR4 QSFP 28 include: taking advantage of Oclaro’scooled 1310nm 28Gb/s laser diodeand lens-integrated receiver tech-nologies covering both IEEE LR4and ITU-T OTU4 specifications(‘dual rate’ performance); leveraging Oclaro’s proven trackrecord in delivering volume100Gbit/s LR4 transceivers; and ensuring interoperability with theexisting installed base ofCFP/CFP2/CFP4 LR4 products.www.oclaro.com

Infinera Corp of Sunnyvale, CA, USA,a vertically integrated manufacturerof digital optical transport network-ing systems incorporating its ownindium phosphide-based photonicintegrated circuits (PICs), hasappointed John P. Daane to itsboard of directors. Infinera says that Daane has deep

understanding of high-performance

programmable networking compo-nents and the networking ecosys-tems, especially regardingdata-center and cloud architectures. Daane was president, CEO & board

member of programmable networkchip firm Altera Corp from late 2000to its acquisition (by Intel) lastDecember (and chairman from 2003). Prior to that, in 15 years at LSI

Logic, he went from engineeringintern to executive VP of the Communications Products Divisionsincluding the Networking, Wireless,Telecom, Computer and ConsumerDivisions, and central engineering.He was also a board member of theSemiconductor Industry Associa-tion from 2003 to last December. www.infinera.com

Oclaro qualifies and begins production of 2km and 10km100Gb/s QSFP28 client-side transceiversTransceivers meet CWDM4 MSA/CLR4 alliance and IEEE100GBASE-LR4 optical interface specs, and IEEE 802.3bm CAUI-4electrical interface specs

Infinera appoints former Altera president & CEO to board

Page 71: Download V.11, issue 2, March 2016

News: Optical communications

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

71

For its fiscal third-quarter 2016 (toend-January), fiber-optic communi-cations component and subsystemmaker Finisar Corp of Sunnyvale,CA, USA has reported revenue of$309.2m, down 3.7% on $321.1mlast quarter but up slightly on$306.8m a year ago. There were two 10%-or-greater

customers. The top 10 customersrepresented 58.4% of total revenue. Telecom product sales rose by $2.6m

(2.9%) from $87.4m last quarter to$89.9m, driven by 25% growth inwavelength-selective switches (WSS)to nearly 30% of telecom revenue.Datacom product sales fell by

$14.5m (6.2%) from $233.8m lastquarter to $219.3m, driven by adecline in 40G transceivers, primarilydue to reduced levels of capitalspending by Web 2.0 and hyperscaledata-center customers in anticipationof the roll-out of next-generation100G QSFP28 transceivers.On a non-GAAP basis, gross margin

rose from 30% last quarter to abetter-than-expected 30.3%, asfavorable product mix offset theimpact of one month of annualtelecom price negotiations (whichtypically take effect on 1 January). Although up from $65.3m a year

ago, operating expenses have beencut from $68m last quarter to a$67.3m (21.8% of revenue), lessthan the expected $67.5–68m. Operating income was $26.3m

(operating margin of 8.5% of rev-enue), down from $28.3m lastquarter but on a par with $26.9m ayear ago, and at the top end of theexpected range of 7.5–8.5% margin. Net income was $26.6m ($0.25

per fully diluted share), roughlylevel with $26.9m ($0.25 per fullydiluted share) last quarter and$26.7m ($0.25 per fully dilutedshare), and at the upper end of the$0.19–0.25 guidance range. Capital expenditure (CapEx) was

$26.8m, below the expected $35mas some final expenditures associ-ated with the fit out of the second

building in Wuxi, China rolled intofiscal Q4/2016 (when CapEx shouldrise to $33m, then fall back to$30m per quarter in fiscal 2017). During the quarter, cash, cash equiv-

alents and short-term investmentsrose from $520.8m to $531.1m.For fiscal Q4/2016, Finisar expects

revenue of $307–327m (includinggrowth in Datacoms and Telecoms).Gross margin should fall back to30% (as the positive impact offavorable product mix is offset bythe impact of three months of theannual telecom price reductions).Operating expenses are expected tobe relatively flat at about $67.5m.Operating margin will be 8.2–9.2%.Earnings per fully diluted shareshould be $0.22–0.28. “We are seeing strong growth

from China, due to the continuedlong-haul and wireless build-outs atChina Telecom, China Unicom andChina Mobile, as well as strength inNorth America from the expansionof next-generation metro networks,”says CEO & executive chairmanJerry S. Rawls. Finisar also expectstelecom revenue growth fromEurope and the Middle East. Datacom revenue growth will be

due to increased sales of 100 GigabitEthernet transceivers and CFP, CFP2,CFP4 and QSFP28 form factors.

Telecom revenue growth isexpected to be due mainly to continued strong demand for wavelength-selective switches andROADM line-cards. Growth is alsoexpected from 100G and 200G line-side products such as CFP2-ACO. “Given the strong demand for our

100G client-side modules as well asour wavelength-selective switches,WSS-based line-cards and our CFP2-ACO products, we are capacity con-strained on all of these products, andwe are actively adding capacity tomeet customer demand,” says Rawls. “In fiscal 2017, we expect to

benefit from the increased sales ofmany new products driven by bothdata-center construction andupgrades and increased deploymentof ROADMs and the 100–200Gcoherent transceivers in telecomlong-haul and metro,” says Rawls.“Finisar’s revenue is primarilydriven by growth in the globaldemand for bandwidth from theincreasing distribution and use ofvideo, images and digital information.In addition, Finisar continues tobenefit from the growth in cloudservices, which drives networkinghardware upgrades of existing datacenters and the construction of newhyperscale data centers,” he adds. www.finisar.com

Finisar’s wavelength-selective switch Telecom salesgrowth offsets tail-off in 40G transceiver Datacom sales

Page 72: Download V.11, issue 2, March 2016

News: Photovoltaics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

72

The US Department of Energy’sNational Renewable Energy Labora-tory (NREL), in collaboration withWashington State University (WSU)and the University of Tennessee,has improved the maximum voltageavailable from a cadmium telluride(CdTe) solar cell (a key factor inimproving energy conversion efficiency (James Burst et al, ‘CdTe solar cells with open-circuitvoltage breaking the 1V barrier’,Nature Energy 16015 (2016); DOI: 10.1038/nenergy.2016.15).The research was funded by theDoE’s SunShot Initiative, whichaims to make solar cost-competitivewith traditional energy sources. Itwas also supported in part by OakRidge National Laboratory’s Centerfor Nanophase Materials Sciences.Silicon currently represents 90%

of the solar cell market, but it is difficult to significantly reduce theirmanufacturing costs. Offering alow-cost alternative, CdTe solar cellsalso have the lowest carbon foot-print and adapt better than siliconin real-world conditions (includinghot, humid weather and low light),says NREL. However, CdTe solarcells have not been as efficient assilicon-based cells until recently.A key area where CdTe has

underperformed is in the maximumvoltage available from the solar cell(the open-circuit voltage). Fordecades, the quality of CdTe materials has prevented anyone

fromobtainingan open-circuit voltage ofmore than

900mV despite billions of CdTesolar cells having been produced;the vast majority have been limitedto 750–850mV. The research team improved cell

voltage by shifting away from astandard processing step usingcadmium chloride. Instead, theyplaced a small number of phosphorusatoms on tellurium lattice sites andthen carefully formed ideal inter-faces between materials with different atomic spacing to com-plete the solar cell. This approachimproved the CdTe conductivity andcarrier lifetime each by orders ofmagnitude, enabling the fabricationof CdTe solar cells with an open-circuit voltage breaking the 1V barrier for the first time. The NREL researchers treated the

crystals and built and characterizedthe solar cells. WSU researchers(including Santosh Swain and

Tursun Ablekim) developed thecrystal material used in the cells. The WSU researchers grow their

crystals using melt growth, whichallows precise control over purityand composition. Purity is critical tothe process, so the researchersmix, prepare and vacuum-seal thematerials in an industry-standardcleanroom. They then synthesizethe crystal in a furnace above1100°C and cool it from the bottomup at a rate of about 1mm per hour.The crystal is cut into polishedwafers to make the solar cells. “Others have tried dopants, but

they didn’t have the control andpurity that we have. And the purity matters,” says Kelvin Lynn,Regents professor in WSU’s School of Mechanical and MaterialsEngineering and Department ofPhysics, who led WSU’s effort.“WSU is known for growing reallyhigh-quality and purity crystals,” he adds “You have to control everystep.” While researchers have improved

silicon-based cells almost to theirtheoretical limit, there is significantroom for efficiency improvementsfor CdTe, which could be betteredby an additional 30%, reckonsLynn. www.nature.com/articles/nenergy201615 http://energy.gov/eere/sunshot/sunshot-initiative www.nrel.gov

NREL collaboration boosts maximum potential forCdTe solar cells to above 1V Purity control of phosphorus doping yields record open-circuit voltage

First Solar Inc has signed a memo-randum of understanding (MoU) toprovide thin-film photovoltaic (PV)modules to power solar projectsacross several provinces in Vietnamthat are being developed by ownerThien Tan Group. Construction oninitial projects will start by end-2016.

“Cadmium telluride modules offersignificant efficiency and reliabilityadvantages over typical crystallinesilicon modules, particularly in ahot and humid climate like Vietnam,”notes Rob Bartrop, First Solar’sbusiness development director forSouth-East Asia.

Founded in 2004, Thien Tan Groupspecializes in funding and buildinghydropower generation, residentialand industrial developments, realestate and solar energy generation.The MoU marks the first time that ithas partnered with First Solar. www.firstsolar.com

First Solar signs MoU to provide PV modules toutility-scale project development in Vietnam

WSU’s Kelvin Lynnwith crystalsmade in hislab. (Photoby WSUPhotoServices.)

Page 73: Download V.11, issue 2, March 2016

The Operations & Maintenance groupof First Solar now has 5GW of assetsin its portfolio of solar power plants,establishing it as the world’s largestsolar O&M provider, it is reckoned. First Solar Energy Services, which

has held the top position on GTM Research’s ‘Global O&M andAsset Management’ report for thepast two years, surpasses the nextnearest O&M provider by about1.8GW. “Our customers have entrusted us

to make sure they get the most outof their investments,” says First SolarEnergy Services’ vice presidentTroy Lauterbach. “We help themminimize risk and maximize thevalue of their renewable energyassets.”

First Solar Energy Services hasgrown alongside the solar industryover the past half-decade. In 2009,the fledgling O&M group commencedoperation of the 21MW Blythe SolarProject in California, which was then

the world’s largest PV plant.The group now operatesand maintains solar powerplants for owners in 10countries, including someof the largest PV powerplants in the world. First Solar Operations

Centers (FSOC) arelocated in Tempe, AZ, USA,Sydney, Australia, andBerlin, Germany. The firmsays that its flagshipTempe FSOC is frequently

visited by grid operators, regulatorsand energy industry experts fromaround the world seeking demon-strations of its operations technologyand capabilities.www.firstsolar.com

First Solar reaches 5GW of O&M assets

Cadmium telluride (CdTe) thin-filmphotovoltaic module maker FirstSolar Inc of Tempe, AZ, USA saysthat in early February it surpassed6GWDC of capacity installed by itsEngineering, Procurement and Construction (EPC) group. The firmis currently installing 30–40MWDC

per week on 2GWDC of active projectsaround the world. Partnerships with strategic

customers have enabled First Solarto continually lower the cost of utility-scale solar generation, saysAlan Stringer, VP of global projectmanagement & construction serv-

ices, who adds that First Solar issetting the pace in driving down thecost of balance of systems (BoS).For example, First Solar’s appli-cation of 1500V inverters is rapidlybecoming an industry standard,notes Stringer. Also, the firm’smanufacturing heritage, combinedwith the scale of installing 6GW, hasenabled First Solar to develop a‘manufacturing in the field’ conceptthat helps to drive down BoS cost.“Power plant owners benefit fromboth the lower cost of utility-scaleprojects built by First Solar and theproven track record of innovation

we bring to every project,” saysStringer. First Solar’s inaugural EPC job was

2008’s 10MWAC El Dorado SolarProject in Nevada, consisting of220,000 modules, and takingnearly two years from ground-breaking to commissioning. Inearly 2015, First Solar completedconstruction of the 550MWAC

Desert Sunlight Solar Project andthe 550MWAC Topaz Solar Farms,each utilizing more than 8 millionmodules and built in about thesame amount of time it took toconstruct El Dorado.

News: Photovoltaics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

73

First Solar has surpassed 1GWDC

of photovoltaic (PV) solar capacityshipped to India, making it thefirst thin-film PV module manufac-turer to achieve this milestone inthe country. “We thank our customers and

stakeholders in India for placingtheir trust in First Solar. They arebenefitting from differentiatedtechnology that has proven itssuperior energy yield by delivering5–9% more energy, compared to

polysilicon based modules in Indiafor its customers over the pastfour years,” says Sujoy Ghosh,First Solar’s country head for India. “Thin-film modules today have

higher conversion efficiency andrequire less land per megawattcompared to poly silicon-basedmodules,” Ghosh adds. “Our tech-nology roadmap has us on a pathto even greater conversion effi-ciencies, which will enable solarplants to be even more competi-

tive against conventional energysources.” First Solar has been present in

India since 2011, and provides itsCdTe thin-film modules to solardevelopers. The firm also self-develops utility-scale solar PVprojects and, through its Indiansubsidiary, has 200MWAC of proj-ects with contracted long-termpower purchase agreements(PPAs) under development andoperation in India.

First Solar surpasses 1GW of PV capacity shipped to India

First Solar EPC surpasses 6GW of installed PV capacity

First Solar’s NERC-registered Tempe-based US Operations Center.

Page 74: Download V.11, issue 2, March 2016

News: Photovoltaics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

74

Tokyo-based Solar Frontier — the largest manufacturer of CIS(copper indium selenium) thin-filmphotovoltaic (PV) solar modules —has entered into a 150MW modulesupply agreement with CypressCreek Renewables (CCR), whichspecializes in locally based develop-ment strategies and ownership ofsolar projects (with over 2.6GW ofsolar farms deployed or in develop-ment). Solar Frontier will supply modules

to a portfolio of Cypress CreekRenewables’ projects in various

states including Indiana, South &North Carolina, Montana and Texas.The solar energy projects primarilyrange in size from 2–10MW and willbe installed throughout 2016. “Cypress Creek Renewables, a

company recognized for its commit-ment to sustainable practices in localsolar development, has become abellwether relationship for SolarFrontier,” says Charles Pimentel,chief operating officer of US subsidiary Solar Frontier Americas.“Our relationship matured as aresult of the strength of our tech-

nology and its ability to deliversuperior internal rate of return (IRR)on Cypress Creek Renewables’projects,” he adds. “Solar Frontier is a reliable partner

in helping us achieve our goal ofmaking solar energy an affordablelocal energy choice for the communities we serve,” commentsCypress Creek Renewables’ CEOMatthew McGovern. “The size ofour commitment reflects our confidence in Solar Frontier and its product.” www.ccrenew.com

Solar Frontier to supply 150MW of PV modules to Cypress Creek Renewables

Solar Frontier Americas — which is the US subsidiary of Tokyo-based Solar Frontier, the world’slargest manufacturer of CIS (copper indium selenium) thin-filmphotovoltaic (PV) solar modules —has sold the 20MW Calipatria solar power generation plant toSouthern Power and TurnerRenewable Energy (representingSolar Frontier’s second solar projectsale to Southern Power). Southern Power is a subsidiary of

Southern Company (one of thelargest utilities in the USA, with arenewable energy portfolio of over1800MW of capacity ownership).Turner Renewable Energy (owned by Ted Turner) teamedwith Southern Company through a subsidiary in 2010 to form astrategic alliance to pursue thedevelopment of renewable energyprojects in the USA. The partnershiphas focused primarily on develop-ing and investing in large-scale PVprojects in the southwest USA.Solar Frontier America’s Calipatriaproject is Southern Power’s ninthsolar acquisition with TurnerRenewable Energy, and brings thepartnership’s overall solar capacityto more than 340MW operating orunder development.

Calipatria Solar Project is con-structed with about 130,000 CISmodules mounted on single-axistrackers and has a 20-year powerpurchase agreement (PPA) withSan Diego Gas & Electric Com-pany. Located in Imperial County,California, the project is part ofSolar Frontier America’s 280MWUS project pipeline. The firm cur-rently has a series of projects invarious stages of development inCalifornia. The Calipatria solar power gener-

ation plant was developed by SolarFrontier Americas Development LLC,a division of Solar Frontier Americaswith offices in San Francisco, CA,

andReno,NV. Con-structionon theprojectstartedinAugust2015and isexpectedto becom-pleted infirst-

quarter 2016. The plant should becapable of generating enoughsolar energy to meet the electricityneeds of about 5000 homes in theUSA annually. “This transaction is another

demonstration of the strength andacceptance of our product in theUS market,” says Solar FrontierAmericas’ chief operating officerCharles Pimentel. “Southern Power is building one

of the most reliable and robustwholesale renewable energy gen-eration portfolios in the US,” saysSouthern Power’s president & CEOOscar C. Harper. www.solar-frontier.com

Solar Frontier Americas sells 20MW solar power project toSouthern Power/Turner Renewable Energy

The Calipatria Solar Project.

Page 75: Download V.11, issue 2, March 2016

News: Photovoltaics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

75

Copper indium gallium diselenide(CIGS) thin-film photovoltaic solarcell and panel maker MiaSolé ofSanta Clara, CA, USA (which wasfounded in 2004 and acquired byBeijing-based renewable energyfirm Hanergy in December 2012)has signed sales representativeagreements with multiple compa-nies for various regions. In the USA, MiaSolé has agreed

for solar power and energy solutionprovider SolEnergy LLC to repre-sent it in Louisiana, Maryland, andNorth Virginia. MiaSolé has also entered into a

representative agreement forGTech Global to sell MiaSolé FLEXmodules in Northern California. GTech Global (GTG) and its associ-

ates have more than 35 years ofbusiness experience in developingand implementing energy-efficientbuilding solutions, focusing onenergy management and conserva-tion. GTG specializes in integratedsolar and roofing solutions forindustrial, commercial, and agricul-tural customers. Outside mainland USA, MiaSolé

has entered into a representativeagreement for Advanced RoofingTechnology Inc (which was foundedin 1991 and specializes in roofingand construction) to sell its FLEXmodules in Hawaii and the PacificIslands.MiaSolé has also agreed for Uber

Énergies of Montreal, Quebec torepresent it in the Canadian market,as well as certain special channelsinto which Uber Energies has dis-tinct relationships and access. Outside North America, MiaSolé

has agreed for Australia-basedBenmax Group (which specializesin the design, construction, commissioning, maintenance andservice of heating, ventilating, air-conditioning and refrigerationsystems) to represent it in the Australian, New Zealand and Singapore markets. Benmax Environmental (a new

division of Benmax Group) providesenvironmental advisory and

consulting services to help organi-zations throughout Australia andNew Zealand rise to the challengeof changing environmental codesand standards. On the basis thatsustainable building requires closecooperation of the entire projectteam (designers, architects, engi-neers and client) at all projectstages, Benmax Group has a trackrecord of working cooperativelywith clients. SolEnergy, GTech Global,

Advanced Roofing Technology, Uber Énergies and Benmax will sellMiaSolé’s FLEX modules, which areclaimed to be the most efficientthin-film lightweight flexible moduleson the market (with an energy efficiency rating of +16%). The FLEX modules bond directly to

a roof surface with a simple peel-and-stick adhesive. This eliminatesthe need for racking and reduceslabor and logistics costs, providing a20% lower BoS (balance-of-system)

cost than traditional glass solarsystems, it is claimed. The low profile of the FLEX module

also provides what is claimed to be superior wind resistance and aseismic advantage over traditionalrack-and-panel systems (wheretheir higher profile increases thelikelihood of damage in a hurricaneor earthquake), making FLEX mod-ules suitable for seismically activeareas such as Northern Californiaand the Hawaiian and Island markets. In addition, FLEX modules use

by-pass diode technology thatenables better shade performance. “The ability to benefit from thin-

film solar module characteristics inour northern climates or for certainoff-grid applications is one of thekey reasons why we chose to partner with MiaSolé,” commentsUber Énergies’ president Sass M.Peress. The FLEX-02 Series module in

particular (which is IEC 61646 &IEC 61730 and UL 1703 certified) is available in two formats. TheFLEX-02W module is 39.3” x 102.3”and is rated at 360W, designed forlow-slope commercial single-plyroof systems. The FLEX-02N module is 14.6” x 102.3” and israted at 120W, designed specificallyfor standing-seam metal roofs. The low weight of the FLEX-02

module (<0.7lb/ft2) allows installa-tion on roofs that cannot supportthe weight of traditional glass solarpanels. Because the panels adheredirectly to the roof surface, thereare no penetrations, eliminating

the worry of leakage anddamage to valuable contents within a building.The FLEX-02 also blendsinto both metal and TPOroofs, preserving the original look of the roof. www.solenergyonline.com www.gtechglobal.netwww.advanced-roofing.com www.uberenergies.com www.benmax.com.au www.MiaSole.comMiaSolé’s FLEX module

MiaSolé’s flexible solar cell.

MiaSolé signs multiple sales representatives worldwide

Page 76: Download V.11, issue 2, March 2016

Researchers in Canada claim the highestreported optical-to-electric power conversion ofmore than 65% (Figure 1) for a 150W/cm2

(~1500 suns) tuned narrow spectrum input from high-powered laser diodes [S. Fafard et al, Appl. Phys. Lett.,vol108, p071101, 2016]. Multi-junction concentratedphotovoltaic cells have achieved 46% conversion fromthe broad solar spectrum.The optical transducers were based on a vertical

epitaxial heterostructure architecture (VEHSA) designof a number of monolithically integrated thin n–p

gallium arsenide (GaAs) junctions. The team — con-sisting of researchers from Université de Sherbrooke,Azastra Opto Inc, and University of Ottawa — seespotential for microelectronic hybrid components andbiomedical applications. Such uses could benefit fromoutput voltages in the 5–12V range, enabled byemploying stacks of junctions.The researchers comment: “These features are sought

after in order to enable more compact, electrically isolated, or long-lasting power sources. Such isolatedpower supplies are beneficial for regulating more

Technology focus: Optoelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

76

Figure 1. Power dependence of measured and modeled optical-to-electrical conversion efficiency for GaAsphototransducer heterostructures engineered with the VEHSA design. When input wavelength is tuned topeak response, the efficiency approaches 70%.

Researchers claim highest reported optical-to-electric powerconversion of more than 65%.

Vertical epitaxialheterostructure GaAsoptical transducers

Page 77: Download V.11, issue 2, March 2016

securely microelectronic circuits in many telecommuni-cation, utilities, sensor, and automotive systems, or forcontrolling more safely neuro-stimulating or biomed-ical devices.” Metal-organic chemical vapor deposition (MOCVD)

was used to produce heterostructures on 150mm-diameter zinc-doped p-GaAs substrates. Stacks ofGaAs n–p junctions were grown on a lattice-matchedgallium indium phosphide (GaInP) p-type back surfacefield. An n-type window layer of lattice-matched GaInPcompleted the structure. The n-p junctions were generally connected by high-

peak-current p++/n++-type aluminium gallium arsenide(AlGaAs) tunnel junctions developed by some of theteam. The more usual tunnel junction for such connec-tions in concentrated photovoltaic (CPV) devices consistsof n++-GaInP/p++-AlGaAs. The five-junction (PT5)devices did not use the p++-AlGaAs/n++-AlGaAs tunneljunction. PT5 devices were also limited to less than 1Woptical input.The n-GaAs part of the n–p junctions was around

100nm or thinner. The p-GaAs side of the junction wasvaried in thickness. The 12-junction devices had p-typelayers as thin as 44nm.The heterostructures were designed using a combi-

nation of preliminary experiments — photolumines-cence and photo-electrical — and simulations. The aim

was, naturally, for close to 100% conversion across thestructure.Silver gridlines formed an ohmic contact with an

n++-GaAs layer on the n-GaInP window.The devices were designed to operate at longer than

700nm input radiation. The window layer was trans-parent to this light and the absorption was expected tobe important only in the GaAs n–p layers with optimalperformance between 805nm and 845nm. An 830nm-wavelength anti-reflection coating was used. The number of n–p junctions affects the maximum

output voltage, with 6.0V for five junctions and 14.6Vfor 12 (Figure 2). These give about 1.2V open-circuitvoltage (Voc) per junction.The researchers comment: “Our test setup with

high-power laser diodes having fixed output wave-lengths did not allow us at this time to complete themeasurements under fully tuned conditions for all theVEHSA designs. Still, from the modeled and measuredoptoelectronic properties, we can deduce that the thinindividual n/p junctions are each generating ~1.2V ofVoc when illuminated with an average intensity of afew W/cm2. We can also deduce that the voltage of thethinner n/p junction can be higher than for the thickerheterostructures by at least ~20mV.”

http://dx.doi.org/10.1063/1.4941240Author: Mike Cooke

Technology focus: Optoelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

77

Figure 2. Measured current–voltage curves at 20°C with input at 825nm.

Page 78: Download V.11, issue 2, March 2016

Researchers based in Korea and USA have beenstudying the temperature dependence of external quantum efficiency (EQE) for a range

commercial light-emitting diodes (LEDs) [Jun HyukPark et al, J. Appl. Phys., vol119, p023101, 2016]. The team sought to disentangle the various effectsthat impact LED performance such as non-radiativeShockley–Read–Hall (SRH) recombination and carrierfreeze-out.SRH recombination through mid-gap states reduces

efficiency at higher temperatures. By contrast, carrier freeze-out is a low-temperature factor.The III-nitride compound semiconductors suffer

particularly from high activation energies for theacceptor states that create p-type conduction throughhole transport (Table 1). These activation energies aremore severe in the wide-bandgap semiconductorsneeded for shorter-wavelength radiation. This factorleads to an imbalance between the hole and electronconcentrations.The team — from Pohang University of Science and

Technology (POSTECH), Chonbuk National University,Rensselaer Polytechnic Institute in the USA, and Sam-sung Electronics — characterized 620nm red alu-

minium gallium indiumphosphide (AlGaInP),450nm blue galliumindium nitride (GaInN),and 285nm deep-ultra-violet aluminium galliumnitride (AlGaN) LEDs.The epitaxial materials

for these devices werederived from metal-organic chemical vapordeposition (MOCVD). The active regions weremultiple quantum wells:38-period AlGaInP/AlInP,5-period GaInN/GaN, and5-period AlGaN/AlGaN. Characteristics were

measured under pulsedcurrent injection toavoid self-heating of thedevices. The EQE was measured

Technology focus: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

78

Figure 1. Normalized EQE curves as a function of current density for AlGaInP red LED, GaInN blue LED, andAlGaN DUV LED measured at (a) 300K and (b) 110K.

Team seeks to disentangle the various effects that impact performance.

Taking the temperature of light-emitting diode external quantum efficiency

For the AlGaInP redLEDs, the effect ofSRH recombination onEQE is strongercompared to the effectof hole freeze-out. Theeffect of asymmetry incarrier concentrationis relatively smallerfor the AlGaInP redLED. In the AlGaN DUV LEDs, the effectof hole freeze-out isstronger than that ofSRH recombinationdue to the largeracceptor activationenergy and theresulting larger carrierasymmetry

Page 79: Download V.11, issue 2, March 2016

at a range of temperatures. At roomtemperature (300K), there was noefficiency droop before 30A/cm2 forthe red device, while the blue andDUV LEDs showed droops of 8.6%and 82.1%, respectively, at 30A/cm2

(Figure 1). Lowering the temperatureto 110K created a small droop of7.1% for the red LED. The bluedevice had an increased droop of48.8%. The AlGaN LED at 110K had a

minimum EQE at 10A/cm2 and thenincreased to give a droop of 38.8%on the initial peak at 30A/cm2.The researchers comment:

“The AlGaN DUV LED has an intrinsi-cally large asymmetry in carrier con-centration at cryogenic temperatures,so that the EQE initially decreases(droop), then reaches an EQE mini-mum point (minimum of ‘U-shape’),and then increases again due to thefield-ionization of acceptors by thePoole-Frenkel effect.” The Poole–Frenkel effect describes

how, under an applied field, acceptorimpurities ionize more easily, increas-ing hole concentration. The DUV LEDalso had a different temperaturebehavior under low current injection(Figure 2), with an increase ratherthan decrease at higher temperature.The researchers comment: “the

experimental data indicate that, forthe AlGaInP red LEDs, the effect ofSRH recombination on EQE isstronger compared to the effect ofhole freeze-out. That is, the effect ofasymmetry in carrier concentration isrelatively smaller for the AlGaInP redLED. On the contrary, in the AlGaNDUV LEDs, the effect of hole freeze-out is stronger than that of SRHrecombination due to the largeracceptor activation energy and the resulting larger carrier asymmetry.”

http://dx.doi.org/10.1063/1.4939504Author: Mike Cooke

Technology focus: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

79

Donor Acceptor

AlInP 80meV 76meVGaN 15meV 170meVAlN 62meV 630meV

Table 1. Typical activationenergies for electron donor andacceptor impurities.

Figure 2. Temperature-dependent EQE curves for (a) AlGaInP red LED, (b) GaInN/GaN blue LED, and (c) AlGaN DUV LED measured at 0.1A/cm2.

Page 80: Download V.11, issue 2, March 2016

Commercial indium gallium nitride (InGaN) light-emitting diodes (LEDs) are mostly producedon sapphire substrates, balancing cost versus

performance.Cost can be reduced further by growing III–nitride

materials on silicon, but maintaining adequate performance is challenging due to a larger lattice mis-match that generates a higher density of crystaldefects.In the other direction, there are more expensive

substrate materials — e.g. silicon carbide and galliumnitride — with smaller lattice mismatches generatinghigher-quality crystal structures. Further, GaN sub-strates can be cut in non-standard crystal orientationsthat allow higher performance LEDs to be created.Here we report on some recent research work that

attempts to improve the performance of LEDs grownon sapphire. This work includes techniques thatattempt to implement non-standard crystal orientations,modifying the standard multiple quantum well (MQW)structure, and combining GaN and zinc oxide (ZnO).

Semipolar growth Two research groups have reported the growth ofsemipolar-oriented III–nitride on sapphire, promisingmore efficient LEDs at reduced cost. Semipolar GaNsubstrates are typically restricted to very expensive10mm x 10mm pieces of free-standing or bulk material.Growth of semipolar GaN on sapphire results in lowcrystal quality in general. Semipolar devices are attractive for longer wave-

lengths because electric charge polarization of the GaNchemical bond results in large polarization electric fieldsthat inhibit electron–hole recombination to photons inthe MQWs, reducing efficiency in conventional c-planeLEDs. This is often referred to in the literature as thequantum-confined Stark effect (QCSE).Growth of devices in semipolar or nonpolar directions

reduces the polarization electric field and reduces thequantum efficiency decrease, efficiency droop, and the

green and yellow gaps in wavelength coverage associ-ated with QCSEs.Another advantage of semipolar material is improved

incorporation of indium in InGaN layers. High-indium-content InGaN tends to decompose, with indium evaporation, or to segregate into regions of varyingcompositions, leading to localized states.

Triangular nanostripesIn one piece of work producing semipolar III–nitridematerial on sapphire, University of New Mexico (UNM),USA, fabricated triangular-nanostripe core–shell LEDs(TLEDs, Figure 1) on c-plane substrates [Ashwin K.Rishinaramangalam et al, Appl. Phys. Express, vol9,p032101, 2016]. The performance results aredescribed as “preliminary”. The researchers comment: “Upon optimization of

these TLEDs to being on par with existing technologieson free-standing GaN, the low cost associated with thisapproach could potentially become the driving forcetowards commercial adoption.”By using triangular nanostripes with semipolar side-

walls, the UNM researchers hope to access the advan-tages for light emission without the non-commercialcosts of free-standing substrates.The researchers prepared a 2μm n-GaN on

sapphire template using metal-organic chemical vapordeposition (MOCVD). A 120nm silicon nitride layer waspatterned into micron-scale stripes using interferometriclithography. The silicon nitride dielectric also functionedas electrical insulation in the resulting devices. A second lithography step was used to define thedevice and contact regions. The exposed regions of thesilicon nitride were dry etched and the wafer surfacecleaned using a ‘piranha solution’ process beforenanostructure growth.The new growth was carried out with continuous-flow

MOCVD. The core was silicon-doped n-GaN. The fastgrowth of the c-plane leads to emergence of a triangularcross-section with semipolar (1011) plane sides.

Technical focus: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

80

Mike Cooke reports on research into semipolar growth, quantum well barriercomposition and zinc oxide enhancements.

Improving performanceof InGaN LEDs onsapphire substrates

Page 81: Download V.11, issue 2, March 2016

The researchers thenapplied a thin n-type aluminium gallium nitride(AlGaN) layer to blockreverse leakage currents, togetter oxygen impurities, andto fill defects in the siliconnitride mask/insulation.Further growth consisted of

n-GaN electron injection, fourInGaN quantum wells (QWs)in GaN barriers (3nm/9nm),and finally a p-GaN contact.The c-plane surfaces on theapex of the triangular cross-section re-emerged during p-GaN growth. Theresearchers attribute this tothe use of hydrogen-richgrowth conditions and thefaster growth (~3x) of p-GaNon the (1011) plane, com-pared with c-plane.LEDs were fabricated with indium tin oxide (ITO)

transparent conducting current-spreading layer, titanium/aluminium/nickel/gold n-electrode, and titanium/gold n- and p-contact pads.The devices were tested under pulsed currents (2μs,

2% duty cycle). The electroluminescence (EL) wasbroadband and significant wavelength blue-shift wasseen with increasing current(Figure 2). Wavelength shiftswith semipolar devices areusually much smaller thanthose of c-plane LEDs. Sincethe peak was to one side ofthe broadband of emittedradiation, the researchersused the dominant wavelengthto characterize the shift. At50A/cm2 current density, thedominant wavelength was~465nm compared with apeak at ~425nm. Electron microscope analysis

showed the quantum wellthicknesses to be non-uni-form, thus explaining thebroadband emission. Thewells were thicker near theapex of the triangular cross-section. The researchers alsobelieve that long-range variation in indium composi-tion of the quantum wellsplayed a role in the broad-

band nature of the spectra. Energy dispersive x-rayspectroscopy revealed indium composition 1.5x highernearer the apex compared with the sidewall.The researchers explain: “For low injection currents,

the path of least resistance is through the thick, high-indium-content QWs near the apex. This resultsin longer-wavelength emission (~480nm) at low current density, primarily from the QWs near the

Technical focus: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

81

Figure 2. EL dominant wavelength as function of current density. Inset showsEL spectra.

Figure 1. Illustration of section of triangular-stripe core–shell nanostructure LED.–

Page 82: Download V.11, issue 2, March 2016

apexes. As the current density is increased, the currentspreading across the nanostructure is improved and ashort-wavelength EL peak near 425nm emerges. Thispeak is attributed to the thin, low-indium-content QWson the main sidewalls of the triangular stripe. The peaknear 425nm shows very small shift in wavelength as afunction of current density, as expected for uniformsemipolar QWs.”

OvergrowthUniversity of Sheffield in the UK has also developedsemipolar GaN/sapphire, demonstrating its use inlong-wavelength InGaN single quantum well (SQW)LEDs [J. Bai et al, Appl. Phys. Lett., vol107, p261103,2015]. Green to amber devices with peak wavelengthsas long as 600nm at 100mA were produced. Theresearchers describe the EL as “strong” at 100mA. The Sheffield research developed an overgrowth

technique for production of (1122) GaN on m-planesapphire. The team sees applications for solid-statelighting and opto-genetics. Yellow light is particularlyuseful for controlling the activity of genetically modifiedcells such as neurons.

The Sheffield group notes that previous semipolarGaN on sapphire devices have been limited to blue andgreen wavelengths. The researchers comment:“Demonstration of yellow or even longer wavelengthsuch as amber semipolar LEDs with device performancegrown on foreign substrates has not yet been reported,as further improvement in growth technologies includingcrystal quality and enhancing indium incorporation intoGaN is requested.” A single layer of (1122) GaN was grown by MOCVD

on m-plane sapphire using an aluminium nitride (AlN)buffer. The GaN layer was patterned into microrodsusing photolithographic techniques (Figure 3). The silicon dioxide used as mask was left on top of the GaNmicrorods. More MOCVD is then carried out to give an overgrown

(1122) GaN template layer about 4μm thick. The silicon dioxide blocks and coalesces defects, reducingdislocation densities to the range 1–4x108/cm2 andbasal stacking fault densities to 1–4x104/cm. X-ray diffraction (XRD) analysis suggests that the processresults in a crystal quality approaching that for c-planeGaN on sapphire used for growth of ultra-high bright-

Technical focus: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

82

Figure 3. (a) SEM image of micro-rod GaN template; (b) TEM image of (1122) GaN overgrown on microrods;(c) XRD full-widths at half maximum (FWHMs) of overgrown (1122) GaN and (1122) GaN template,measured at azimuth angles ranging from 0º to 180º; (d) schematic of (1122) InGaN SQW LED.

–– –

Page 83: Download V.11, issue 2, March 2016

ness blue LEDs. InGaN SQW structures were grown on the templates.

The n-GaN and p-GaN layers were 1μm and 150nm,respectively. Lateral LEDs on 0.33mm x 0.33mm mesaswere fabricated with 100nm indium tin oxide transparentconducting p-contact. The n-contact consisted of titanium/aluminium/titanium/gold. The contact padswere titanium/gold. LEDs with four different color spectra were produced:

green, green-yellow, yellow, and amber. As the wave-length of the light increases, the peaks become broader.In the case of the amber LED, the 600nm peak has ashoulder centered on 630nm at 100mA injection. The researchers say that this behavior is indicative ofincreasing indium separation at the higher compositionsneeded for longer wavelengths. The peak wavelength underwent a blue-shift to shorter

wavelengths with increasing current. The amount ofshift was greatest with the longer-wavelength deviceswith high indium content. The green, green-yellow andyellow LEDs shifted 8nm, 15nm, and 19nm, respectively,between 1mA and 100mA. In c-plane green LEDs thecorresponding shift is typically 13nm. The researchers comment: “This suggests that the

QCSEs in our (1122) LEDs are effectively suppressed.” The amber devices had an even greater shift that

could indicate significant segregation effects withlower-energy localized states being populated at lowcurrent injection. These state fill up at higher currentsforcing shorter-wavelength transitions, accounting forthe large ~50nm shift. In fact, in the lower current

injection range less than 20mA one could consider theLED to be emitting red light (620–740nm).Problems with fabrication also increased the turn-on

voltage of the amber devices (~4V at 20mA). In par-ticular, the p-GaN layer had to be grown at a lowertemperature than normal to avoid damage to theInGaN single quantum well. The 20mA voltage for the other devices was in the range 3.0–3.4V, which isstandard for InGaN LEDs. The amber device also suffers from lower light output

(Figure 4). All devices have better external quantumefficiency (EQE) performance in terms of droop, compared with commercial c-plane devices. The EQEsat 100mA relative to the maximum were 87%, 80%,79% and 69% for the corresponding green, green-yellow,yellow and amber devices. The conventional c-planedevice in the figure had an EQE at 49% of the maximum(~3mA).

Barrier enhancementSouth China University of Technology has shownimproved power and efficiency performance for InGaNLEDs with 1.2% indium-content multiple-quantum-well(MQW) barriers [Zhiting Lin et al, J. Phys. D: Appl. Phys.,vol49, p115112, 2016]. The purpose of the research was to study the effect of

indium in MQW barriers. Most commercial MQWdesigns use pure GaN barriers (i.e. 0% indium). The epitaxial heterostructures were grown by MOCVD

on 2-inch (0001) patterned sapphire (Figure 5). Theundoped buffer layer was 4μm. The n-GaN contact was

Technical focus: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

83

Figure 4. Light output (a) and normalized EQE (b) of the four LEDs as function of current from 1mA to 100mA.Commercial c-plane green LED (dashed line) reference.

Page 84: Download V.11, issue 2, March 2016

3μm. The electron-blocking layer (EBL) and p-contactwere 20nm and 150nm, respectively.The MQW region consisted of seven 3nm wells sepa-

rated by 14nm barriers. The wells had 20% indiumcontent. The variation in indium content in the barrierswas achieved through changing the trimethyl-indium

precursor flux. The indium content was eval-uated using XRD analysis.Standard InGaN LED chips were fabricated

with 250nm indium tin oxide (ITO) transparentconductor, and chromium/platinum/gold n- and p-electrodes. The chip dimensionswere 750μm x 220μm.The highest light output power above 20mA

injection current was achieved with 1.2%-Inbarriers (Figure 6) — at 70mA the increaseover pure GaN barriers was 15.4%. The lightoutput decreased significantly when theindium content in the barriers exceeded 2%.Although the 2.0%-In barrier gave the high-

est peak external quantum efficiency (EQE),the 1.2%-In barrier was better at reducingthe droop effect at higher injection current.At 70mA, the 2.0%- and 1.2%-In barriersgave EQE values 3.3% and 10.3% betterthan for pure GaN barriers, respectively. The researchers therefore consider 1.2%

indium to be the optimal content for InGaNbarriers. X-ray analysis suggested that prob-lems with increased indium content includedincreasing roughness of the well/barrierinterface and degraded crystal quality.One cause of such problems could be the

increased lattice mismatch between the barrier and the underlying GaN lattice con-stant. The thinner wells should be lessaffected by crystal quality degradation,

despite the higher indium content. However, thereduced crystal quality of the barriers and the interfaceroughness do have their effect on the quality of subsequent well growth, inducing the creation of non-radiative recombination centers.Photoluminescence analysis showed a slight reduction

Technical focus: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

84

Figure 5. (a) Epitaxial structure of as-grown LEDs; (b) opticalmicrograph of chip.

Figure 6. (a) Light output power versus current and (b) EQE versus current.

Page 85: Download V.11, issue 2, March 2016

in intensity for 1.2%-In bar-riers, compared with pureGaN. As the indium contentwas increased beyond1.2%, the photolumines-cence decreased sharply.The researchers used sim-

ulations to suggest that apositive effect of increasingindium content in the barri-ers was to increase carrierconcentrations in the MQWstructure. “We propose thatthe gain on carrier concen-tration and the crystallinequality degradation are apair of opposite influentialfactors as the indium content of InGaN barriersincreases,” they write. The calculations also

suggest that the increase in indium increases the potential barrier for electrons while reducingthat for holes.

Ultraviolet light from ZnOJilin and Zhengzhou universities in China haveincreased the EL of zinc oxide/gallium nitride (ZnO/GaN)heterojunction light-emitting diodes (LEDs) by growingthe crystals layers with oxygen/nitrogen polarities[Junyan Jiang et al, Appl. Phys. Lett., vol108, p063505,2016].The change from the more usual zinc/gallium polarity

shifts the depletion layer of the p–n GaN–ZnO junctionfrom the interface region into the ZnO, where recombi-nation through excitons can lead to efficient productionof ultraviolet (UV) photons. ZnO has a particularlystrong ~60meV exciton binding of electron and holes.Also the direct bandgap is 3.37eV. The excitons in GaN have bindings around 20meV,

which is comparable to room temperature thermaleffects (~26meV). ZnO p–n junctions are extremelydifficult to realize because p-type material cannot befabricated in a repeatable/reliable manner. There havebeen hopes of combining n-ZnO and p-GaN, but usuallythe EL is weak or undetectable from the ZnO side. The LED materials were grown on c-plane sapphire

(Al2O3) substrates in an Aixtron CCS 3x2-inch flip-topMOCVD reactor. The N-polar p-GaN layer had a hole concentration of

2.4x1017/cm3. The O-polar n-ZnO layer was producedin a two-step photo-assisted MOCVD process, usingdiethyl-zinc and oxygen precursors in argon carrier.The two ZnO steps consisted of a 450ºC/6Torr buffer,

followed by a 650ºC/12Torr 450nm layer. The electronconcentration was 2.7x1017/cm3. Sputtered nickel/goldand gold were used as p-GaN and n-ZnO contacts forthe LEDs.Naively one expects the depletion region of a p–n

junction to be mostly in the region with lowest carrierconcentration. However, the chemical bonds of bothZnO and GaN have a large ionic component, resultingin charge polarization effects (Figure 7).The different polarizations of ZnO and GaN give rise

to charge dipole layers at interfaces and electric fieldsin the bulk of the material. There are both spontaneousand strain-dependent/piezoelectric polarization effects.The early part of the ZnO layer is under compressive

strain due to a 1.8% lattice mismatch with GaN. Thecompression relaxes later on in the growth. This leadsto a polarization interface charge and a distributedcharge from the varying strain as the ZnO relaxes.In O-polar n-ZnO/N-polar p-GaN the depletion layer

is shifted into the ZnO layer by a polarization-inducedinversion layer (PIL). This gives rise to hopes that theelectron–hole recombination will occur on the ZnOside, giving highly efficient UV emission based on excitons.The LEDs had a turn-on voltage around 3V and

demonstrated rectifying behavior. The researchersobserved “strong” UV EL centered at ~385nm (Figure 8).A Gaussian fit of the 50V spectrum gave three peaks at383nm, 402nm, and 430nm.The researchers associated the strong 383nm UV

emission with ZnO near-band edge (NBE) transitions

Technical focus: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

85

Figure 7. (a) Schematic of O-polar n-ZnO/N-polar p-GaN heterostructure withpolarization-induced inversion layer. Fixed charges induced by spontaneous andpiezoelectric polarization are also displayed. (b) Spatial distribution of fixedpolarization charges and ionized dopants in O-polar n-ZnO/N-polar p-GaNheterostructure.

Page 86: Download V.11, issue 2, March 2016

from free and bound excitons. The 430nm blue emissionwas attributed to transitions in the p-GaN to the magnesium acceptor level. The researchers add: “The blue emission at 402nm is from the interfacialrecombination of the electrons from n-ZnO and theholes from p-GaN.” The researchers also produced a comparison LED-2

with Zn-polar n-ZnO and Ga-polar p-GaN. The p-GaNhole concentration was ~2x1017/cm3. The EL emissionfrom this device was broad and centered on 435nm(blue). The researchers interpret this as indicating thatthe depletion region is primarily on the p-GaN side. By contrast, the team believes the depletion region forthe O-polar n-ZnO/N-polar p-GaN combination forLED-1 is completely on the ZnO side. The group comments: “If the PIL of ZnO film is thick

enough, the injected electrons and holes could be

effectively confined into ZnO side, producing UV emis-sion from ZnO. More importantly, it is found that thelight output power of LED-1 is relatively higher thanthat of LED-2 under the same test conditions.” At 50V, the O-polar n-ZnO/N-polar p-GaN LED-1

intensity exceeded that of LED-2 by 66%. LED-1’s full-width at half maximum became narrower

with higher voltage: 48nm at 10V and 24nm at 50V.“As the forward bias changes from 10 to 50V, the intensityof ZnO NBE emission increases much faster than other twocomponents. And it plays a dominant role when theforward bias is higher than 30V.” The narrow NBE emis-sion’s increasing dominance reduces the FWHM value.

The author Mike Cooke is a freelance technology journalistwho has worked in the semiconductor and advancedtechnology sectors since 1997.

Technical focus: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

86

Figure 8. (a) EL spectra of LED-1 (O-polar/N-polar) under various forward bias voltages ranging from 10V to 50V. (b) Gaussian deconvolution of a representative EL spectrum from LED-1 measured at 50V. (c) EL spectra of LED-2 (Zn-polar/Ga-polar) under various forward bias voltages ranging from 10V to 50V.(d) Relationship between integrated EL intensity and voltage of two LEDs.

Page 87: Download V.11, issue 2, March 2016

Choose Semiconductor Today for . . .

MAGAZINE

Accurate and timely coverage of the compound semiconductor and advanced silicon industries

Published 10 times a year and delivered by e-mail and RSS feeds

Targeted 41,000+ international circulation

WEB SITE

Average of over 19,700 unique visitors to the site each month

Google-listed news source

Daily news updates and regular feature articles

E-BRIEF

Weekly round-up of key business and technical news

E-mail delivery to entire circulation

Banner and text marketing opportunities available

www.semiconductor-today.com

Follow us on Twitter: Semiconductor_T

Join our LinkedIn group: Semiconductor Today

semiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O NVol.7 • Issue 2 • March/April 2012 www.semiconductor-today.com

Graphensic spun off •Emcore sells VCSEL range to Sumitomo Masimo buys Spire Semiconductor•Oclaro and Opnext merge

ISSN 1752-2935 (online)

Efficiency droop in nitride & phosphide LEDsFirst single-crystal gallium oxide FET

Efficiency droop in nitride & phosphide LEDsFirst single-crystal gallium oxide FET

Page 88: Download V.11, issue 2, March 2016

University of CaliforniaSanta Barbara (UCSB) inthe USA has been devel-

oping a hybrid technique to createIII-nitride tunnel junctions (TJs)using a combination of metal-organic chemical vapor deposition(MOCVD) and molecular beamepitaxy (MBE) [Erin C. Young etal, Appl. Phys. Express, vol9,p022102, 2016].Efficient tunnel junctions could

replace indium tin oxide (ITO)current-spreading layers with n-type gallium nitride (GaN) inlight-emitting diodes and lasers.Tunnel junctions could also be usedin multi-junction solar cells basedon III-nitride absorbing layers.Tunnel junctions are based on

flow across very thin depletionlayers in p–n junctions. Unfortu-nately, the wide bandgap of~3.4eV for GaN leads to relativelylarge 20nm depletion layers whenthe doping levels are around1x1019/cm3. Such a thick depletionlayer chokes off the tunneling cur-rent. Increasing the doping levelin GaN can be tricky, particular forp-GaN. The difficulties with p-GaNmean that device designs usuallyplace it last in the growthsequence.Most development of tunnel

junctions so far has involved MBE,but MOCVD is the preferred tech-nology in commercial production. The n-type side of the tunnel

junction was grown by ammonia(NH3) MBE on MOCVD GaN p-nand light-emitting diode deviceson free-standing semi-polar GaNsupplied by Mitsubishi Chemical.The MBE n-GaN material consisted of a thin heavily

doped layer followed by a thicker current-spreadinglayer. The optimum combination of electrical and optical characteristics – low resistance and high trans-

Technology focus: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

88

Figure 1. (a) Schematic of test structure with MOCVD p–n diode and re-grown MBE n-GaN. (b) I–V characteristics (a) up to current density of10kA/cm2, for devices with 25µm radius. Inset: effect of in-situ NH3

annealing prior to MBE re-growth on same devices. Annealed sampleshowed a higher turn-on voltage and differential resistance compared withunannealed sample.

Molecular beam epitaxy has been used to apply n-type layers on metal-organic chemical vapor deposition grown p-type GaN

Hybrid MOCVD/MBE growthof III-nitride tunnel junctions

Page 89: Download V.11, issue 2, March 2016

parency — for the heavily dopedlayer was found with a carrierconcentration of ~1x1020/cm3.Before MBE the samples were

cleaned in solvent. During the15-minute ramp-up to the750°C MBE re-growth tempera-ture, the top p-GaN layers wereactivated. Annealing processesfor activating p-GaN generallyremove hydrogen, which passi-vates magnesium doping. SinceNH3 was used in the MBEprocess, it was important tostart the re-growth with lowammonia flow to avoid reintro-ducing hydrogen into the p-GaNinterface. The researchers notethat the hydrogen level inammonia MBE is several ordersof magnitude lower than thatfound in MOCVD growthprocesses.The team also found that the

presence of oxygen at the inter-face could enhance performance.They comment: “The develop-ment of a controllable procedurefor introducing oxygen at thisinterface will be a key to ensuringthe repeatability of TJ devicecharacteristics.”The oxygen was detected

using atom probe tomography(APT). The researchers com-ment: “The strong overlap ofthe Mg and O suggests that adonor–acceptor complex suchas ON–2MgGa could haveformed at the interface, effec-tively preventing free surfaceMg from incorporating into then-side of the TJ during regrowth.” Such an effect could lead to a more abrupt drop-off in

magnesium concentration, improving the tunnelingthrough the depletion layer.The material was used to create devices with titanium/

gold contacts to the n-GaN material. Reference deviceswithout the tunnel junction were produced with palladium/gold p-contacts. Contacts with ITO werechromium/nickel/gold.The researchers created tunnel junction on p–n

diodes with total differential resistivity between7kA/cm2 and 10kA/cm2 of 1.5x10–4Ω-cm2, includingcontact resistance (Figure 1). The voltages at 20A/cm2

and 5kA/cm2 were 3.05V and 4.67V, respectively.

The team comments: “We consider this to be amongthe lowest resistivity and voltage characteristicsreported for any III–nitride TJ.”Comparing blue LEDs with tunnel junction and indium

tin oxide (ITO) current-spreading layers (Figure 2), theresearchers found that the tunnel junction device hada lower voltage of 5.2V at 20mA, compared with 5.87Vfor the ITO LED. These voltages were on the high sidefor such devices – the researchers blame unoptimizedn-contacts with Schottky, rather than ohmic, behavior.The tunnel-junction LED also had improved external

quantum efficiency (EQE) in the low-current range. http://doi.org/10.7567/APEX.9.022102Author: Mike Cooke

Technology focus: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

89

Figure 2. (a) External quantum efficiency and voltage versus current densityfor TJ LED (solid lines) and ITO reference LED (dotted line) and (b) schematicof TJ LED. Device area was 0.1mm2.

Page 90: Download V.11, issue 2, March 2016

HRL Laboratories LLC in the USA has been developing vertical gallium nitride (GaN)Schottky barrier diodes (SBDs) with low turn-on

voltages of 0.77V and breakdown at more than 800V[Y. Cao et al, Appl. Phys. Lett., vol108, p062103, 2016]. Vertical GaN structures have recently been studied with

a view to high-voltage/power/frequency electronics,

based on the material’s high critical field for break-down. Vertical current flow can push the peak field intothe material, avoiding premature breakdown throughsurface states and passivation effects. A number ofgroups have reported on vertical p–n diodes on free-standing and bulk GaN, but these have high turn-onvoltages around 3V. SBDs should have much lower

Technology focus: GaN diodes

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

90

Figure 1. Plot of forward (a) and reverse current in diodes layers grown according to parameters (b) A, (c) B,(d) C and (e) D as function of bias voltage. Inset (a) shows three leakage paths under reverse bias.

Devices achieve 0.77V turn-on and more than 800V breakdown.

HRL creates low-carbongallium nitride layers forSchottky barrier diodes

Page 91: Download V.11, issue 2, March 2016

turn-on voltages, reducing conduction losses duringoperation. The researchers first studied the effect of different

metal-organic chemical vapor deposition (MOCVD)growth conditions on carbon incorporation by creatinga stack of GaN layers separated by AlGaN spacers onfree-standing GaN. The carbon concentration variedbetween less than 3x1015/cm3 and 3x1019/cm3 (seeTable 1).Carbon arises from the metal-organic precursors of

the MOCVD process. The effect of carbon incorporationin GaN is various, and more than eight different trapstates have been associated with the impurity and itsincorporation at Ga or N sites.The same growth conditions were used to produce

separate GaN layers on free-standing GaN. The surfaceroughness of the highest-carbon-concentration layerwas more than 1nm root mean square (RMS). TheRMS roughness of the other layers was less than 1nm.X-ray analysis also showed that the high-carbon layerhad a high density of screw dislocations.A nickel/gold 1.8mmx1.8mm Schottky contact was

created on each GaN layer. The backsides of the waferswere coated with titanium/gold to form the otherohmic contact. There was noisolation.The on-current of the devices

was low, except for the low-carbon device (D, Figure 1). The researchers explain: “Thismeans most free electrons aretrapped in GaN when the con-centration of carbon is at8x1016cm–3 or higher.” Device Dhad a turn-on voltage of 0.7V.Device D also had lower leakageunder reverse bias, with 1mAbeing reached beyond 80V. The other SBDs reached 1mAleakage before 70V. The growth parameters D were

used to create a 6µm lightly silicon-doped n-GaN drift layerwith low carbon concentration.The n-type doping was around1016/cm3. SBDs were fabricatedwith ion-implant edge termina-tion and 0.8mmx0.8mm Schottky contacts. The overlapbetween the edge terminationand contact was 10µm.The resulting SBD achieved a

breakdown of more than 800Vfor 1mA/mm2 (0.64mA) reverseleakage (Figure 2). The forwardcurrent at +2V was close to 1.6A

and the specific on-resistance was around 5mΩ-cm2.The researchers claim the 0.77V turn-on voltage asamong the lowest so far for GaN vertical diodes.Through capacitance–voltage analysis, the

researchers find a maximum electric field at break-down of 1.38x106V/cm at the Schottky/GaN interface.This value falls short of the theoretical value of3.3x106V/cm. “This means the breakdown is limited bythe leakage through the barrier and could be furtherimproved by enhancing the barrier height by surfacetreatment,” the researchers comment. ttp://dx.doi.org/10.1063/1.4941814Author: Mike Cooke

Technology focus: GaN diodes

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

91

Layer Pressure V/III Growth Carbon (Torr) ratio rate (Å/s) (/cm3)

A 50 954 6.99 3x1019

B 100 1717 7.14 8x1017

C 100 3981 3.76 8x1016

D 300 4777 2.19 3x1015

Table 1. Growth conditions for different carbonconcentrations. Temperature around 1040°C forall layers.

Figure 2. Plot of reverse leakage current in diode with 6µm GaN drift layer asa function of bias. Inset: schematic of diode structure with isolation.

Page 92: Download V.11, issue 2, March 2016

Researchers in China have been studying thepotential of aluminium (Al) ion implantation forcreating back-barriers and device isolation in

gallium nitride (GaN) metal-insulator-semiconductorhigh-electron-mobility transistors (MISHEMTs) on silicon substrates [Shichuang Sun et al, Appl. Phys. Lett.,vol108, p013507, 2016].The team from Huazhong University of Science and

Technology and Suzhou Institute of Nano-Tech andNano-Bionics (SINANO) comments that, with optimiza-tion, Al ion implantation offers a great potential methodfor creating high-performance AlGaN/GaN HEMTs.The resulting devices showed much reduced off-current

with only a small impact on the on-current. GaN transistors are being developed for high-voltageswitching andhigh-frequencypower amplifi-cation.The 600nm

resistive GaNbuffer wasgrown on (111)silicon with anAlGaN/AlN tran-sition layer bymetal-organicchemical vapordeposition(MOCVD). Thealuminiumimplant wascarried out attwo energies(140keV/90keV)to give a uni-form ion profile.The templates

were cleanedand thermallytreated beforeMOCVD re-

growth. The thermal process consisted of a 12 minuteramp up to 1050°C in ammonia/hydrogen and thenmaintaining the temperature for a further 5 minutes.The treatment aimed to repair lattice damage from theion implant. The thermal process was carried out in theMOCVD chamber.The re-growth sequence was 100nm undoped GaN, a

1nm AlN interlayer, a 20nm Al0.25Ga0.75N barrier, and a3nm GaN cap. The researchers claim that there was noobservable damage at the interface with the ion-implanted template.The Hall resistance and carrier concentration in the

two-dimensional electron gas (2DEG) channel regionwere 350Ω/square and 1.07x1013/cm2, respectively. A wafer subjected to the same process apart from the

Technology focus: GaN transistors

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

92

Figure 1. Transfer characteristics of AlGaN/GaN MISHEMTs on sample A and B templates.

Process reduces off-current leakage with little degradation of on-current.

Aluminium ion implants for lateral gallium nitridetransistors

Page 93: Download V.11, issue 2, March 2016

ion implant had 315Ω/squareresistance and 1.3x1013/cm2

carrier concentration.The transistor fabrication began

with low-pressure CVD of 20nmsilicon nitride for gate insulation.Annealed source/drain contactsconsisted of titanium/aluminium/nickel/gold. Device isolation wasachieved through more ionimplantation. The gate electrodeconsisted of nickel/gold. The gatewas 4µm long and 100µm wide.The distances from gate to drainand source were 16µm and 4µm,respectively.The device built on the sample

without ion implantation (A) didnot achieve pinch-off and theon/off current ratio was very low at46 (Figure 1). The ion-implanteddevice (B) was much better with“excellent pinch-off”, according tothe researchers. The on/off current ratio was 2.5x105 with anoff-current of 2.8x10–3mA/mm.The maximum drain current at

3V gate potential was 701mA/mm. The peak trans-conductance was 83mS/mm. The researchers say thatthere was little degradation in these parameters, compared with sample A.The improvement in switching capability of sample B

came from the much reduced off-current (Figure 2).The off-current was reduced by three orders of magni-tude and the breakdown voltage increased by 5x, compared with sample A.AlGaN back-barriers have also been used to improve

off-currents. However, the 2DEG carrier concentrationtends to be impacted more severely due to the chargepolarization contrast of the AlGaN and GaN chemicalbonds. Typical AlGaN back-barriers use around 5% Alcontent, giving a conduction-band offset of 0.06eV.The researchers estimate that the offset from the Alimplantation is 0.07eV, equivalent to an Al0.058Ga0.942Nlayer. http://dx.doi.org/10.1063/1.4939508Author: Mike Cooke

Technology focus: GaN transistors

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

93

Figure 2. Measured breakdown voltage of AlGaN/GaN MISHEMTs on sampleA and B templates.

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

Page 94: Download V.11, issue 2, March 2016

The imecresearchcenter in

Belgium claimsbeyond state-of-the-art perform-ance for a p-typegallium nitride (p-GaN) high-electron-mobilitytransistor (HEMT)on silicon operatingin enhancement-mode (normally-off). The thresholdvoltage was +2V.Low on-resistanceof 7Ω-mm enabledhigh drive currentof 0.4A/mm at 10Vdrain bias. imecpresented itsresults at the IEEEInternational Electron DevicesMeeting in Wash-ington DC, USA (8 December 2015)in sessions 16.2 and 35.4. imec claims that the p-GaNHEMT outperforms metal-insulator-semiconductor(MISHEMT) counterparts. imec has been working on the p-GaN HEMT structure

(Figure 1) for some time [www.semiconductor-today.com/news_items/2015/sep/imec_020915.shtml]. Normally-off/ enhancement-mode operation is pre-ferred for power efficiency and fail safety. ConventionalGaN HEMTs, produced without special measures such asfluoride plasma treatment of the gate region, tend to bedepletion-mode/normally-on. Producing GaN deviceson silicon should lead to production cost savings, butdevice performance can be impacted by crystal defectsarising from the larger lattice mismatch, compared

with silicon carbide (SiC) or free-standing/bulk GaNsubstrates.One team of imec researchers focused on improving

the interface between the 200mm-diameter substrateand the overlying GaN buffer with the aim of reducingdispersion/current collapse. Dispersion occurs in pulse-mode/switching operation. One cause of this istrap states in the buffer layer that adversely affect performance compared with DC characterization.Three types of high-voltage buffer/silicon interfacewere compared: step-graded, low-temperature AlNinterlayer, and superlattice (Figure 2). Using a ‘design of experiments’ methodology, the researchersconclude that “a superlattice buffer can achieve simul-

Technology focus: GaN HEMTs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

94

Figure 1. Schematic cross section (upper figures) and transmission electron micrographs(TEMs) of gate region (lower figures) of (a) recessed-gate MISHEMT and (b) p-GaN HEMT.

imec presents enhancement-mode p-GaN high-electron-mobilitydevices on silicon at IEDM.

Going beyond gallium nitridetransistor state-of-the-artperformance

Page 95: Download V.11, issue 2, March 2016

taneously low dispersion and leakage under negativebulk bias”.In their optimization efforts, the teams targeted low

dispersion/current collapse, leakage and breakdownvoltage over a wide temperature range and bias condi-tions. Another team of researchers also optimized theepitaxy process for the p-GaN layer, which contains thetwo-dimensional electron gas (2DEG) channel near the

top aluminium gallium nitride (AlGaN) barrier layer. imec says that it is working towards industrialization

and is offering a complete 200mm CMOS-compatible200V GaN process line that features excellent specs onenhancement-mode devices. www.imec.bewww.his.com/~iedmAuthor: Mike Cooke

Technology focus: GaN HEMTs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

95

Figure 2. Schematic overview of AlGaN/GaN buffer and constituent parts. Schemes of three different deepbuffer topologies are shown and illustrated with TEM cross sections of epi buffers.

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

Page 96: Download V.11, issue 2, March 2016

University of Electronic Science and Technologyof China claims a record high threshold voltageof +7.6V for an aluminium oxide/gallium nitride

(Al2O3/GaN) metal-oxide-semiconductor field-effecttransistor (MOSFET) [Qi Zhou et al, IEEE ElectronDevice Letters, published online 22 December 2015]GaN transistors tend to be normally-on with negative

threshold voltages without special measures designedto increase the threshold for normally-off operation.These measures tend to negatively impact other factorssuch as reducing on-current and/or increasing off-current/leakage.Normally-off operation is particularly desired for

power applications where fail-safety and power efficiencyare important considerations. In particular, a thresholdabove 6V and a gate swing greater than 10V are oftenneeded to avoid faulty turn-on induced by electro-magnetic interference in power switching applications.MOSFETs tend to have more positive thresholds

compared with Schottky gate high-electron-mobilitytransistors (HEMTs). However, the dielectric/semicon-ductor interface in a MOSFET can create a positivefixed charge in trap states, impeding normally-offoperation. Fixed charges can also act as scatteringcenters that reduce the field-effect mobility, increasingon-resistance.The researchers fabricated their MOSFETs (Figure 1)

on a commercial 4-inch AlGaN/GaN-on-silicon wafer

from Enkris Semiconductor (www.enkris.com). Themetal-organic chemical vapor deposition (MOCVD)heterostructure consisted of a 3.5µm GaN buffer, 1nmAlN spacer, 23nm AlGaN barrier, and 2nm GaN cap.The specifications of the two-dimensional electron gas(2DEG) were 1.05x1013/cm2 carrier density, 1500cm2/V-smobility, and 400Ω/square sheet resistance.The ohmic contacts were fabricated before mesa

isolation etching. The 100nm silicon nitride (SiNx) passivation was applied using inductively coupledplasma chemical vapor deposition (ICP-CVD).The SiNx was also used as a hard mask for a two-step

gate recess etching with inductively coupled plasmaand a low-damage digital process. The 5Å digital etchcycle consisted of oxygen plasma oxidation and dilutehydochloric acid rinse for oxide removal.Using 15 cycles of the digital etch resulted in a recess

depth of about 30nm into the heterostructure, around4nm over-etch into the buffer/2DEG layer. The recessroot mean square (RMS) surface roughness was0.54nm, according to atomic force microscopy.The gate stack consisted of 18nm of atomic layer dep-

osition (ALD) aluminium oxide (Al2O3) and nickel/gold.Annealing at 400°C in nitrogen was applied eitherpost-dielectric (PDA) or post-gate (PGA) deposition.The threshold voltage for 1µA/mm current (VTH’)

at 10V drain bias was –1.9V without annealing. Thisnormally-on behavior was shifted to normally-off +2.7V

Technology focus: GaN transistors

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

96

Figure 1. (a) Schematic cross section of normally-off Al2O3/GaN MOSFETs and (b) surface morphology ofrecessed gate region.

Researchers claim record high value of 7.6V for aluminium oxidedielectric gate insulator.

Increasing gallium nitrideMOSFET threshold voltage

Page 97: Download V.11, issue 2, March 2016

with PGA. PDAdevices hadan evenhigher thresh-old of +5.2V.Capacitance–

voltage meas-urements wereconsistent withAl2O3/GaNinterface trapdensities of1.44x1013/cm,5x1012/cm2,and3x1012/cm2 forno annealing,PGA, and PDA,respectively.The team

believes theinterface trapsresult in posi-tive chargesthat shift thethresholddownwards:“The presenceof interfacepositivecharges canbe explainedby two possi-ble scenarios.(1) The GaNsurface ismetal (Ga)-face, the oxy-gen atoms ofAl2O3 attach toGa substituting for N (ON) and forming Ga–O bond atAl2O3/GaN interface due to the low formation energy ofON. The ON is likely to act as a shallow donor in GaNand positively charged (ON+). (2) The Ga danglingbonds (DBs) could be generated during gate recessingand act as the positive charge centers.”The team suggests that the nitrogen from the annealing

more easily reaches the interface if applied post-dielectricrather than post-gate. This nitrogen fixes the ON and DBproblems.A PDA device with 2µm gate length demonstrated a

threshold voltage (VTH) for the linear region of +7.6V(Figure 2). The gate–source and gate–drain distancesand gate width were, respectively, 1.5µm, 5µm, and50µm. The gate had an overhang of 0.5µm on eitherside. The maximum drain current of 355mA/mm was

achieved at +14V gate potential.The researchers comment: “These values are the

highest reported for normally-off GaN devices with VTH

>5V up to date. The device features an excellentpinch-off at VGS of ~+4.6V, and delivers a low off-stateleakage current of 1.8nA/mm at VGS = 0V. The VTH alsoexhibits good stability versus drain bias voltage.”The researchers also point out that the +5.2V value for

the more stringent VTH’ criterion is remarkable. Othergroups with normally-off devices have reported only upto +2.7V for this VTH’ criterion, according to a compari-son table assembled by the team. The same tablegives a maximum VTH of +7.2V for the other groups. http://ieeexplore.ieee.org/xpl/login.jsp?tp=&arnumber=7361977Author: Mike Cooke

Technology focus: GaN transistors

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

97

Figure 2. (a) Measured transfer curves in linear- and log-scale, (b) transfer curves versusdrain bias (VDS), (c) output curves and (d) frequency-dependent capacitance–voltage (C–V)curves of PDA device. Inset: extracted interface state energy levels and corresponding statedensity (Dit). Sweep rate 0.25V/s.

Page 98: Download V.11, issue 2, March 2016

Researchers in South Korea have been develop-ing improved non-alloyed contacts for gallium arsenide (GaAs) complementary

metal-oxide-semiconductor (CMOS) and high-electron-mobility transistors (HEMTs) [Seung-Hwan Kim et al,IEEE Electron Device Letters, 3 February 2016]. Fouruniversities were involved: Korea University, HanyangUniversity, Sungkyunkwan University, and Inha University. Source–drain contacts for GaAs devices are usually

alloyed to reduce contact resistance. However, the hightemperatures involved significantly increase surfaceroughness, and reliable devices then need largesource-drain separations, which blocks attempts toscale to smaller dimensions. Also, surface roughnesscauses problems in gate fabrication.To avoid contact metal alloying, the researchers have

developed a zinc oxide (ZnO) interlayer to avoid metal-induced gap states (MIGS) and sulfur hexafluoride(SF6) plasma passivation to deal with interface traps.The researchers used an n-GaAs wafer doped with

silicon ~2x1018/cm3. The wafer was cleaned to remove organics and native oxides. The passivation of interface trap states consisted of either aqueousammonium sulfide ((NH4)2S) solution treatment orplasma SF6.

Atomic layer deposition (ALD) at 250°C was used toapply zinc oxide from diethyl zinc precursor and water vapor reactant. The non-alloyed metal contactsconsisted of 30nm titanium and 40nm gold, producedthrough electron-beam evaporation.The ZnO interlayer metal-interlayer-semiconductor

(MIS) structure was designed to block MIGS penetration,which induces Fermi pinning to the charge neutralitylevel (CNL), creating a Schottky barrier rather thanohmic contact. ZnO was chosen for its wide bandgapand small conduction band offset to GaAs, comparedwith alternatives such as aluminium oxide, siliconnitride or hafnium dioxide.The SF6 passivation produced 36x higher current than

non-passivated metal-semiconductor (MS) contactsamples without ZnO interlayer (Figure 1). The per-formance was also much better than sulfur passivationfrom (NH4)2S solution. The optimum process time forthe SF6 process was found to be 10 seconds.“These results signify that the proposed passivation

method can effectively reduce the interface trap stateson the GaAs surface,” the researchers write.X-ray photoelectron spectroscopy (XPS) suggested

that 10 seconds was sufficient for the formation ofGa–S passivation bonds, while avoiding a GaF3

Technology focus: GaAs transistors

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

98

Figure 1. (a) Current–voltage characteristics and (b) specific contact resistivity for titanium on SF6-treated n-GaAsas function of plasma process time. Inset in (a) shows schematic of electrical measurements of MS contact.

A zinc oxide interlayer and sulfur hexafluoride have been used toavoid metal-induced gap and interface trap states.

Non-alloyed contacts forgallium arsenide devices

Page 99: Download V.11, issue 2, March 2016

electron tunneling barrier layer with 9.8eV bandgapand large conduction band offset.Atomic force microscopy (AFM) showed that surface

roughness increased negligibly from 0.357nm to0.572nm root-mean-square.The MIS samples with ZnO interlayer and SF6 passi-

vation had currents 4x and 15x that of sulfur- and non-passivated MIS structures, respectively. The optimumthickness of ZnO was found to be 1.3nm. Above this,tunneling resistance becomes significant. The lowestMIS specific contact resistivity (ρc) was ~8x10–6Ω-cm2

for 1.3nm ZnO and 10-second SF6 passivation (Figure 2). The researchers say that this is around a 10–4 reduc-

tion on the non-passivated titanium-GaAs MS contact.They add: “This ρc value is also lower than that of theGe-passivated MIS contact in our previous work.” The MIS contact with SF6 also had almost the same

electrical characteristics after annealing at 450°C fortwo hours. http://ieeexplore.ieee.org/xpl/login.jsp?tp=&arnumber=7397921Author: Mike Cooke

Technology focus: GaAs transistors

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

99

Figure 2. (a) Current–voltage characteristics and (b) specific contact resistivity for MS contact and MIScontacts. Insets in (a) show the band diagram of MIS contact (left) and schematic of electricalmeasurements of MIS contact (right).

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

Page 100: Download V.11, issue 2, March 2016

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

100

suppliers’ directory

1 Bulk crystal source materials p100

2 Bulk crystal growth equipment p100

3 Substrates p100

4 Epiwafer foundry p101

5 Deposition materials p101

6 Deposition equipment p102

7 Wafer processing materials p102

8 Wafer processing equipment p103

9 Materials and metals p103

10 Gas & liquid handling equipment p103

11 Process monitoring and control p103

12 Inspection equipment p104

13 Characterization equipment p104

14 Chip test equipment p104

15 Assembly/packaging materials p104

16 Assembly/packaging equipment p104

17 Assembly/packaging foundry p104

18 Chip foundry p104

19 Facility equipment p104

20 Facility consumables p104

21 Computer hardware & software p104

22 Used equipment p105

23 Services p105

24 Consulting p105

25 Resources p105

1 Bulk crystal sourcematerials

Mining & Chemical Products Ltd(part of 5N Plus, Inc) 1-4, Nielson Road, Finedon Road Industrial Estate, Wellingborough, Northants NN8 4PE, UK Tel: +44 1933 220626 Fax: +44 1933 227814 www.MCP-group.com

Umicore Indium Products 50 Simms Avenue, Providence, RI 02902, USA Tel: +1 401 456 0800 Fax: +1 401 421 2419 www.thinfilmproducts.umicore.com

United Mineral & Chemical Corp 1100 Valley Brook Avenue, Lyndhurst, NJ 07071, USA Tel: +1 201 507 3300 Fax: +1 201 507 1506 www.umccorp.com

2 Bulk crystal growthequipment

MR Semicon Inc PO Box 91687, Albuquerque, NM 87199-1687, USA Tel: +1 505 899 8183 Fax: +1 505 899 8172 www.mrsemicon.com

3 Substrates

AXT Inc 4281 Technology Drive, Fremont, CA 94538, USA Tel: +1 510 438 4700Fax: +1 510 683 5901 www.axt.com Supplies GaAs, InP, and Ge wafersusing VGF technology withmanufacturing facilities in Beijingand five joint ventures in Chinaproducing raw materials, includingGa, As, Ge, pBN, B2O3.

CrystAl-N GmbHDr.-Mack-Straße 77, D-90762Fürth, GermanyTel: +49 (0)911 650 78 650 90Fax: +49 (0)911 650 78 650 93E-mail: [email protected] www.crystal-n.com

Crystal IS Inc70 Cohoes AvenueGreen Island, NY 12183, USATel: +1 518 271 7375Fax: +1 518 271 7394www.crystal-is.com

Freiberger Compound Materials Am Junger Loewe Schacht 5, Freiberg, 09599, Germany Tel: +49 3731 280 0 Fax: +49 3731 280 106 www.fcm-germany.com

Kyma Technologies Inc 8829 Midway West Road, Raleigh, NC, USA Tel: +1 919 789 8880 Fax: +1 919 789 8881 www.kymatech.com

Index

To have your company listed in this directory, e-mail details (including categories) to [email protected]: advertisers receive a free listing. For all other companies, a charge is applicable.

Page 101: Download V.11, issue 2, March 2016

Suppliers’ Directory

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

101

MARUWA CO LTD 3-83, Minamihonjigahara-cho,Owariasahi, Aichi 488-0044, JapanTel: +81 572 52 2317www.maruwa-g.com/e/products/ceramic

MARUWA is a global supplier ofceramic substrates and wafers madeof aluminium nitride (AlN), alumina(Al2O3), ZTA and silicon nitride(Si3N4). Products meet requiredproperties such as high thermalconductivity and bending strengthfor power devices, especiallywafers are suitable as a bondingwafer (GaN, SOI) for epi wafers.

sp3 Diamond Technologies2220 Martin Avenue, Santa Clara, CA 95050, USA Tel: +1 877 773 9940Fax: +1 408 492 0633www.sp3inc.com

Sumitomo ElectricSemiconductor Materials Inc 7230 NW Evergreen Parkway, Hillsboro, OR 97124, USA Tel: +1 503 693 3100 x207 Fax: +1 503 693 8275 www.sesmi.com

III/V-ReclaimWald 10, 84568 Pleiskirchen, Germany Tel: +49 8728 911 093Fax: +49 8728 911 156www.35reclaim.deIII/V-Reclaim offers reclaim(recycling) of GaAs and InP wafers,removing all kinds of layers andstructures from customers’ wafers.All formats and sizes can behandled. The firm offers single-sideand double-side-polishing andready-to-use surface treatment.

Umicore Electro-Optic MaterialsWatertorenstraat 33, B-2250 Olen, BelgiumTel: +32-14 24 53 67Fax: +32-14 24 58 00www.substrates.umicore.com

Wafer World Inc1100 Technology Place, Suite 104,West Palm Beach, FL 33407, USATel: +1-561-842-4441Fax: +1-561-842-2677E-mail: [email protected]

4 Epiwafer foundry

Spire Semiconductor LLC 25 Sagamore Park Drive, Hudson, NH 03051, USA Tel: +1 603 595 8900 Fax: +1 603 595 0975 www.spirecorp.com

Albemarle Cambridge Chemical LtdUnit 5 Chesterton Mills, French’s Road, Cambridge CB4 3NP, UKTel: +44 (0)1223 352244Fax: +44 (0)1223 352444www.camchem.co.uk

Intelligent Epitaxy Technology Inc 1250 E Collins Blvd, Richardson, TX 75081-2401, USATel: +1 972 234 0068Fax: +1 972 234 0069www.intelliepi.com

IQE Cypress Drive, St Mellons, CardiffCF3 0EG, UK Tel: +44 29 2083 9400 Fax: +44 29 2083 9401 www.iqep.com IQE is a leading global supplier ofadvanced epiwafers, with productscovering a diverse range ofapplications within the wireless,optoelectronic, photovoltaic andelectronic markets.

OMMIC 2, Chemin du Moulin B.P. 11, Limeil-Brevannes, 94453, France Tel: +33 1 45 10 67 31 Fax: +33 1 45 10 69 53 www.ommic.fr

SoitecPlace Marcel Rebuffat, Parc deVillejust, 91971 Courtabouef, France Tel: +33 (0)1 69 31 61 30 Fax: +33 (0)1 69 31 61 79www.picogiga.com

5 Depositionmaterials

Akzo Nobel High Purity Metalorganics www.akzonobel.com/hpmo Asia Pacific: Akzo Nobel (Asia) Co Ltd,Shanghai, ChinaTel. +86 21 2216 3600Fax: +86 21 3360 [email protected] Americas: AkzoNobel Functional Chemicals,Chicago, USA Tel. +31 800 828 7929 (US only)Tel: +1 312 544 7000Fax: +1 312 544 [email protected] Europe, Middle East and Africa: AkzoNobel Functional Chemicals,Amersfoort, The NetherlandsTel. +31 33 467 6656Fax: +31 33 467 [email protected]

Cambridge Chemical Company LtdUnit 5 Chesterton Mills, French’s Road, Cambridge CB4 3NP, UKTel: +44 (0)1223 352244Fax: +44 (0)1223 352444www.camchem.co.uk

Dow Electronic Materials 60 Willow Street, North Andover, MA 01845, USA Tel: +1 978 557 1700 Fax: +1 978 557 1701 www.metalorganics.com

Matheson Tri-Gas6775 Central Avenue, Newark, CA 94560, USA

Page 102: Download V.11, issue 2, March 2016

Tel: +1 510 793 2559Fax: +1 510 790 6241www.mathesontrigas.com

Mining & Chemical Products Ltd (see section 1 for full contact details)

Praxair Electronics 542 Route 303, Orangeburg, NY 10962, USA Tel: +1 845 398 8242 Fax: +1 845 398 8304 www.praxair.com/electronics

SAFC HitechPower Road, Bromborough, Wirral, Merseyside CH62 3QF, UKTel: +44 151 334 2774Fax: +44 151 334 6422www.safchitech.com

Materion Advanced MaterialsGroup2978 Main Street, Buffalo, NY 14214, USATel: +1 716 837 1000Fax: +1 716 833 2926www.williams-adv.com

6 Depositionequipment

AIXTRON SEDornkaulstr. 2, 52134 Herzogenrath, Germany Tel: +49 2407 9030 0 Fax: +49 2407 9030 40 www.aixtron.com

AIXTRON is a leading provider ofdeposition equipment to thesemiconductor industry. Thecompany’s technology solutions areused by a diverse range of customersworldwide to build advancedcomponents for electronic andoptoelectronic applications(photonic) based on compound,silicon, or organic semiconductormaterials and, more recently,carbon nanotubes (CNT), grapheneand other nanomaterials.

Evatec AG Hauptstrasse 1a, CH-9477 Trübbach, Switzerland Tel: +41 81 403 8000Fax: +41 81 403 8001www.evatecnet.com

Ferrotec-Temescal 4569-C Las Positas Rd, Livermore, CA 94551, USA Tel: +1 925 245 5817 Fax: +1 925 449-4096www.temescal.net Temescal, the expert inmetallization systems for theprocessing of compoundsemiconductor-based substrates,provides the finest evaporationsystems available. Multi-layercoatings of materials such as Ti, Pt, Au, Pd, Ag, NiCr, Al, Cr, Cu,Mo, Nb, SiO2, with high uniformityare guaranteed. Today the world’smost sophisticated handsets,optical, wireless and telecomsystems rely on millions of devices that are made usingTemescal deposition systems andcomponents.

Oxford Instruments Plasma Technology North End, Yatton, Bristol, Avon BS49 4AP, UK Tel: +44 1934 837 000 Fax: +44 1934 837 001 www.oxford-instruments.co.uk We provide flexible tools and processes for precise materials deposition,etching and controlled nanostructuregrowth. Core technologies includeplasma and ion-beam depositionand etch and ALD.

Plasma-Therm LLC10050 16th Street North, St. Petersburg, FL 33716, USA Tel: +1 727 577 4999 Fax: +1 727 577 7035 www.plasmatherm.com www.plasmatherm.com

Plasma-Therm, LLC is an establishedleading provider of advancedplasma processing equipment forthe semiconductor industry andrelated specialty markets.

Riber 31 rue Casimir Périer, BP 70083,95873 Bezons Cedex, France Tel: +33 (0) 1 39 96 65 00Fax: +33 (0) 1 39 47 45 62www.riber.com

SVT Associates Inc7620 Executive Drive, Eden Prairie, MN 55344, USATel: +1 952 934 2100Fax: +1 952 934 2737www.svta.com

Veeco Instruments Inc 100 Sunnyside Blvd., Woodbury, NY 11797, USA Tel: +1 516 677 0200 Fax: +1 516 714 1231 www.veeco.com

Veeco is a world-leading supplier ofcompound semiconductor equipment,and the only company offering bothMOCVD and MBE solutions. Withcomplementary AFM technology andthe industry’s most advanced ProcessIntegration Center, Veeco tools helpgrow and measure nanoscale devicesin worldwide LED/wireless, datastorage, semiconductor and scientificresearch markets—offering importantchoices, delivering ideal solutions.

7 Wafer processingmaterials

Air Products and Chemicals Inc 7201 Hamilton Blvd., Allentown, PA 18195, USA Tel: +1 610 481 4911 www.airproducts.com/compound

MicroChem Corp1254 Chestnut St. Newton, MA 02464, USA Tel: +1 617 965 5511Fax: +1 617 965 5818www.microchem.com

Suppliers’ Directory

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

102

Page 103: Download V.11, issue 2, March 2016

Praxair Electronics (see section 5 for full contact details)

8 Wafer processingequipment

EV GroupDI Erich Thallner Strasse 1, St. Florian/Inn, 4782, Austria Tel: +43 7712 5311 0 Fax: +43 7712 5311 4600 www.EVGroup.comTechnology and market leader for wafer processing equipment. Worldwide industry standards foraligned wafer bonding, resistprocessing for the MEMS, nano andsemiconductor industry.

Logitech LtdErskine Ferry Road, Old Kilpatrick, near Glasgow G60 5EU, Scotland, UKTel: +44 (0) 1389 875 444Fax: +44 (0) 1389 879 042www.logitech.uk.com

Oxford Instruments Plasma Technology (see section 6 for full contact details)

Plasma-Therm LLC(see section 6 for full contact details)

SAMCO International Inc 532 Weddell Drive, Sunnyvale, CA, USA Tel: +1 408 734 0459 Fax: +1 408 734 0961 www.samcointl.com

SPTS Technology Ltd Ringland Way, Newport NP18 2TA, UK Tel: +44 (0)1633 414000 Fax: +44 (0)1633 414141 www.spts.com

SUSS MicroTec AGSchleißheimer Strasse 90, 85748 Garching,

Germany Tel: +49 89 32007 0 Fax: +49 89 32007 162 www.suss.com

Veeco Instruments Inc (see section 6 for full contact details)

9 Materials & metals

Goodfellow Cambridge LtdErmine Business Park, Huntingdon, Cambridgeshire PE29 6WR, UKTel: +44 (0) 1480 424800Fax: +44 (0) 1480 424900www.goodfellow.com

Goodfellow supplies smallquantities of metals and materialsfor research, development,prototyping and specialisedmanufacturing operations.

10 Gas and liquidhandling equipment

Air Products and Chemicals Inc (see section 7 for full contact details)

Cambridge Fluid Systems12 Trafalgar Way, Bar Hill, Cambridge CB3 8SQ, UK Tel: +44 (0)1954 786800 Fax: +44 (0)1954 786818 www.cambridge-fluid.com

CS CLEAN SYSTEMS AGFraunhoferstrasse 4, Ismaning, 85737, Germany Tel: +49 89 96 24 00 0 Fax: +49 89 96 24 00 122 www.cscleansystems.com

SAES Pure Gas Inc 4175 Santa Fe Road, San Luis Obispo, CA 93401, USA Tel: +1 805 541 9299 Fax: +1 805 541 9399 www.saesgetters.com

11 Process monitoringand control

k-Space Associates 2182 Bishop Circle East, Dexter, MI 48130, USA Tel: +1 734 426 7977 Fax: +1 734 426 7955www.k-space.com k-Space Associates Inc specializes inin-situ, real-time thin-film processmonitoring tools for MBE, MOCVD,PVD, and thermal evaporation.Applications and materials includethe research and production linemonitoring of compoundsemiconductor-based electronic,optoelectronic, and photovoltaicdevices.

KLA-Tencor One Technology Dr, 1-2221I, Milpitas, CA 95035, USA Tel: +1 408 875 3000 Fax: +1 408 875 4144www.kla-tencor.com

LayTec AG Seesener Str. 10–13,10709 Berlin,Germany Tel: +49 30 89 00 55 0 Fax: +49 30 89 00 180 www.laytec.de LayTec develops and manufacturesoptical in-situ and in-line metrologysystems for thin-film processeswith particular focus on compoundsemiconductor and photovoltaicapplications. Its know-how is based on optical techniques:reflectometry, emissivity correctedpyrometry, curvaturemeasurements and reflectanceanisotropy spectroscopy.

WEP (Ingenieurbüro Wolff für Elektronik- undProgrammentwicklungen) Bregstrasse 90, D-78120Furtwangen im Schwarzwald, GermanyTel: +49 7723 9197 0 Fax: +49 7723 9197 22 www.wepcontrol.com

Suppliers’ Directory

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

103

Inc

Page 104: Download V.11, issue 2, March 2016

Suppliers’ Directory

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

104

12 Inspection equipment

Bruker AXS GmbH Oestliche Rheinbrueckenstrasse 49, Karlsruhe, 76187, Germany Tel: +49 (0)721 595 2888 Fax: +49 (0)721 595 4587 www.bruker-axs.de

13 Characterizationequipment

J.A. Woollam Co. Inc. 645 M Street Suite 102, Lincoln, NE 68508, USA Tel: +1 402 477 7501 Fax: +1 402 477 8214 www.jawoollam.com

Lake Shore Cryotronics Inc 575 McCorkle Boulevard, Westerville, OH 43082, USA Tel: +1 614 891 2244 Fax: +1 614 818 1600 www.lakeshore.com

14 Chip test equipment

Keithley Instruments Inc28775 Aurora Road, Cleveland, OH 44139, USA Tel: +1 440.248.0400 Fax: +1 440.248.6168 www.keithley.com

15 Assembly/packagingmaterials

ePAK International Inc 4926 Spicewood Springs Road, Austin, TX 78759, USA Tel: +1 512 231 8083 Fax: +1 512 231 8183 www.epak.com

Gel-Pak 31398 Huntwood Avenue, Hayward, CA 94544, USA Tel: +1 510 576 2220Fax: +1 510 576 2282www.gelpak.com

Wafer World Inc (see section 3 for full contact details)

Materion Advanced MaterialsGroup2978 Main Street, Buffalo, NY 14214, USATel: +1 716 837 1000Fax: +1 716 833 2926www.williams-adv.com

16 Assembly/packagingequipment

Ismeca Europe Semiconductor SAHelvetie 283, La Chaux-de-Fonds,2301, Switzerland Tel: +41 329257111 Fax: +41 329257115 www.ismeca.com

Kulicke & Soffa Industries1005 Virginia Drive, Fort Washington, PA 19034, USA Tel: +1 215 784 6000Fax: +1 215 784 6001 www.kns.com

Palomar Technologies Inc 2728 Loker Avenue West, Carlsbad, CA 92010, USA Tel: +1 760 931 3600 Fax: +1 760 931 5191 www.PalomarTechnologies.com

TECDIA Inc 2700 Augustine Drive, Suite 110,Santa Clara, CA 95054, USATel: +1 408 748 0100Fax: +1 408 748 0111www.tecdia.com

17 Assembly/packagingfoundry

Quik-Pak10987 Via Frontera, San Diego, CA 92127, USA Tel: +1 858 674 4676 Fax: +1 8586 74 4681 www.quikicpak.com

18 Chip foundry

Compound SemiconductorTechnologies Ltd Block 7, Kelvin Campus, West of Scotland, Glasgow, Scotland G20 0TH, UK Tel: +44 141 579 3000 Fax: +44 141 579 3040 www.compoundsemi.co.uk

United Monolithic Semiconductors Route departementale 128, BP46, Orsay, 91401, France Tel: +33 1 69 33 04 72 Fax: +33 169 33 02 92 www.ums-gaas.com

19 Facility equipment

MEI, LLC3474 18th Avenue SE, Albany, OR 97322-7014, USA Tel: +1 541 917 3626Fax: +1 541 917 3623www.marlerenterprises.net

20 Facility consumables

W.L. Gore & Associates401 Airport Rd, Elkton, MD 21921-4236, USA Tel: +1 410 392 4440Fax: +1 410 506 8749www.gore.com

21 Computer hardware& software

Ansoft Corp4 Station Square, Suite 200, Pittsburgh, PA 15219, USATel: +1 412 261 3200 Fax: +1 412 471 9427 www.ansoft.com

Crosslight Software Inc121-3989 Henning Dr., Burnaby, BC, V5C 6P8, Canada Tel: +1 604 320 1704Fax: +1 604 320 1734www.crosslight.com

Page 105: Download V.11, issue 2, March 2016

Semiconductor TechnologyResearch Inc10404 Patterson Ave., Suite 108,Richmond, VA 23238, USATel: +1 804 740 8314Fax: +1 804 740 3814www.semitech.us

22 Used equipment

Class One Equipment Inc 5302 Snapfinger Woods Drive, Decatur, GA 30035, USATel: +1 770 808 8708Fax: +1 770 808 8308www.ClassOneEquipment.com

23 Services

Henry Butcher InternationalBrownlow House, 50–51

High Holborn, London WC1V 6EG, UK Tel: +44 (0)20 7405 8411 Fax: +44 (0)20 7405 9772 www.henrybutcher.com

M+W Zander Holding AGLotterbergstrasse 30, Stuttgart, Germany Tel: +49 711 8804 1141 Fax: +49 711 8804 1950 www.mw-zander.com

24 Consulting

Fishbone Consulting SARL8 Rue de la Grange aux Moines, 78460 Choisel, France Tel: + 33 (0)1 30 47 29 03E-mail: [email protected]

25 Resources

Al Shultz Advertising Marketing for AdvancedTechnology Companies 1346 The Alameda, 7140 San Jose, CA 95126, USA Tel: +1 408 289 9555 www.alshuktz.com

SEMI Global Headquarters3081 Zanker Road, San Jose, CA 95134, USATel: +1 408 943 6900Fax: +1 408 428 9600www.semi.org

Yole Développement 45 rue Sainte Geneviève, 69006 Lyon, France Tel: +33 472 83 01 86www.yole.fr

REGISTERfor Semiconductor Today

free at

www.semiconductor-today.com

Suppliers’ Directory

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

105

Page 106: Download V.11, issue 2, March 2016

4–7 April 2016SPIE Photonics Europe 2016SQUARE Brussels Meeting Centre, Brussels, Belgium E-mail: [email protected] http://spie.org/SPIE-PHOTONICS-EUROPE-conference

7 April 2016 2D Materials Processing Technology Workshop Alan Turing Building — National Graphene Centre,University of Manchester, UK www.oxford-instruments.com/2dworkshop

13–16 April 2016LED Taiwan 2016 and Taiwan International Lighting Show Taiwan World Trade Center, Taipei, Taiwan E-mail: [email protected] www.ledtaiwan.org

17–21 April 2016SPIE Defense + Commercial Sensing 2016(DCS), incorporating: SPIE Defense + Security (Conference onSensors, Imaging, and Optics) SPIE Commercial + Scientific Sensing andImaging (Conference on AdvancedTechnologies and Applications)Baltimore Convention Center, Baltimore, MD, USA E-mail: [email protected] http://spie.org/SPIE-DCS-conference http://spie.org/SPIE-DCS-Defense+Security http://spie.org/SPIE-DCS-Commercial-Sensing

25–27 April 201612th International Conference onConcentrator Photovoltaics (CPV-12) Freiburg, GermanyE-mail: [email protected] www.cpv-12.org

26–28 April 2016 SEMICON Southeast Asia (SEMICON SEA) Penang, Malaysia E-mail: [email protected] www.semiconsea.org

6 May 2016 31st annual Reliability Of CompoundSemiconductors (ROCS) Workshop 2016 Hyatt Regency Miami, FL, USA E-mail: [email protected] www.jedec.org/home/gaas

16–19 May 20162016 CS MANTECH (InternationalConference on Compound SemiconductorManufacturing Technology) Hyatt Regency Miami, FL, USA E-mail: [email protected] www.csmantech.org

24–25 May 2016 Imec Technology Forum Brussels (ITF2016 Brussels) SQUARE Brussels Meeting Centre, Belgium E-mail: [email protected] www.itf2016.be/brussels

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016 www.semiconductor-today.com

106

event calendarIf you would like your event listed in Semiconductor Today’s Event Calendar,then please e-mail all details to the Editor at [email protected]

Aixtron SE 5CS Clean Systems 41CS Mantech 65Evatec 49Ferrotec-Temescal 57Fuji Electric 29

IQE 31RIFF Company 61Veeco Instruments — MBE 17Veeco Instruments — MOCVD 2Wafer World 37

Advertiser Page no. Advertiser Page no.

advertisers’ index

Page 107: Download V.11, issue 2, March 2016

5–10 June 2016 CLEO 2016: Conference on Lasers and Electro-Optics San Jose Convention Center, CA, USA E-mail: [email protected] www.cleoconference.org

7–9 June 2016 SEMICON Russia 2016 Moscow, Russia E-mail: [email protected] www.semiconrussia.org

13–17 June 2016 2016 Symposia on VLSI Technology & Circuits Hilton Hawaiian Village, Honolulu, HI, USA E-mail: [email protected] www.vlsisymposium.org

22–24 June 2016 Intersolar Europe Messe München, GermanyE-mail: [email protected]

26–30 June 2016 Compound Semiconductor Week 2016(CSW2016), including: 43rd International Symposium onCompound Semiconductors (ISCS2016); 28th International Conference on IndiumPhosphide and Related Materials (IPRM2016) Toyama International Conference Center, Japan E-mail: [email protected]

10–15 July 2016

18th International Conference on Metal OrganicVapor Phase Epitaxy (ICMOVPE XVIII) Sheraton San Diego Hotel & Marina, CA, USAE-mail: [email protected] www.mrs.org/icmovpe-xviii

11 July 2016 ITF2016 USA San Francisco Marriott Marquis, CA, USAE-mail: [email protected] www.itf2016.be/page.aspx/2217

11–13 July 2016 IEEE Photonics Society’s 2016 SummerTopicals Meeting Series – ‘EmergingTechnologies for Green Photonics’ Newport Beach Marriott Hotel, CA, USA E-mail: [email protected] www.sum-ieee.org

11–14 July 2016 Intersolar North America (co-located withees North America and SEMICON West) San Francisco, CA, USA E-mail: [email protected] www.intersolar.us

12–14 July 2016SEMICON West 2016 Moscone Center, San Francisco, CA, USA E-mail: [email protected] www.semiconwest.org

28 August – 1 September 2016 SPIE Optics + Photonics 2016 San Diego Convention Center, CA, USAE-mail: [email protected] http://spie.org/optics-photonics1

6–7 September 2016 2nd International Forum on SapphireMarket & Technologies E-mail: [email protected] www.i-micronews.com/events/yole-events/eventdetail/142/-/2nd-int-forum-on-sapphire-market-technologies.html alongside: 6–9 September 2016 18th China International OptoelectronicExposition (CIOE 2016) Shenzhen Convention & Exhibition Center (SZCEC), China E-mail: [email protected] www.cioe.cn/en

7–9 September 2016SEMICON Taiwan 2016 Taipei Nangang Exhibition Center, Taiwan E-mail: [email protected] www.semicontaiwan.org

12–15 September 2016 25th International Semiconductor LaserConference (ISLC 2016) Kobe Meriken Park Oriental Hotel, Kobe, Japan E-mail: [email protected]

19–22 September 2016 LED China 2016 Shanghai New International Expo Centre (SNIEC), China E-mail: [email protected]

2–6 October 2016 29th IEEE Photonics Conference (IPC 2016 )Waikoloa, Hawaii, USA E-mail: [email protected] www.ipc-ieee.org

Event Calendar

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 2 • March 2016

107

Page 108: Download V.11, issue 2, March 2016

Choose Semiconductor Today for . . .

MAGAZINE

Accurate and timely coverage of the compound semiconductor and advanced silicon industries

Published 10 times a year and delivered by e-mail and RSS feeds

Targeted 41,000+ international circulation

WEB SITE

Average of over 19,700 unique visitors to the site each month

Google-listed news source

Daily news updates and regular feature articles

E-BRIEF

Weekly round-up of key business and technical news

E-mail delivery to entire circulation

Banner and text marketing opportunities available

www.semiconductor-today.com

Follow us on Twitter: Semiconductor_T

Join our LinkedIn group: Semiconductor Today

semiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O NVol.7 • Issue 2 • March/April 2012 www.semiconductor-today.com

Graphensic spun off •Emcore sells VCSEL range to Sumitomo Masimo buys Spire Semiconductor•Oclaro and Opnext merge

ISSN 1752-2935 (online)

Efficiency droop in nitride & phosphide LEDsFirst single-crystal gallium oxide FET

Efficiency droop in nitride & phosphide LEDsFirst single-crystal gallium oxide FET