Design Planning for Partial Reconfiguration · Design Planning for Partial Reconfiguration 4...
Transcript of Design Planning for Partial Reconfiguration · Design Planning for Partial Reconfiguration 4...
4Design Planning for Partial Reconfiguration
2013.11.04
QII51026 Subscribe Send Feedback
The Partial Reconfiguration (PR) feature in the Quartus II software allows you to reconfigure a portion ofthe FPGA dynamically, while the remainder of the device continues to operate. The Quartus II softwaresupports the PR feature for the Altera® Stratix® V device family.
This chapter assumes a basic knowledge of Altera’s FPGA design flow, incremental compilation, andLogicLock™ region features available in the Quartus II software. It also assumes knowledge of the internalFPGA resources such as logic array blocks (LABs), memory logic array blocks (MLABs), memory types(RAM and ROM), DSP blocks, clock networks.
For assistance with support for partial reconfiguration with the Arria® V or Cyclone® V devicefamilies, file a service request at mySupport using the link below.
Note:
Related Information
• mySupport
• Terminology on page 4-1
• An Example of a Partial Reconfiguration Design on page 4-4
• Partial Reconfiguration Design Flow on page 4-6
• Implementation Details for Partial Reconfiguration on page 4-19
• Partial Reconfiguration with an External Host on page 4-25
• Partial Reconfiguration with an Internal Host on page 4-27
• Partial Reconfiguration Project Management on page 4-28
• Programming Files for a Partial Reconfiguration Project on page 4-30
• Partial Reconfiguration Known Limitations on page 4-35
TerminologyThe following terms are commonly used in this chapter.
project: A Quartus II project contains the design files, settings, and constraints files required for thecompilation of your design.
ISO9001:2008Registered
© 2013 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS and STRATIX wordsand logos are trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All otherwords and logos identified as trademarks or service marks are the property of their respective holders as described atwww.altera.com/common/legal.html. Altera warrants performance of its semiconductor products to current specifications in accordance withAltera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumesno responsibility or liability arising out of the application or use of any information, product, or service described herein except as expresslyagreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any publishedinformation and before placing orders for products or services.
www.altera.com
101 Innovation Drive, San Jose, CA 95134
revision: In the Quartus II software, a revision is a set of assignments and settings for one version of yourdesign. A Quartus II project can have several revisions, and each revision has its own set of assignments andsettings. A revision helps you to organize several versions of your design into a single project.
incremental compilation: This is a feature of the Quartus II software that allows you to preserve results ofprevious compilations of unchanged parts of the design, while changing the implementation of the parts ofyour design that you have modified since your previous compilation of the project. The key benefits includetiming preservation and compile time reduction by only compiling the logic that has changed.
partition: You can partition your design along logical hierarchical boundaries. Each design partition isindependently synthesized and then merged into a complete netlist for further stages of compilation. Withthe Quartus II incremental compilation flow, you can preserve results of unchanged partitions at specificpreservation levels. For example, you can set the preservation levels at post-synthesis or post-fit, for iterativecompilations in which some part of the design is changed. A partition is only a logical partition of the design,and does not necessarily refer to a physical location on the device. However, you may associate a partitionwith a specific area of the FPGA by using a floorplan assignment.
For more information on design partitions, refer to the Best Practices for Incremental Compilation PartitionsandFloorplan Assignments chapter in the Quartus II Handbook.
LogicLock region: A LogicLock region constrains the placement of logic in your design. You can associatea design partition with a LogicLock region to constrain the placement of the logic in the partition to a specificphysical area of the FPGA.
For more information about LogicLock regions, refer to the Analyzing and Optimizing the Design Floorplanwith the Chip Planner chapter in the Quartus II Handbook.
PR project: Any Quartus II design project that uses the PR feature.
PR region: A design partition with an associated contiguous LogicLock region in a PR project. A PR projectcan have one or more PR regions that can be partially reconfigured independently. A PR region may alsobe referred to as a PR partition.
static region: The region outside of all the PR regions in a PR project that cannot be reprogrammed withpartial reconfiguration (unless you reprogram the entire FPGA). This region is called the static region, orfixed region.
persona: A PR region has multiple implementations. Each implementation is called a persona. PR regionscan have multiple personas. In contrast, static regions have a single implementation or persona.
PR control block: Dedicated block in the FPGA that processes the PR requests, handshake protocols, andverifies the CRC.
Related Information
• Best Practices for Incremental Compilation Partitions and Floorplan Assignments
• Analyzing and Optimizing the Design Floorplan with the Chip Planner
Determining Resources for Partial ReconfigurationYou can use partial reconfiguration to configure only the resources such as LABs, embedded memory blocks,and DSP blocks in the FPGA core fabric that are controlled by configuration RAM (CRAM).
Design Planning for Partial ReconfigurationAltera Corporation
Send Feedback
QII51026Determining Resources for Partial Reconfiguration4-2 2013.11.04
The functions in the periphery, such as GPIOs or I/O Registers, are controlled by I/O configuration bits andtherefore cannot be partially reconfigured. Clock multiplexers for GCLK and QCLK are also not partiallyreconfigurable because they are controlled by I/O periphery bits.
Figure 4-1: Partially Reconfigurable Resources
These are the types of resource blocks in a Stratix V device.
I/O, I/O Registers & Part-Hard Memory PHY
Transceivers,PCIe HIP
I/O, I/O Registers & Part-Hard Memory PHY
Transceivers,PCIe HIP
CoreFabric
PLLCLK
PLLCLK
Periphery Core Fabric
Table 4-1: Reconfiguration Modes of the FPGA Resource Block
The following table describes the reconfiguration type supported by each FPGA resource block, which are shown inthe figure.
Reconfiguration ModeHardware Resource Block
Partial ReconfigurationLogic Block
Partial ReconfigurationDigital Signal Processing
Partial ReconfigurationMemory Block
Dynamic Reconfiguration ALTGX_ReconfigTransceivers
Dynamic Reconfiguration ALTGX_ReconfigPLL
Partial ReconfigurationCore Routing
Clock network sources cannot be changed, but a PLLdriving a clock network can be dynamically reconfig-ured
Clock Networks
Not supportedI/O Blocks and Other Periphery
Altera CorporationDesign Planning for Partial Reconfiguration
Send Feedback
4-3Determining Resources for Partial ReconfigurationQII510262013.11.04
The transceivers and PLLs in Altera FPGAs can be reconfigured using dynamic reconfiguration. For moreinformation on dynamic reconfiguration, refer to the Dynamic Reconfiguration in Stratix V Devices chapterin the Stratix V Handbook.
Related InformationDynamic Reconfiguration in Stratix V Devices
An Example of a Partial Reconfiguration DesignA PR design is divided into two parts. The static region where the design logic does not change, and one ormore PR regions.
Each PR region can have different design personas, that change with partial reconfiguration.
PR Region A has three personas associated with it; A1, A2, and A3. PR Region B has two personas; B1 andB2. Each persona for the two PR regions can implement different application specific logic, and using partialreconfiguration, the persona for each PR region can be modified without interrupting the operation of thedevice in the static or other PR region.
When a region can access more than one persona, you must create control logic to swap between personasfor a PR region.
Figure 4-2: Partial Reconfiguration Project Structure
The following figure shows the top-level of a PR design, which includes a static region and two PR regions.
Chip_top
PR Region A
PR Region B
PR Module A1
PR Module A2
PR Module A3
PR Module B1
PR Module B2
StaticRegion
Partial Reconfiguration ModesWhen you implement a design on an Altera FPGA device, your design implementation is controlled by bitsstored in CRAM inside the FPGA.
You can use partial reconfiguration in the SCRUB mode or the AND/OR mode. The mode you select affectsyour PR flow in ways detailed later in this chapter.
TheCRAMbits control individual LABs,MLABs,M20Kmemory blocks,DSPblocks, and routingmultiplexersin a design. The CRAM bits are organized into a frame structure representing vertical areas that correspondto specific locations on the FPGA. If you change a design and reconfigure the FPGA in a non-PR flow, theprocess reloads all the CRAM bits to a new functionality.
Configuration bitstreams used in a non-PR flow are different than those used in a PR flow. In addition tostandard data and CRC check bits, configuration bitstreams for partial reconfiguration also includeinstructions that direct the PR control block to process the data for partial reconfiguration.
Design Planning for Partial ReconfigurationAltera Corporation
Send Feedback
QII51026An Example of a Partial Reconfiguration Design4-4 2013.11.04
The configuration bitstream written into the CRAM is organized into configuration frames. If a LAB columnpasses through multiple PR regions, those regions share some programming frames.
SCRUB ModeIn the SCRUB mode, the unchanging CRAM bits from the static region are "scrubbed" back to their originalvalues. They are neither erased nor reset.
The static regions controlled by the CRAMbits from the same programming frame as the PR region continueto operate. All the CRAM bits corresponding to a PR region are overwritten with new data, regardless ofwhat was previously contained in the region.
The SCRUB mode of partial reconfiguration involves re-writing all the bits in an entire LAB column of theCRAM, including bits controlling any PR regions above or below the region being reconfigured. As a result,it is not currently possible to correctly determine the bits associated with a PR region above or below theregion being reconfigured, because those bits could have already been reconfigured and changed to anunknown value. This restriction does not apply to static bits above or below the PR region, since those bitsnever change and you can rewrite them with the same value as the current state of the configuration bit. Youcannot use the SCRUB mode when two PR regions have a vertically overlapping column in the device.
The advantage of using the SCRUBmode is that the programming file size ismuch smaller than theAND/ORmode.
Figure 4-3: SCRUB Mode
This is the floorplan of a FPGA using SCRUB mode, with two PR regions, whose columns do not overlap.
PR1Region
Programming Frame(s)(No Vertical Overlap)
PR2Region
AND/OR ModeTheAND/ORmode refers to how the bits are rewritten. Partial reconfigurationwithAND/ORuses a two-passmethod.
Simplistically, this can be compared to bits beingANDedwith aMASK, andORedwith new values, allowingmultiple PR regions to vertically overlap a single column. In the first pass, all the bits in the CRAM framefor a column passing through a PR region are ANDed with 0's while those outside the PR region are ANDedwith 1's. After the first pass, all the CRAM bits corresponding to the PR region are reset without modifyingthe static region. In the second pass for each CRAM frame, new data is ORed with the current value of 0inside the PR region, and in the static region, the bits are ORed with 0's so they remain unchanged. Theprogramming file size of a PR region using the AND/OR mode could be twice the programming file size ofthe same PR region using SCRUB mode.
Altera CorporationDesign Planning for Partial Reconfiguration
Send Feedback
4-5SCRUB ModeQII510262013.11.04
Figure 4-4: AND/OR Mode
This is the floorplan of a FPGA using AND/OR mode, with two PR regions, with columns that overlap.
PR1Region
Programming Frame(s)(Vertical Overlap)
PR2Region
If you have overlapping PR regions in your design, you must use AND/OR mode to program all PRregions, including PR regions with no overlap. The Quartus II software will not permit the use of
Note:
SCRUB mode when there are overlapping regions. If none of your regions overlap, you can useAND/OR, SCRUB, or a mixture of both.
Programming File Sizes for a Partial Reconfiguration ProjectThe programming file size for a partial reconfiguration is proportional to the area of the PR region.
The programming file size for a partial reconfiguration is proportional to the area of the PR region. A partialreconfiguration programming bitstream for AND/OR mode makes two passes on the PR region; the firstpass clears all relevant bits, and the second pass sets the necessary bits. Due to this two-pass sequence, thesize of a partial bitstream can be larger than a full FPGA programming bitstream depending on the size ofthe PR region.
When using the AND/OR mode for partial reconfiguration, the formula which describes the approximatefile size within ten percent is:
PR bitstream size = ((Size of region in the horizontal direction) /(fullhorizontal dimension of the part)) * 2 * (size of full bitstream)
The way the Fitter reserves routing for partial reconfiguration increases the effective size for small PR regionsfrom a bitstream perspective. PR bitstream sizes in designs with a single small PR region will not match thefile size computed by this equation.
The PR bitstream size is approximately half of the size computed above when using SCRUB mode.Note:
Partial Reconfiguration Design FlowThe primary building block of partial reconfiguration is the revision. Your initial design is the base revision,where you define the boundaries of the static region and reconfigurable regions on the FPGA. From the baserevision, you create multiple revisions, which contain the static region and describe the differences in thereconfigurable regions.
Design Planning for Partial ReconfigurationAltera Corporation
Send Feedback
QII51026Programming File Sizes for a Partial Reconfiguration Project4-6 2013.11.04
Two types of revisions are specific to partial reconfiguration: reconfigurable and aggregate. Both import thepersona for the static region from the base revision. A reconfigurable revision generates personas for PRregions. An aggregate revision is used to combine personas from multiple reconfigurable revisions to createa complete design suitable for timing analysis.
The design flow for partial reconfiguration also utilizes the Quartus II incremental compilation flow. Totake advantage of incremental compilation for partial reconfiguration, you must organize your design intological and physical partitions for synthesis and fitting. For the PR flow, these partitions are treated as PRregions that must also have associated LogicLock assignments.
Revisions make use of personas, which are subsidiary archives describing the characteristics of both staticand reconfigurable regions, that contain unique logic which implements a specific set of functions toreconfigure a PR region of the FPGA. Partial reconfiguration uses personas to pass this logic from onerevision to another.
Figure 4-5: Partial Reconfiguration Design Flow
Plan Your System for PartialReconfiguration
Identify the Design Blocks Designatedto be Partially Reconfigured
Code the Design Using HDL
Develop the Personas for thePartial Blocks
Simulate the Design Functionality
Functionality isVerified?
yesno
Designate All Partial Block(s) as DesignPartition(s) for the Use with Incremental Compilation
Assign All PR Partition(s) toLogicLock Regions
Create Revisions andCompile the Designfor Each Revision
yes
no
GenerateConfiguration Files
Debug the Timing Failure& Revise the Appropriate Step
Program the Device
Is Timing Metfor Each Revision?
The PR design flow requires more initial planning than a standard design flow. Planning requires settingup the design logic for partitioning, and determining placement assignments to create a floorplan. Well-planned partitions can help improve design area utilization and performance, and make timing closureeasier. You should also decide whether your system requires partial reconfiguration to originate from theFPGA pins or internally, and which mode you are using; the AND/OR mode or the SCRUB mode, becausethis influences some of the planning steps described in this section.
You must structure your source code or design hierarchy to ensure that logic is grouped correctly foroptimization. Implementing the correct logic grouping early in the design cycle is more efficient thanrestructuring the code later. The PR flow requires you to be more rigorous about following good design
Altera CorporationDesign Planning for Partial Reconfiguration
Send Feedback
4-7Partial Reconfiguration Design FlowQII510262013.11.04
practices. The guidelines for creating partitions for incremental compilation also include creating partitionsfor partial reconfiguration.
Use the following best practice guidelines for designing in the PR flow, which are described in detail in thissection:
• Determining resources for partial reconfiguration• Partitioning the design for partial reconfiguration• Creating incremental compilation partitions for partial reconfiguration• Instantiating the PR controller in the design• Creating wrapper logic for PR regions• Creating freeze logic for PR regions• Planning clocks and other global signals for the PR design• Creating floorplan assignments for the PR design
Design Partitions for Partial ReconfigurationYou must create design partitions for each PR region that you want to partially reconfigure. Optionally, youcan also create partitions for the static parts of the design for timing preservation and/or for reducingcompilation time.
There is no limit on the number of independent partitions or PR regions you can create in your design. Youcan designate any partition as a PR partition by enabling that feature in the LogicLock Regions window inthe Quartus II software.
Incremental Compilation Partitions for Partial ReconfigurationUse the following best practices guidelines when creating partitions for PR regions in your design:
• Register all partition boundaries; register all inputs and outputs of each partition when possible. Thispractice prevents any delay penalties on signals that cross partition boundaries and keeps each register-to-register timing path within one partition for optimization.
• Minimize the number of paths that cross partition boundaries.• Minimize the timing-critical paths passing in or out of PR regions. If there are timing-critical paths that
cross PR region boundaries, rework the PR regions to avoid these paths.• The Quartus II software can optimize some types of paths between design partitions for non-PR designs.
However, for PR designs, such inter-partition paths are strictly not optimized.
For more information about incremental compilation, refer to the following chapter in the Quartus IIHandbook.
Related InformationQuartus II Incremental Compilation for Hierarchical and Team-Based Design
Partial Reconfiguration Controller Instantiation in the DesignYou must instantiate the Stratix V PR control block and the Stratix V CRC block in your design in order touse the PR feature in Stratix V devices. You may find that adding the PR control block and CRC block atthe top level of the design offers the most convenience.
For example, in a design named Core_Top, all the logic is contained under the Core_Top module hierarchy.Create a wrapper (Chip_Top) at the top-level of the hierarchy that instantiates this Core_Top module, theStratix V PR control block, and the Stratix V CRC check modules.
Design Planning for Partial ReconfigurationAltera Corporation
Send Feedback
QII51026Design Partitions for Partial Reconfiguration4-8 2013.11.04
If you are performing partial reconfiguration from pins, then the required pins should be on the I/O list forthe top-level (Chip_Top) of the project, as shown in the code in the following examples. If you are performingpartial reconfiguration from within the core, you may choose another configuration scheme, such as ActiveSerial, to transmit the reconfiguration data into the core, and then assemble it to 16-bit wide data inside theFPGA within your logic. In such cases, the PR pins are not part of the FPGA I/O.
Verilog HDL does not require a component declaration. You can instantiate the PR control blockas shown in the following example.
Note:
Component Declaration of the PR Control Block and CRC Block in VHDLThis code sample has the component declaration in VHDL, showing the ports of the Stratix V PR controlblock and the Stratix V CRC block. In the following example, the PR function is performed from within thecore (code located in Core_Top) and you must add additional ports to Core_Top to connect to bothcomponents.
-- The Stratix V control block interface
component stratixv_prblock is port( corectl: in STD_LOGIC ; prrequest: in STD_LOGIC ; data: in STD_LOGIC_VECTOR(15 downto 0); error: out STD_LOGIC ; ready: out STD_LOGIC ; done: out STD_LOGIC ) ;end component ;
-- The Stratix V CRC block for diagnosing CRC errors
component stratixv_crcblock isport( shiftnld: in STD_LOGIC ; clk: in STD_LOGIC ; crcerror: out STD_LOGIC ) ;end component ;
The following rules apply when connecting the PR control block to the rest of your design:
• The corectl signal must be set to ‘1’ (when using partial reconfiguration from core) or to ‘0’ (whenusing partial reconfiguration from pins).
• The corectl signal has to match the Enable PR pins option setting in the Device and Pin Optionsdialog box on the Setting page; if you have turned on Enable PR pins, then the corectl signal on thePR control block instantiation must be toggled to ‘0’.
• When performing partial reconfiguration from pins the Quartus II software automatically assigns thePR unassigned pins. If you so choose, you can make pin assignments to all the dedicated PR pins in PinPlanner or Assignment Editor.
• When performing partial reconfiguration from core, you can connect the prblock signals to eithercore logic or I/O pins, excluding the dedicated programming pin such as DCLK.
Altera CorporationDesign Planning for Partial Reconfiguration
Send Feedback
4-9Component Declaration of the PR Control Block and CRC Block in VHDLQII510262013.11.04
Instantiating the PR Control Block and CRC Block in VHDLThis code example instantiates a PR control block in VHDL, inside your top-level project, Chip_Top:
module Chip_Top (//User I/O signals (excluding PR related signals)....//PR interface & configuration signals pr_request, pr_ready, pr_done, crc_error, dclk, pr_data, init_done);//user I/O signal declaration....//PR interface and configuration signals declaration input pr_request;output pr_ready;output pr_done;output crc_error;input dclk;input [15:0] pr_data;output init_done
// Following shows the connectivity within the Chip_Top moduleCore_Top : Core_Topport_map ( .. ..);
m_pr : stratixv_prblockport map( clk => dclk,corectl => '0', //1 - when using PR from inside //0 - for PR from pins; You must also enable // the appropriate option in Quartus II settings prrequest => pr_request,data => pr_data,error => pr_error,ready => pr_ready,done => pr_done);m_crc : stratixv_crcblock port map( shiftnld=> '1', //If you want to read the EMR register when clk=> dummy_clk, //error occurrs, refer to AN539 for the //connectivity forthis signal. If you only want //to detect CRC errors, but plan to take no //further action, you can tie the shiftnld
Design Planning for Partial ReconfigurationAltera Corporation
Send Feedback
QII51026Instantiating the PR Control Block and CRC Block in VHDL4-10 2013.11.04
//signal to logical high.crcerror => crc_error);
For more information on port connectivity for reading the Error Message Register (EMR), refer to thefollowing application note.
Related InformationAN539: Test Methodology of Error Detection and Recovery using CRC in Altera FPGA Devices
Instantiating the PR Control Block and CRC Block in Verilog HDLThe following example instantiates a PR control block in Verlilog HDL, inside your top-level project,Chip_Top:
module Chip_Top ( //User I/O signals (excluding PR related signals) .. .. //PR interface & configuration signals pr_request, pr_ready, pr_done, crc_error, dclk, pr_data, init_done ); //user I/O signal declaration .. .. //PR interface and configuration signals declaration input pr_request; output pr_ready; output pr_done; output crc_error; input dclk; input [15:0] pr_data; output init_done
// Following shows the connectivity within the Chip_Top module Core_Top : Core_Top port_map ( .. .. );
m_pr : stratixv_prblock //set corectl to '1' when using PR from inside //set corectl to '0' for PR from pins. You must also enable // the appropriate option in Quartus II settings.port map( clk => dclk,
Altera CorporationDesign Planning for Partial Reconfiguration
Send Feedback
4-11Instantiating the PR Control Block and CRC Block in Verilog HDLQII510262013.11.04
corectl=> '0', prrequest=> pr_request, data=> pr_data, error=> pr_error, ready=> pr_ready, done=> pr_done );
m_crc : stratixv_crcblock //If you want to read the EMR register when an error occurrs, refer to AN539 for the //connectivity forthis signal. If you only want to detect CRC errors, but plan to take no //further action, you can tie the shiftnld signal to logical high.port map( shiftnld=> '1', clk=> dummy_clk, crcerror=> crc_error);
For more information on port connectivity for reading the Error Message Register (EMR), refer to thefollowing application note.
Related InformationAN539: Test Methodology of Error Detection and Recovery using CRC in Altera FPGA Devices
Wrapper Logic for PR RegionsEach persona of a PR region must implement the same input and output boundary ports. These ports actas the boundary between static and reconfigurable logic.
Implementing the same boundary ports ensures that all ports of a PR region remain stationary regardlessof the underlying persona, so that the routing from the static logic does not changewith different PR personaimplementations.
Figure 4-6: Wire-LUTs at PR Region Boundary
The Quartus II software automatically instantiates a wire-LUT for each port of the PR region to lock downthe same location for all instances of the PR persona.
Partial 1 Static Region
If one persona of your PR region has a different number of ports than others, then youmust create a wrapperso that the static region always communicates with this wrapper. In this wrapper, you can create dummyports to ensure that all of the PR personas of a PR region have the same connection to the static region.
Design Planning for Partial ReconfigurationAltera Corporation
Send Feedback
QII51026Wrapper Logic for PR Regions4-12 2013.11.04
The sample code below each create two personas; persona_1 and persona_2 are different functions ofone PR region.Note that one persona has a fewdummyports. The first example creates partial reconfigurationwrapper logic in Verilog HDL:
// Partial Reconfiguration Wrapper in Verilog HDLmodule persona_1 ( input reset, input [2:0] a, input [2:0] b, input [2:0] c, output [3:0] p, output [7:0] q); reg [3:0] p, q; always@(a or b) begin p = a + b ;end
always@(a or b or c or p)begin q = (p*a - b*c )endendmodule
module persona_2 ( input reset, input [2:0] a, input [2:0] b, input [2:0] c, //never used in this persona output [3:0] p, output [7:0] q //never assigned in this persona); reg [3:0] p, q; always@(a or b) begin p = a * b;// note q is not assigned value in this personaendendmodule
The following example creates partial reconfiguration wrapper logic in VHDL.
-- Partial Reconfiguration Wrapper in VHDLentity persona_1 is port( a:in STD_LOGIC_VECTOR (2 downto 0); b:in STD_LOGIC_VECTOR (2 downto 0); c:in STD_LOGIC_VECTOR (2 downto 0); p: out STD_LOGIC_VECTOR (3 downto 0); q: out STD_LOGIC_VECTOR (7 downto 0));end persona_1;
architecture synth of persona_1 is begin process(a,b)
Altera CorporationDesign Planning for Partial Reconfiguration
Send Feedback
4-13Wrapper Logic for PR RegionsQII510262013.11.04
begin p <= a + b; end process;
process (a, b, c, p) begin q <= (p*a - b*c); end process;end synth;
entity persona_2 is port( a:in STD_LOGIC_VECTOR (2 downto 0); b:in STD_LOGIC_VECTOR (2 downto 0); c:in STD_LOGIC_VECTOR (2 downto 0); --never used in this persona
p:out STD_LOGIC_VECTOR (3 downto 0); q:out STD_LOGIC_VECTOR (7 downto 0)); --never used in this persona
end persona_2;
architecture synth of persona_2 is begin process(a, b) begin p <= a *b; --note q is not assigned a value in this persona end process;end synth;
Freeze Logic for PR RegionsWhen you use partial reconfiguration, you must freeze all non-global inputs of a PR region except globalclocks. Locally routed signals are not considered global signals, and must also be frozen during partialreconfiguration. Freezing refers to driving a '1' on those PR region inputs. When you start a partialreconfiguration process, the chip is in user mode, with the device still running.
Freezing all non-global inputs for the PR region ensures there is no contention between current values thatmay result in unexpected behavior of the design after partial reconfiguration is complete. Global signalsgoing into the PR region should not be frozen to high. The Quartus II software freezes the outputs from thePR region; therefore the logic outside of the PR region is not affected.
Design Planning for Partial ReconfigurationAltera Corporation
Send Feedback
QII51026Freeze Logic for PR Regions4-14 2013.11.04
Figure 4-7: Freezing at PR Region Boundary
PR Region
Data1
Data2User PR_in_freeze
“1”
Hardware-GeneratedFreeze
GlobalClocks
During partial reconfiguration, the static region logic should not depend on the outputs from PR regions tobe at a specific logic level for the continued operation of the static region.
The easiest way to control the inputs to PR regions is by creating a wrapper around the PR region in RTL.In addition to freezing all inputs high, you can also drive the outputs from the PR block to a specific value,if required by your design. For example, if the output drives a signal that is active high, then your wrappercould freeze the output to GND.
The following example implements a freeze wrapper in Verilog HDL, on a module named pr_module.
module freeze_wrapper ( input reset, input freeze, //PR process active, generated by user logic input clk1, //global clock signal input clk2, // non-global clock signal input [3:0] control_mode, // synchronous to clk1 input [3:0] framer_ctl, // synchronous to clk2 output [15:0] data_out );
reg [3:0]control_mode_sync, framer_ctl_sync;wire clk2_to_use;
//instantiate pr_modulepr_module pr_module( .reset (reset), //input .clk1 (clk1), //input, global clock .clk2 (clk2_to_use), // input, non-global clock .control_mode (control_mode_sync), //input .framer_ctl (framer_ctl_sync), //input .pr_module_out (data_out)// collection of outputs from pr_module);
always@(posedge clk1) begin control_mode_sync <= freeze ? 4'hF: control_mode;end
Altera CorporationDesign Planning for Partial Reconfiguration
Send Feedback
4-15Freeze Logic for PR RegionsQII510262013.11.04
always@(posedge clk2) begin framer_ctl_sync <= freeze ? 4'hF: framer_ctl;end
assign clk2_to_use = freeze ? 1'b1 : clk2;
endmodule
The following example implements a freeze wrapper in VHDL, on a module named pr_module.
entity freeze_wrapper is port( reset:in STD_LOGIC; freeze:in STD_LOGIC; clk1: in STD_LOGIC; --global signal clk2: in STD_LOGIC; --non-global signal control_mode: in STD_LOGIC_VECTOR (3 downto 0); framer_ctl: in STD_LOGIC_VECTOR (3 downto 0); data_out: out STD_LOGIC_VECTOR (15 downto 0));end freeze_wrapper;
architecture behv of freeze_wrapper is component pr_module port(reset:in STD_LOGIC; clk1:in STD_LOGIC; clk2:in STD_LOGIC; control_mode:in STD_LOGIC_VECTOR (3 downto 0); framer_ctl:in STD_LOGIC_VECTOR (3 downto 0); pr_module_out:out STD_LOGIC_VECTOR (15 downto 0)); end component
signal control_mode_sync: in STD_LOGIC_VECTOR (3 downto 0); signal framer_ctl_sync : in STD_LOGIC_VECTOR (3 downto 0); signal clk2_to_use : STD_LOGIC; signal data_out_temp : STD_LOGIC_VECTOR (15 downto 0); --signal data_out : STD_LOGIC_VECTOR (15 downto 0);
begin
data_out(15 downto 0) <= data_out_temp(15 downto 0);
m_pr_module: pr_module
port map ( reset => reset, clk1 => clk1, clk2 => clk2, control_mode =>control_mode_sync, framer_ctl => framer_ctl_sync, pr_module_out => data_out_temp);
-- freeze all inputs process(clk1) begin if clk1'event and clk1 = '1' then if freeze = '1' then
Design Planning for Partial ReconfigurationAltera Corporation
Send Feedback
QII51026Freeze Logic for PR Regions4-16 2013.11.04
control_mode_sync <= "1111"; else control_mode_sync <= control_mode; end if; end if; end process;
-- freeze the non-global clocks as well process(clk2, freeze) begin if clk2'event and clk2 = '1' then if freeze = '1' then framer_ctl_sync <= "1111"; else framer_ctl_sync <= framer_ctl; end if; end if; end process;
Clocks and Other Global Signals for a PR DesignFor non-PR designs, the Quartus II software automatically promotes high fan-out signals onto availableclocks or other forms of global signals during the pre-fitter stage of design compilation using a process calledglobal promotion. For PR designs, however, automatic global promotion is disabled by default for PR regions,and you must assign the global clock resources necessary for PR partitions.
There are 16 global clock networks in a Stratix V device. However, only six unique clocks can drive a rowclock region limiting you to a maximum of six global signals in each PR region. The Quartus II softwaremust ensure that any global clock can feed every location in the PR region.
The limit of six global signals to a PR region includes the GCLK, QCLK and PCLKs used inside of the PRregion. Make QSF assignments for global signals in your project's Quartus II Settings File (.qsf), based onthe clocking requirements for your design. In designs with multiple clocks that are external to the PR region,it may be beneficial to align the PR region boundaries to be within the global clock boundary (such as QCLKor PCLK).
If your PR region requires more than six global signals, modify the region architecture to reduce the numberof global signals within this to six or fewer. For example, you can split a PR region into multiple regions,each of which uses only a subset of the clock domains, so that each region does not use more than six.
Every instance of a PR region that uses the global signals (for example, PCLK, QCLK, GCLK, ACLR) mustuse a global signal for that input.
Global signals can only be used to route certain secondary signals into a PR region and the restrictions foreach block are listed in the following table. Data signals and other secondary signals not listed in the table,such as synchronous clears and clock enables are not supported.
Table 4-2: Supported Signal Types for Driving Clock Networks in a PR Region
Supported Signals for Global/Periphery/Quadrant ClockNetworks
Block Types
Clock, ACLRLAB
Clock, ACLR, Write Enable(WE), ReadEnable(RE)
RAM
Clock, ACLRDSP
Altera CorporationDesign Planning for Partial Reconfiguration
Send Feedback
4-17Clocks and Other Global Signals for a PR DesignQII510262013.11.04
PR regions are allowed to contain output ports that are used outside of the PR region as global signals.
• If a global signal feeds both static and reconfigurable logic, the restrictions in the table also applyto destinations in the static region. For example, the same global signal cannot be used as anSCLRin the static region and an ACLR in the PR region.
• Aglobal signal used for a PR region should only feed core blocks inside and outside the PR region.In particular you should not use a clock source for a PR region and additionally connect the signalto an I/O register on the top or bottom of the device. Doing so may cause the Assembler to givean error because it is unable to create valid programming mask files.
Note:
Floorplan Assignments for PR DesignsYou must create a LogicLock region so the interface of the PR region with the static region is the same forany persona you implement. If different personas of a PR region have different area requirements, you mustmake a LogicLock region assignment that contains enough resources to fit the largest persona for the region.The static regions in your project do not necessarily require a floorplan, but depending on any other designrequirement, you may choose to create a floorplan for a specific static region. If you create multiple PRregions, and are using SCRUB mode, make sure you have one column or row of static region between eachPR region.
There is no minimum or maximum size for the LogicLock region assigned for a PR region. Because wire-LUTs are added on the periphery of a PR region by the Quartus II software, the LogicLock region for a PRregion must be slightly larger than an equivalent non-PR region. Make sure the PR regions include only theresources that can be partially reconfigured; LogicLock regions for PR can only contain only LABs, DSPs,and RAM blocks. When creating multiple PR regions, make sure there is at least one static region columnbetween each PR region. When multiple PR regions are present in a design, the shape and alignment of theregion determines whether you use the SCRUB or AND/OR PR mode.
You can use the default Auto size and Floating location LogicLock region properties to estimate thepreliminary size and location for the PR region.
You can also define regions in the floorplan that match the general location and size of the logic in eachpartition. You may choose to create a LogicLock region assignment that is non-rectangular, depending onthe design requirements, but disjoint LogicLock regions are not allowed for PR regions.
After compilation, use the Fitter-determined size and origin location as a starting point for your designfloorplan. Check the quality of results obtained for your floorplan location assignments and make changesto the regions as needed.
Alternatively, you can perform Analysis and Synthesis, and then set the regions to the required size basedon resource estimates. In this case, use your knowledge of the connections between partitions to place theregions in the floorplan.
For more information on making design partitions and using an incremental design flow, refer to theQuartus II Incremental Compilation for Hierarchical and Team-Based Floorplan Design chapter in theQuartus II Handbook. For more design guidelines to ensure good quality of results, and suggestions onmaking design floorplan assignments with LogicLock regions, refer to the Best Practices for IncrementalCompilation Partitions and Floorplan Floorplan Assignments chapter in the Quartus II Handbook.
Related Information
• Quartus II Incremental Compilation for Hierarchical and Team-Based Floorplan
• Best Practices for Incremental Compilation Partitions and Floorplan
Design Planning for Partial ReconfigurationAltera Corporation
Send Feedback
QII51026Floorplan Assignments for PR Designs4-18 2013.11.04
Implementation Details for Partial ReconfigurationThis section describes implementation details that help you create your PR design.
Partial Reconfiguration PinsPartial reconfiguration can be performed through external pins or from inside the core of the FPGA.
When using PR from pins, some of the I/O pins are dedicated for implementing partial reconfigurationfunctionality. If you perform partial reconfiguration from pins, then you must use the passive parallel with16 data bits (FPPx16) configuration mode.
To enable partial reconfiguration from pins in the Quartus II software, perform the following steps:
1. From the Assignments menu, click Device, then click Device and Pin Options.2. In the Device and Pin Options dialog box, select General in the Category list and turn on Enable PR
pins from the Options list.3. ClickConfiguration in theCategory list and selectPassiveParallel x16 from theConfiguration scheme
list.4. Click OK, or continue to modify other settings in the Device and Pin Options dialog box.5. Click OK.
You can enable open drain on PR pins from the Device and Pin Options dialog box in the Settingspage of the Quartus II software.
Note:
Table 4-3: Partial Reconfiguration Dedicated Pins Description
Pin DescriptionPin TypePin Name
Dedicated input when Enable PRpins is turned on; otherwise,available as user I/O.
Logic high on pin indicates the PRhost is requesting partialreconfiguration.
InputPR_REQUEST
Dedicated output when EnablePR pins is turned on; otherwise,available as user I/O.
Logic high on this pin indicatesthe StratixV control block is readyto begin partial reconfiguration.
OutputPR_READY
Dedicated output when EnablePR pins is turned on; otherwise,available as user I/O.
Logic high on this pin indicatesthat partial reconfiguration iscomplete.
OutputPR_DONE
Altera CorporationDesign Planning for Partial Reconfiguration
Send Feedback
4-19Implementation Details for Partial ReconfigurationQII510262013.11.04
Pin DescriptionPin TypePin Name
Dedicated output when EnablePR pins is turned on; otherwise,available as user I/O.
Logic high on this pin indicatesthe device has encountered anerror during partial reconfigura-tion.
OutputPR_ERROR
Dedicated input when Enable PRpins is turned on; otherwiseavailable as user I/O.
These pins provide connectivityfor PR_DATA when Enable PRpins is turned on.
InputDATA[15:0]
Dedicated input when Enable PRpins is turned on; PR_DATA issent synchronous to this clock.
This is a dedicated programmingpin, and is not available as user I/O even ifEnablePRpins is turnedoff.
BidirectionalDCLK
For more information on different configuration modes for Stratix V devices, and specifically about FPPx16mode, refer to the Configuration, Design Security, and Remote System Upgrades in Stratix V Devices chapterof the Stratix V Handbook.
Related InformationConfiguration, Design Security, and Remote System Upgrades in Stratix V Devices
Interface with the PR Control Block through a PR HostYou communicate between your PR control IP and the PR Control Block (CB) via control signals, whileexecuting partial reconfiguration.
You can communicate with the PR control block via an internal host which communicates with the CBthrough internal control signals. You can also use an external host with handshake signals accessed viaexternal pins. The internal PR host can be user logic or a Nios® II processor.
Design Planning for Partial ReconfigurationAltera Corporation
Send Feedback
QII51026Interface with the PR Control Block through a PR Host4-20 2013.11.04
Figure 4-8: Managing Partial Reconfiguration with an Internal or External Host
The figure shows how these blocks should be connected to the PR control block (CB). In your system, youwill have either the External Host or the Internal Host, but not both.
PR ControlBlock (CB) Internal
Host
PRRegion
PR Programfile (.rbf) in
external memory
PR ControlBlock (CB)
ExternalHost
PRRegion
PR Programfile (.rbf) in
external memory
The PR mode is independent of the full chip programming mode. For example, you can configure the fullchip using a JTAG download cable, or other supported configuration modes. When configuring PR regions,you must use the FPPx16 interface to the PR control block whether you choose to partially reconfigure thechip from an external or internal host.
When using an external host, you must implement the control logic for managing system aspects of partialreconfiguration on an external device. By using an internal host, you can implement all of your logic necessaryfor partial reconfiguration in the FPGA, therefore external devices are not required to support partialreconfiguration. When using an internal host, you can use any interface to load the PR bitstream data to theFPGA, for example, from a serial or a parallel flash device, and then format the PR bitstream data to fit theFPPx16 interface on the PR Control Block.
To use the external host for your design, turn on the Enable PR Pins option in the Device and Pin Optionsdialog box in the Quartus II software when you compile your design. If this setting is turned off, then youmust use an internal host. Also, you must tie the corectl port on the PR control block instance in thetop-level of the design to the appropriate level for the selected mode.
Related InformationPartial Reconfiguration Pins on page 4-19Partial Reconfiguration Dedicated Pins Table
PR Control Signals InterfaceThe Quartus II Programmer allows you to generate the different bit-streams necessary for full chipconfiguration and for partial reconfiguration. The programming bit-stream for partial reconfigurationcontains the instructions (opcodes) as well as the configuration bits, necessary for reconfiguring each of thepartial regions. When using an external host, the interface ports on the control block are mapped to FPGApins. When using an internal host, these signals are within the core of the FPGA.
Altera CorporationDesign Planning for Partial Reconfiguration
Send Feedback
4-21PR Control Signals InterfaceQII510262013.11.04
Figure 4-9: Partial Reconfiguration Interface Signals
These handshaking control signals are used for partial reconfiguration.
PR Control Block (CB)
PR_Data[15:0]PR_donePR_readyCRC_errorPR_error
PR_requestClk
From Pins orFPGA Core
corectl
• PR_DATA: The configuration bitstream is sent on PR_ DATA[ 15:0], synchronous to the Clk.• PR_DONE: Sent from CB to control logic indicating the PR process is complete.• PR_READY: Sent from CB to control logic indicating the CB is ready to accept PR data from the control
logic.• CRC_Error: The CRC_Error generated from the device’s CRC block, is used to determine whether to
partially reconfigure a region again, when encountering a CRC_Error.• PR_ERROR: Sent from CB to control logic indicating an error during partial reconfiguration.• PR_REQUEST: Sent from your control logic to CB indicating readiness to begin the PR process.• corectl: Determines whether partial reconfiguration is performed internally or through pins.
Reconfiguring a PR RegionThe figure below shows a system in which your PR Control logic is implemented inside the FPGA. However,this section is also applicable for partial reconfiguration with an external host.
The PR control block (CB) represents the Stratix V PR controller inside the FPGA. PR1 and PR2 are twoPR regions in a user design. In addition to the four control signals (PR_REQUEST, PR_READY, PR_DONE,PR _ERROR) and the data/clock signals interfacing with the PR control block, your PR Control IP shouldalso send a control signal (PR_CONTROL) to each PR region. This signal implements the freezing andunfreezing of the PR Interface signals. This is necessary to avoid contention on the FPGA routing fabric.
Figure 4-10: Example of a PR System with Two PR Regions
Implementation of PR Control logic in the FPGA.
PR_Request
PR_Ready, PR_Error,PR_Done, CRC_Error Partial Reconfiguration
Data/Clock via FPPx16
PR1_Control PR2_Control
PR ControlBlock (CB)
PR1Region
PR2Region
PR Control Logic
Static Region
Design Planning for Partial ReconfigurationAltera Corporation
Send Feedback
QII51026Reconfiguring a PR Region4-22 2013.11.04
After the FPGA device has been configured with a full chip configuration at least once, the INIT_DONEsignal is released, and the signal is asserted high due to the external resistor on this pin. The INIT_DONEsignal must be assigned to a pin to monitor it externally. When a full chip configuration is complete, andthe device is in user mode, the following steps describe the PR sequence:
1. Begin a partial reconfiguration process from your PR Control logic, which initiates the PR process forone or more of the PR regions (asserting PR1_Control or PR2_Control in the figure). The wrapper HDLdescribed earlier freezes (pulls high) all non-global inputs of the PR region before the PR process.
2. Send PR_REQUEST signal from your control logic to the PR Control Block (CB). If your design uses anexternal controller, monitor INIT_DONE to verify that the chip is in user mode before asserting thePR_REQUEST signal. The CB initializes itself to accept the PR data and clock stream. After that, the CBasserts a PR_READY signal to indicate it can accept PR data. Exactly four clock cycles must occur beforesending the PR data to make sure the PR process progresses correctly. Data and clock signals are sent tothe PR control block to partially reconfigure the PR region interface.
• If there are multiple PR personas for the PR region, your PR Control IP must determine theprogramming file data for partial reconfiguration.
• When there are multiple PR regions in the design, then the same PR control IP determines whichregions require reconfiguration based on system requirements.
• At the end of the PR process, the PR control block asserts a PR_DONE signal and de-asserts thePR_READY signal.
• If you want to suspend sending data, you can implement logic to pause the clock at any point.
3. Your PR control logicmust de-assert thePR_REQUEST signal within eight clock cycles after thePR_DONEsignal goes high. If your logic does not de-assert the PR_REQUEST signal within eight clock cycles, anew PR cycle starts.
4. If your design includes additional PR regions, repeat steps 2 – 3 for each region. Otherwise, proceed tostep 5.
5. Your PRControl logic de-asserts thePR_CONTROL signal(s) to the PR region. The freezewrapper releasesall input signals of the PR region, thus the PR region is ready for normal user operation.
6. You must perform a reset cycle to the PR region to bring all logic in the region to a known state. Afterpartial reconfiguration is complete for a PR region, the states in which the logic in the region come upis unknown.
The PR event is now complete, and you can resume operation of the FPGA with the newly configured PRregion.
At any time after the start of a partial reconfiguration cycle, the PR host can suspend sending the PR_DATA,but the host must suspend sending the PR_CLK at the same time. If the PR_CLK is suspended after a PRprocess, there must be at least 20 clock cycles after the PR_DONE or PR_ERROR signal is asserted to preventincorrect behavior.
For an overview of different reset schemes in Altera devices, refer to the Recommended Design Practiceschapter in the Quartus II Handbook.
Related Information
• Partial Reconfiguration Cycle Waveform on page 4-23For more information on clock requirements for partial reconfiguration.
• Recommended Design Practices
Partial Reconfiguration Cycle WaveformThe PR host initiates the PR request, transfers the data to the FPGA device when it is ready, and monitorsthe PR process for any errors or until it is done.
Altera CorporationDesign Planning for Partial Reconfiguration
Send Feedback
4-23Partial Reconfiguration Cycle WaveformQII510262013.11.04
A PR cycle is initiated by the host (internal or external) by asserting the PR_REQUEST signal high. Whenthe FPGA device is ready to begin partial reconfiguration, it responds by asserting the PR_READY signalhigh. The PR host responds by sending configuration data onDATA [15:0]. The data is sent synchronousto PR_CLK. When the FPGA device receives all PR data successfully, it asserts the PR_DONE high, and de-asserts PR_READY to indicate the completion of the PR cycle.
Figure 4-11: Partial Reconfiguration Timing Diagram
The partial reconfiguration cycle waveform with a hand-shaking protocol.
D0LSW D0MSW D1LSW D1MSW Dn-1MSW DnLSW DnLSW
PR_REQUEST
PR_CLK
PR_DATA[15:0]
PR_READY
PR_DONE
READY_to_FIRST_DATA
DONE_to_REQ_low
DONE_to_LAST_CLK
PR_ERROR
CRC_ERROR
If there is an error encountered during partial reconfiguration, the FPGA device asserts the PR_ERRORsignal high and de-asserts the PR_READY signal low.
The PR host must continuously monitor the PR_DONE and PR_ERROR signals status. Whenever either ofthese two signals are asserted, the host must de-assert PR_REQUEST within eight PR_CLK cycles. As aresponse to PR_ERROR error, the host can optionally request another partial reconfiguration or perform afull FPGA configuration.
To prevent incorrect behavior, the PR_CLK signal must be active a minimum of twenty clock cycles afterPR_DONE or PR_ERROR signal is asserted high. Once PR_DONE is asserted, PR_REQUESTmust be de-asserted within eight clock cycles. PR_DONE is de-asserted by the device within twenty PR_CLK cycles. Thehost can assert PR_REQUEST again after the 20 clocks after PR_DONE is de-asserted.
Table 4-4: Partial Reconfiguration Clock Requirements
Signal timing requirements for partial reconfiguration.Value (clock cycles)Timing Parameters
4 (exact)PR_READY to first data
20 (minimum)PR_ERROR to last clock
20 (minimum)PR_DONE to last clock
8 (maximum)DONE_to_REQ_low
4 (exact)Compressed PR_READY to first data
8 (exact)Encrypted PR_READY to first data (when usingdouble PR)
Design Planning for Partial ReconfigurationAltera Corporation
Send Feedback
QII51026Partial Reconfiguration Cycle Waveform4-24 2013.11.04
Value (clock cycles)Timing Parameters
12 (exact)Encrypted and Compressed PR_READY to first data(when using double PR)
At any time during partial reconfiguration, to pause sending PR_DATA, the PR host can stop togglingPR_CLK. The clock can be stopped either high or low.
At any time during partial reconfiguration, the PR host can terminate the process by de-asserting the PRrequest. A partially completed PR process results in a PR error. You can have the PR host restart the PRprocess after a failed process by sending out a new PR request 20 cycles later.
In case you terminate a PR process before completion, and follow it upwith a FPGA reset using thenConfigsignal, you must keep the PR_CLK signal running through the FPGA reset cycle to avoid causing the partialreconfiguration to lock up.
During these steps, the PR control block might assert a PR_ERROR or a CRC_ERROR signal to indicatethat there was an error during the partial reconfiguration process. Assertion of PR_ERROR indicates thatthe PR bitstream data was corrupt, and the assertion of CRC error indicates a CRAM CRC error eitherduring or after completion of PR process. If the PR_ERROR or CRC_ERROR signals are asserted, you mustplan whether to reconfigure the PR region or reconfigure the whole FPGA, or leave it unconfigured.
The PR_CLK signal has different a nominal maximum frequency for each device. Most StratixV devices have a nominal maximum frequency of at least 62.5 MHz. Refer to the followingsolution for your specific device for accurate information.
Important:
Related InformationStratix V Maximum Frequencies
Partial Reconfiguration with an External HostFor partial reconfiguration using an external host, you must set the MSEL [4:0] pins for FPPx16configuration scheme.
You can use a microcontroller, another FPGA, or a CPLD such as a MAX V device, to implement theconfiguration and PR controller. In this setup, the Stratix V device configures in FPPx16mode during power-up. Alternatively, you can use a JTAG interface to configure the Stratix V device.
At any time during user-mode, the external host can initiate partial reconfiguration and monitor the statususing the external PR dedicated pins:PR_REQUEST,PR_READY,PR_DONE, andPR_ERROR. In thismode,the external host must respond appropriately to the hand-shaking signals for a successful partial reconfigu-ration. This includes acquiring the data from the flash memory and loading it into the Stratix V device onDATA[ 15:0].
Altera CorporationDesign Planning for Partial Reconfiguration
Send Feedback
4-25Partial Reconfiguration with an External HostQII510262013.11.04
Figure 4-12: Connecting to an External Host
The connection setup for partial reconfiguration with an external host in the FPPx16 configuration scheme.
External Host(MAX V Device orMicroprocessor)
Stratix V DeviceCONF_DONEnSTATUSnCONFIGnCE
DATA[15:0]DCLKPR_REQUESTPR_DONEPR_READYPR_ERRORPR_CONTROLPR_RESETCRC_ERROR
10 K10 K10 K
MSEL[4:0]
MemoryADDR DATA[15:0]
VCCPGM VCCPGM VCCPGM
Using an External Host with Multiple DevicesYou must design the external host to accommodate the arbitration scheme that is required for your system,as well as the partial reconfiguration interface requirement for each device.
Design Planning for Partial ReconfigurationAltera Corporation
Send Feedback
QII51026Using an External Host with Multiple Devices4-26 2013.11.04
Figure 4-13: Connecting Multiple FPGAs to an External Host
An example of an external host controlling multiple Stratix V devices on a board.
DATA[15:0]
PR_REQUEST1PR_DONE1
PR_READY1PR_ERROR1
PR_REQUEST2PR_DONE2
PR_READY2PR_ERROR2
PR_REQUEST5PR_DONE5
PR_READY5PR_ERROR5
DATA[15:0]nCE
PR_REQUESTPR_DONEPR_READYPR_ERROR FPGA1
Address
DATA[15:0]nCE
PR_REQUESTPR_DONEPR_READYPR_ERROR FPGA2
DATA[15:0]nCE
PR_REQUESTPR_DONEPR_READYPR_ERROR FPGA5
ExternalHost
Memory
DATA[7:0]
Partial Reconfiguration with an Internal HostThe PR internal host is a piece of soft logic implemented in the FPGA that you must design to accommodatethe hand-shaking protocol with the PR control block.
For example, PR programming bitstream(s) stored in an external flash device can be routed through theregular I/Os of the FPGA device, or received through the high speed transceiver channel (PCI Express, SRIOor Gigabit Ethernet), and can be stored in on-chip memory such as MLABs or M20K blocks, for processingby the internal logic. This data must be formatted into the 16 bit wide data so that it can be transmitted tothe PR control block by the internal IP, because the PR control block can only accept PR data via its FPPx16interface.
The PR dedicated pins (PR_REQUEST, PR_READY, PR_DONE, and PR_ERROR) can be used as regularI/Os when performing partial reconfiguration with an internal host. For the full FPGA configuration uponpower-up, you can set theMSEL[4:0] pins tomatch the configuration scheme, for example, AS, PS, FPPx8,FPPx16, or FPPx32. Alternatively, you can use the JTAG interface to configure the FPGA device. At anytime during user-mode, you can initiate partial reconfiguration through the FPGA core fabric using the PRinternal host.
In the following figure, the programming bitstream for partial reconfiguration is received through the PCIExpress link, and your logic converts the data to the FPPx16 mode.
Altera CorporationDesign Planning for Partial Reconfiguration
Send Feedback
4-27Partial Reconfiguration with an Internal HostQII510262013.11.04
Figure 4-14: Connecting to an Internal Host
An example of the configuration setup when performing partial reconfiguration using the internal host.
EPCS
Stratix V Device
AS_DATA1DCLKnCSOASDO
10 K10 K10 K
MSEL[4:0]
PRController
User Logic
Partial Reconfiguration DataReceived through PCI Express Link
VCCPGM VCCPGM VCCPGM
DATADCLKnCSASDI
nSTATUSCONF_DONEnCONFIGnCE
Partial Reconfiguration Project ManagementWhen compiling your PR project, youmust create a base revision, and one ormore reconfigurable revisions.The project revision you start out is termed the base revision.
Create Reconfigurable RevisionsTo create a reconfigurable revision, use the Revisions tab of the Project Navigator window in the QuartusII software.When you create a reconfigurable revision, theQuartus II software adds the required assignmentsto associate the reconfigurable revision with the base revision of the PR project. You can add the necessaryfiles to each revision with the Add/Remove Files option in the Project option under the Project menu inthe Quartus II software. With this step, you can associate the right implementation files for each revision ofthe PR project.
Compiling Reconfigurable RevisionsAltera recommends that you use the largest persona of the PR region for the base compilation so that theQuartus II software can automatically budget sufficient routing.
Here are the typical steps involved in a PR design flow.
1. Compile the base revision with the largest persona for each PR region.2. Create reconfigurable revisions for other personas of the PR regions by right-clicking in the Revisions
tab in the Project Navigator.3. Compile your reconfigurable revisions.4. Analyze timing on each reconfigurable revision tomake sure the design performs correctly to specifications.5. Create aggregate revisions as needed.6. Create programming files.
Design Planning for Partial ReconfigurationAltera Corporation
Send Feedback
QII51026Partial Reconfiguration Project Management4-28 2013.11.04
For more information on compiling a partial reconfiguration project, refer to Performing PartialReconfiguration in Quartus II Help.
Related InformationPerforming Partial Reconfiguration
Timing Closure for a Partial Reconfiguration ProjectAs with any other FPGA design project, simulate the functionality of various PR personas to make sure theyperform to your system specifications. You must also make sure there are no timing violations in theimplementation of any of the personas for every PR region in your design project.
In the Quartus II software, this process is manual, and you must run multiple timing analyses, on the base,reconfigurable, and aggregate revisions. The different timing requirements for each PR persona can be metby using different SDC constraints for each of the personas.
The interface between the partial and static partitions remains identical for each reconfigurable and aggregaterevision in the PR flow. If all the interface signals between the static and the PR regions are registered, andthere are no timing violations within the static region as well as within the PR regions, the reconfigurableand aggregate revisions should not have any timing violations.
However, you should perform timing analysis on the reconfigurable and aggregate revisions, in case youhave any unregistered signals on the interface between partial reconfiguration and static regions.
Bitstream Compression and Encryption for PR DesignsYou can choose to independently compress and encrypt the base bitstream as well as the PR bitstream foryour PR project using options available in the Quartus II software.
When you choose to compress the bitstreams, you can compress the base and PR programming bitstreamsindependently, based on your design requirements. However, if you want to encrypt only the base image,you can choose wether or not to encrypt the PR images.
• When you want to encrypt the bitstreams, you can encrypt the PR images only when the base image isencrypted.
• The Encryption Key Programming ( .ekp) file generated when encrypting the base image must be usedfor encrypting PR bitstream.
• When you compress the bitstream, youmust present eachPR_DATA[15:0]word for exactly four clockcycles.
Table 4-5: Partial Reconfiguration Clock Requirements for Bitstream Compression
Value (clock cycles)Timing Parameters
4 (exact)PR_READY to first data
80 (minimum)PR_ERROR to last clock
80 (minimum)PR_DONE to last clock
8 (maximum)DONE_to_REQ_low
Related Information
• Enable Bitstream Decompression Option on page 4-34
Altera CorporationDesign Planning for Partial Reconfiguration
Send Feedback
4-29Timing Closure for a Partial Reconfiguration ProjectQII510262013.11.04
• Enable Bitstream Decryption Option on page 4-34
• Generate PR Programming Files with the Convert Programming Files Dialog Box on page 4-32
Programming Files for a Partial Reconfiguration ProjectYou must generate PR bitstream(s) based on the designs and send them to the control block for partialreconfiguration.
Compile the PR project, including the base revision and at least one reconfigurable revision before generatingthe PR bitstreams. The Quartus II Programmer generates PR bitstreams. This generated bitstream can besent to the PR ports on the control block for partial reconfiguration.
Figure 4-15: PR Project with Three Revisions
Consider a partial reconfiguration design that has three revisions and one PR region, a base revision withpersona a, one PR revision with persona b, and a second PR revision with persona c.
BaseRevision withPersona a
Revision b
Revision c
pr_region.msfstatic.msfbase.sof
b.sofb.msf
c.sofc.msf
PartialReconfiguration
Design
When these individual revisions are compiled in the Quartus II software, the assembler produces MaskedSRAM Object Files (.msf) and the SRAM Object Files ( .sof) for each revision. The .sof files are created asbefore (for non-PR designs). Additionally, .msf files are created specifically for partial reconfiguration, onefor each revision. The pr_region.mfsf file is the one of interest for generating the PR bitstream. It containsthe mask bits for the PR region. Similarly, the static.msf file has the mask bits for the static region. The .soffiles have the information on how to configure the static region as well as the corresponding PR region. Thepr_region.msf file is used to mask out the static region so that the bitstream can be computed for the PRregion. The default file name of the pr region .msf corresponds to the LogicLock region name, unless thename is not alphanumeric. In the case of a non-alphanumeric region name, the .msf file is named after thelocation of the lower left most coordinate of the region.
Altera recommends naming all LogicLock regions to enhance documenting your design.Note:
Design Planning for Partial ReconfigurationAltera Corporation
Send Feedback
QII51026Programming Files for a Partial Reconfiguration Project4-30 2013.11.04
Figure 4-16: Generation of Partial-Masked SRAM Object Files (.pmsf)
You can convert files in the Convert Programming Files window or run the quartus_cpf -p commandto process the pr_region.msf and .sof files to generate the Partial-Masked SRAM Object File (.pmsf).
base.sof
pr_region.msf
a.pmsf+
b.sof
b_pr_region.msf
b.pmsf+
c.sof
c_pr_region.msf
c.pmsf+
The .msf file helps determine the PR region from each of the .sof files during the PR bitstream computation.
Once all the .pmsf files are created, process the PRbitstreams by running thequartus_cpf -o commandto produce the raw binary .rbf files for reconfiguration.
If one wishes to partially reconfigure the PR region with persona a, use the a.rbf bitstream file, and so on forthe other personas.
Figure 4-17: Generating PR Bitstreams
This figure shows how three bitstreams can be created to partially reconfigure the region with persona a,persona b, or persona c as desired.
a.rbfa.pmsf b.rbfb.pmsf c.rbfc.pmsf
In the Quartus II software, the Convert Programming Files window supports the generation of the requiredprogramming bitstreams. When using the quartus_cpf from the command line, the following optionsfor generating the programming files are read from an option text file, for example, option.txt.
• If you want to use SCRUB mode, before generating the bitstreams create an option text file, with thefollowing line:
use_scrub=on
• If you have initialized M20K blocks in the PR region (ROM/Initialized RAM), then add the followingline in the option text file, before generating the bitstreams:
write_block_memory_contents=on
• If you want to compress the programming bitstream files, add the following line in the option text file.This option is available when converting base .sof to any supported programming file types, such as .rbf,.pof and JTAG Indirect Configuration File (. jic).
bitstream_compression=on
Related InformationGenerate PR Programming Files with the Convert Programming Files Dialog Box on page 4-32
Altera CorporationDesign Planning for Partial Reconfiguration
Send Feedback
4-31Programming Files for a Partial Reconfiguration ProjectQII510262013.11.04
Generating Required Programming Files1. Generate .sof and .msf files (part of a full compilation of the base and PR revisions).2. Generate a Partial-Masked SRAM Object File (.pmsf) using the following commands:
quartus_cpf -p <pr_revision>.msf <pr_revision>.sof <new_filename>.pmsf
for example:
quartus_cpf -p x7y48.msf switchPRBS.sof x7y48_new.pmsf3. Convert the .pmsf file for every PR region in your design to .rbf file format. The .rbf format is used to
store the bitstream in an external flash memory. This command should be run in the same directorywhere the files are located:
quartus_cpf -o scrub.txt -c <pr_revision >.pmsf <pr_revision>.rbf
for example:
quartus_cpf -o scrub.txt -c x7y48_new.pmsf x7y48.rbf
When you do not have an option text file such as scrub.txt, the files generated would be for AND/OR modeof PR, rather than SCRUB mode.
Generate PR Programming Files with the Convert Programming Files Dialog BoxIn the Quartus II software, the flow to generate PR programming files is supported in the ConvertProgramming Files dialog box. You can specify how the Quartus II software processes file types such as .msf,.pmsf, and .sof to create .rbf and merged .msf and .pmsf files.
You can create
• A .pmsf output file, from .msf and .sof input files• A .rbf output file from a .pmsf input file• A merged .msf file from two or more .msf input files• A merged .pmsf file from two or more .pmsf input files
Convert Programming Files dialog box also allows you to enable the option bit for bitstream decompressionduring partial reconfiguration, when converting the base .sof (full design .sof) to any supported file type.
For additional details, refer to the Quartus II Programmer chapter in the Quartus II Handbook.
Related InformationQuartus II Programmer
Generating a .pmsf File from a .msf and .sof Input File
Perform the following steps in theQuartus II software to generate the .pmsf file in theConvert ProgrammingFiles dialog box.
1. Open the Convert Programming Files dialog box.2. Specify the programming file type as Partial-Masked SRAM Object File (.pmsf).3. Specify the output file name.4. Select input files to convert (only a single .msf and .sof file are allowed). Click Add.5. Click Generate to generate the .pmsf file.
Design Planning for Partial ReconfigurationAltera Corporation
Send Feedback
QII51026Generating Required Programming Files4-32 2013.11.04
Generating a .rbf File from a .pmsf Input File
Perform the following steps in the Quartus II software to generate the partial reconfiguration .rbf file in theConvert Programming Files dialog box.
1. From the File menu, click Convert Programming Files.2. Specify the programming file type as Raw Binary File for Partial Reconfiguration (.rbf).3. Specify the output file name.4. Select input file to convert. Only a single .pmsf input file is allowed. Click Add.5. Select the new .pmsf and click Properties.6. Turn the Compression, Enable SCRUB mode, Write memory contents, and Generate encrypted
bitstream options on or off depending on the requirements of your design. Click Generate to generatethe .rbf file for partial reconfiguration.
• Compression: Enables compression on the PR bitstream.• Enable SCRUB mode: Default is based on AND/OR mode. This option is valid only when your design
does not contain vertically overlapped PR masks. The .rbf generation fails otherwise.• Writememory contents: Turn this onwhen you have a .mif that was used during compilation. Otherwise,
turning this option on forces you to use double PR in AND/OR mode.• Generate encryptedbitstream: If this option is enabled, youmust specify the EncryptedKeyProgramming
( .ekp) file, which generated when converting a base .sof to an encrypted bitstream. The same .ekp mustbe used to encrypt the PR bitstream.
When you turn on Compression, you must present each PR_DATA[15:0] word for exactly four clockcycles.
Turn on the Write memory contents option only if you are using AND/OR mode and have M20K blocksin your PR design that need to be initialized. When you check this box, you must to perform double PR forregions with initialized M20K blocks.
Related Information
• Initializing M20K Blocks with a Double PR Cycle on page 4-40
• Initializing M20K Blocks with a Double PR Cycle on page 4-40
Create a Merged .msf File from Multiple .msf Files
You can merge two or more .msf files in the Convert Programming Files window.
1. Open the Convert Programming Files window.2. Specify the programming file type as Merged Mask Settings File (.msf).3. Specify the output file name.4. Select MSF Data in the Input files to convert window.5. Click Add File to add input files. You must specify two or more files for merging.6. Click Generateto generate the merged file.
To merge two or more .msf files from the command line, type:
quartus_cpf --merge_msf=<number of merged files> <msf_input_file_1><msf_input_file_2> <msf_input_file_etc> <msf_output_file>
Altera CorporationDesign Planning for Partial Reconfiguration
Send Feedback
4-33Generating a .rbf File from a .pmsf Input FileQII510262013.11.04
For example, to merge two .msf files, type:
quartus_cpf --merge_msf=<2> <msf_input_file_1> <msf_input_file_2><msf_output_file>
Generating a Merged .pmsf File from Multiple .pmsf Files
You can merge two or more .pmsf files in the Convert Programming Files window.
1. Open the Convert Programming Files window.2. Specify the programming file type as Merged Partial-Mask SRAM Object File (.pmsf).3. Specify the output file name.4. Select PMSF Data in the Input files to convert window.5. Click Add File to add input files. You must specify two or more files for merging.6. Click Generate to generate the merged file.
To merge two or more .pmsf files from the command line, type:
quartus_cpf --merge_pmsf=<number of merged files><pmsf_input_file_1> <pmsf_input_file_2> <pmsf_input_file_etc><pmsf_output_file>
For example, to merge two .pmsf files, type:
quartus_cpf --merge_pmsf=<2> <pmsf_input_file_1> <pmsf_input_file_2><pmsf_output_file>
The merge operation checks for any bit conflict on the input files, and the operation fails with error messageif a bit conflict is detected. In most cases, a successful file merge operation indicates input files do not haveany bit conflict.
Enable Bitstream Decompression OptionIn the Quartus II software, the Convert Programming Files window provides the option in the .sof fileproperties to enable bitstream decompression during partial reconfiguration.
This option is available when converting base .sof to any supported programming file types, such as .rbf,.pof, and .jic.
In order to view this option, the base .sof must be targeted on Stratix V devices in the .sof File Properties.This option must be turned on if you turned on theCompression option during .pmsf to .rbf file generation.
Enable Bitstream Decryption OptionThe Convert Programming Files window provides the option in the .sof file properties to enable bitstreamdecryption during partial reconfiguration.
This option is available when converting base .sof to any supported programming file types, such as .rbf,.pof, and .jic.
The base .sof must have partial reconfiguration enabled and the base .sof generated from a design that hasa PR Control Block instantiated, to view this option in the .sof File Properties. This option must be turnedon if you wants to turn on the Generate encrypted bitstream option during .pmsf to .rbf file generation.
Design Planning for Partial ReconfigurationAltera Corporation
Send Feedback
QII51026Generating a Merged .pmsf File from Multiple .pmsf Files4-34 2013.11.04
On-Chip Debug for PR DesignsYou cannot instantiate a SignalTap II block inside a PR region. If you must monitor signals within a PRregion for debug purposes, bring those signals to the ports of the PR region.
The Quartus II software does not support the Incremental SignalTap feature for PR designs. After youinstantiate the SignalTap II block inside the static region, you must recompile your design. When yourecompile your design, the static region may have a modified implementation and you must also recompileyour PR revisions. If you modify an existing SignalTap II instance you must also recompile your entiredesign; base revision and reconfigurable revisions.
Figure 4-18: Using SignalTap II with a PR Design
You can instantiate the SignalTap II block in the static region of the design and probe the signals you wantto monitor.
SignalTap IIModule
PR Regionwith Signals toBe ProbedBrought Outon the Ports
Static Region
You can use other on-chip debug features in the Quartus II software, such as the In-System Sources andProbes or SignalProbe, to debug a PR design. As in the case of SignalTap, In-System Sources and Probes canonly be instantiated within the static region of a PR design. If you have to probe any signal inside the PRregion, you must bring those signals to the ports of the PR region in order to monitor them within the staticregion of the design.
Partial Reconfiguration Known LimitationsThere are restrictions that derive from hardware limitations in specific Stratix V devices.
The restrictions in the following sections apply only if your design uses M20K blocks as RAMs or ROMs inyour PR project.
Memory Blocks Initialization Requirement for PR DesignsFor a non-PR design, the power up value for the contents of a M20K RAM or a MLAB RAM are all set atzero.However, at the end of performing a partial reconfiguration, the contents of aM20KorMLABmemoryblock are unknown. You must intentionally initialize the contents of all the memory to zero, if required bythe functionality of the design, and not rely upon the power on values.
M20K RAM Blocks in PR DesignsWhen your PR design uses M20K RAM blocks in Stratix V devices, there are some restrictions which limithow you utilize the respective memory blocks as ROMs or as RAMs with initial content.
Altera CorporationDesign Planning for Partial Reconfiguration
Send Feedback
4-35On-Chip Debug for PR DesignsQII510262013.11.04
Related InformationImplementing Memories with Initialized Content on page 4-39If your design requires initialized memory content either as a ROM or a RAM inside a PR region, you mustfollow these guidelines.
Limitations When Using Stratix V Production DevicesThese workarounds allow your design to use M20K blocks with PR.
Figure 4-19: Limitations for Using M20Ks in PR Regions
If you implement a M20K block in your PR region as a ROM or a RAM with initialized content, when thePR region is reconfigured, any data read from the memory blocks in static regions in columns that cross thePR region is incorrect.
PRRegion
StaticRegion
Stratix V Device
No Restrictions for RAM/ROMImplementation in These M20K Columns
RAM/ROM Implementation in These M20KColumns Has Restrictions
If the functionality of the static region depends on any data read out from M20K RAMs in the static region,the design will malfunction.
Use one of the following workarounds, which are applicable to both AND/OR and SCRUB modes of partialreconfiguration:
• Do not use ROMs or RAMs with initialized content inside PR regions.• If this is not possible for your design, you can program the memory content for M20K blocks with a .mif
using the suggested workarounds.• Make sure your PR region extends vertically all the way through the device, in such a way that the M20K
column lies entirely inside a PR region.
Design Planning for Partial ReconfigurationAltera Corporation
Send Feedback
QII51026Limitations When Using Stratix V Production Devices4-36 2013.11.04
Figure 4-20: Workaround for Using M20Ks in PR Regions
This figure shows the LogicLock region extended as a rectangle reducing the area available for the staticregion. However, you can create non-rectangular LogicLock regions to allocate the resources required forthe partition more optimally. If saving area is a concern, extend the LogicLock region to include M20Kcolumns entirely.
PRRegion
StaticRegion
Stratix V Device
Workaround:Extend the LogicLock Regionto Include the Entire M20K Column
M20K as Uninitialized RAM
M20K as Initialized RAM/ROM
•
Figure 4-21: Alternative Workaround for Using M20Ks in PR Region
Using Reserved LogicLock Regions, block all the M20K columns that are not inside a PR region, but thatare in columns above or below a PR region. In this case, you may choose to under-utilize M20K resources,in order to gain ROM functionality within the PR region.
PRRegion
StaticRegion
Stratix V Device
M20K as Uninitialized RAM
M20K as Initialized RAM/ROM
Workaround: Reserved LogicLock RegionNo RAM/ROM In These Areas
For more information including a list of the Stratix V production devices, refer to the Stratix V Errata Sheetand Guidelines.
Related InformationStratix V Errata Sheet and Guidelines
Altera CorporationDesign Planning for Partial Reconfiguration
Send Feedback
4-37Limitations When Using Stratix V Production DevicesQII510262013.11.04
MLAB Blocks in PR designsStratix V devices include dual-purpose blocks called MLABs, which can be used to implement RAMs orLABs for user logic.
This section describes the restrictions while using MLAB blocks (sometimes also referred to as LUT-RAM)in Stratix V devices for your PR designs.
If your design uses MLABS as LUT RAM, you must use all available MLAB bits within the region.
Table 4-6: RAM Implementation Restrictions Summary
The following table shows a summary of the LUT-RAM Restrictions.Stratix V ProductionType of memory in PR regionPR Mode
OKLUT RAM (no initial content)
SCRUB mode OKLUT ROM and LUT RAM with yourinitial content
While design is running: Write 1sto all locations before partialreconfiguration
At compile time: Explicitlyinitialize all memory locations ineach new persona to 1 via initial-ization file (. mif).
LUT RAM (no initial content)
AND/OR mode
NoLUT ROM and LUT RAM with yourinitial content
If your design does not use any MLAB blocks as RAMs, the following discussion does not apply. Therestrictions listed below are the result of hardware limitations in specific devices.
Limitations with Stratix V Production Devices
When using SCRUB mode:
• LUT-RAMs without initialized content, LUT-RAMs with initialized content, and LUT-ROMs can beimplemented in MLABs within PR regions without any restriction.
When using AND/OR mode:
• LUT-RAMs with initialized content or LUT-ROMs cannot be implemented in a PR region.• LUT-RAMs without initialized content in MLABs inside PR regions are supported with the following
restrictions.• MLAB blocks contain 640 bits of memory. The LUT RAMs in PR regions in your design must occupy
all MLAB bits, you should not use partial MLABs.• Youmust include control logic in your designwithwhich you canwrite to allMLAB locations used inside
PR region.• Using this control logic, write '1' at each MLAB RAM bit location in the PR region before starting the PR
process. This is to work around a false EDCRC error during partial reconfiguration.
Design Planning for Partial ReconfigurationAltera Corporation
Send Feedback
QII51026MLAB Blocks in PR designs4-38 2013.11.04
• You must also specify a .mif that sets all MLAB RAM bits to '1' immediately after PR is complete.• ROMs cannot be implemented in MLABs (LUT-ROMs).• There are no restrictions to using MLABs in the static region of your PR design.
For more information, refer to the following documents in the Stratix V Handbook:
Related InformationStratix V Errata Sheet and Guidelines
Implementing Memories with Initialized ContentIf your Stratix V PR design implements ROMs, RAMs with initialization, or ROMs within the PR regions,using eitherM20K blocks or LUT-RAMs, then youmust follow the following design guidelines to determinewhat is applicable in your case.
Table 4-7: Implementing Memory with Initialized Content in PR Designs
Production DevicesMode
SCRUBAND/OR
No special methodrequired
While design is running:Write ‘1’ to all locationsbefore partial reconfigura-tion.
At compile time: Explicitlyinitialize all memorylocations in each newpersona to ‘1’ via initializa-tion file (.mif)
Make sure no spuriouswrite on PR entry (1)
Suggested Method
LUT-RAM withoutinitialization
N/ACRC ErrorWithout SuggestedMethod
Make sure no spuriouswrite on PR exit (1)
Not supported
Suggested MethodLUT-RAMwith initial-ization Incorrect resultsWithout Suggested
Method
No special method requiredSuggested MethodM20K without initial-ization N/AWithout Suggested
Method
N/AUse double PR cycle (2)
Make sure no spuriouswrite on PR exit (1)
Suggested Method
M20K with initializa-tion
Incorrect resultsWithout SuggestedMethod
Altera CorporationDesign Planning for Partial Reconfiguration
Send Feedback
4-39Implementing Memories with Initialized ContentQII510262013.11.04
Production DevicesMode
SCRUBAND/OR
Note to table:
1. Use the circuit shown in the M20K/LUTRAM figure to create clock enable logic to safely exit partialreconfiguration without spurious writes.
2. Double partial reconfiguration is described in Using Double PR Cycle for Initializing M20K blocks.
Figure 4-22: M20K/LUTRAM
To avoid spurious writes during PR entry and exit, implement the following clock enable circuit in the samePR region as the RAM.
CLR
SETD Q
Q
CLR
SETD Q
QCLR
SETD Q
Q
CE
M20K/LUTRAM
1
Clock EnableLogic
Clear Signal toSafely Exit PR
The circuit depends on an active- high clear signal from the static region. Before entering PR, freeze thissignal in the same manner as all PR inputs. Your host control logic should de-assert the clear signal as thefinal step in the PR process.
Related InformationInitializing M20K Blocks with a Double PR Cycle on page 4-40
Initializing M20K Blocks with a Double PR CycleWhen a PR region in your PR design contains an initialized M20K block and is reconfigured via AND/ORmode, your host logic must complete a double PR cycle, instead of a single PR cycle.
Design Planning for Partial ReconfigurationAltera Corporation
Send Feedback
QII51026Initializing M20K Blocks with a Double PR Cycle4-40 2013.11.04
Figure 4-23: Next PR Request Assertion During Double PR Cycle
This figure displays the second phase of a double PR cycle, where the host logic must issue anotherPR_REQUEST signal after exactly seven clock cycles after the PR_DONE signal is asserted.
PR_REQUEST
PR_CLK
PR_DATA[15:0]
PR_READY
PR_DONE
PR_ERROR
CRC_ERROR
DONE_to_NEXT_REQ
READY_to_NEXT_DATA
If the PR encryption feature (without compression) is enabled , the host logic must issue anotherPR_REQUEST signal exactly six clock cycles after PR_DONE is asserted.
If the PR compression feature is enabled (with or without encryption), the host logic must issue anotherPR_REQUEST signal exactly two clock cycles after PR_DONE is asserted. The FPGA responds withPR_READY signal to the second PR_REQUEST signal assertion.
The PR host must continue sending PR_DATA signal exactly four clock cycles after the PR_READY signal,just as in the first PR cycle. The data on PR_DATA pins can be don't care between the first PR_DONE signaland until four clock cycles after the PR_READY signal is asserted for the second PR cycle.
The host must continue sending a PR_DATA signal for the second PR cycle, until it receives the PR_DONEsignal for the second request, similar to the first PR cycle. After thePR_DONE signal is asserted for the secondtime, the host should de-assert the PR_REQUEST signal and continue with other operations needed forregion bring up, such as issuing a reset to bring the region to a known state.
Double PR with Compressed Programming BitstreamYou can use bitstream compression along with PR designs that also require memory initialization for M20Kblocks.
For a compressed bitstream requiring a double PR cycle, the PR host must stop sending thePR_DATA signalin the bitstream as soon as the first PR_DONE is asserted. The PR host must resume sending the PR_DATAsignal immediately after the second PR_READY signal is asserted.
Altera CorporationDesign Planning for Partial Reconfiguration
Send Feedback
4-41Double PR with Compressed Programming BitstreamQII510262013.11.04
Document Revision History
Table 4-8: Document Revision History
ChangesVersionDate
Added support for mergingmultiple .msf and .pmsf files.
Added support for PR Megafunc-tion.
Updated for revisions on timingrequirements.
13.1.0November 2013
Added support for encryptedbitstreams.
Updated support for double PR.
13.0.0May 2013
Initial release.12.1.0November 2012
Design Planning for Partial ReconfigurationAltera Corporation
Send Feedback
QII51026Document Revision History4-42 2013.11.04