Catching Up of Latecomer Firms in the Emerging Market: A Coevolutionary Approach

149
Catching Up of Latecomer Firms in the Emerging Market: A Coevolutionary Approach DER CHAO CHEN Japan Advanced Institute of Science and Technology

Transcript of Catching Up of Latecomer Firms in the Emerging Market: A Coevolutionary Approach

Catching Up of Latecomer Firms in the Emerging

Market: A Coevolutionary Approach

DER CHAO CHEN

Japan Advanced Institute of Science and Technology

Catching Up of Latecomer Firms in the Emerging

Market: A Coevolutionary Approach

By

DER CHAO CHEN

Submitted to

Japan Advanced Institute of Science and Technology

In partial fulfillment of the requirements

For the degree of

Doctor of Philosophy

Supervisor: Dr. Ryoko Toyama

School of Knowledge Science

Japan Advanced Institute of Science and Technology

December 2007

I

Catching Up of Latecomer Firms in the Emerging

Market: A Coevolutionary Approach

Abstract

How latecomer firms in new emerging markets catch up with their competitors is

an interesting inquiry both for academic and practitioners. We argue catching up of

latecomer firms in the emerging market should not be simply explained by the single

lens, such as institutional perspective or technological upgrading approach, but need

to be considered the processing of interactions among different actors in the dynamic

environment. It means latecomer firms in the emerging market has to response and

selects its strategies in accordance with the contexts it embedded both at macro and

micro levels for catching up over time.

In this work, we used a multi-level perspective, the coevolutionary theory

approach∞ to develop the conceptual model and corresponding propositions for further

examining how latecomer firms in the emerging market catch up with leading

incumbents. Based on the conceptual model we developed, we used the cross case

analysis to analyze catching up of three semiconductor foundry firms-CSMC,

HHNEC, and SMIC- in China, through longitudinal data from industry associations,

companies, public media, and information from few informants. Our cross case

analysis evidenced our propositions and found some new interesting insights, even

that those may not be generalized to latecomer firms in other industries or countries

due to our research limits.

Copyright 介 2007 by Der Chao Chen

II

Coevolutionary perspective allows us to consider the evolution of organization

and environment simultaneously rather than the single lens. It also emphasizes the

interactions between organizations and environments that are more close to the reality

of current business life. We believe this work could use as the initial framework for

latecomer firms in different industries in the same emerging market or those from

different emerging countries to consider how their catching up coevolve with today’s

complex and dynamic environment.

Keywords: Catching up, latecomer firms, emerging market, coevolutionary

perspective, Semiconductor foundry industry, China.

Copyright 介 2007 by Der Chao Chen

III

ACKNOWLEDGEMENT

First, I regret that Professor Akio Kameoka, my supervisor in the minor theme

research, has passed away before I have this degree in hands.

Thanks for the support and supervision from Dr Ryoko Toyama in my doctoral

studying in JAIST and congratulated to her promotion to be a professor in Graduate

School of Strategic Management, Chuo University, Tokyo, after April 2008.

Comments and suggestions from Professor David T. Methe (Kwansei Gakuin

University), and Professor Shuji Kondou, Professor Yasuo Ikawa, and Professor

Katsuhiro Umemoto, all from JAIST, made this work better.

I also sincerely appreciate of Ms. Mary Ann Mooradian’s (Lecturer at English

Technical Communication in JAIST) encouragement and her effort on proofreading

my dissertation manuscripts. Financial support from the JAIST Foundation made my

doctoral studying come true. As a foreign student, I also thank efforts from staff at

International Student Section in JAIST.

Teachers in different stages of my studying are the role models during my

journey toward the academic career. My sincerely thank goes to Professor Dong

Shang. Chang, Dr. D. J. Hong, Professor Ming Tung (Mike), Lee (supervisor of my

master thesis), Professor, James M. J. Lee during the studying of my MBA degree;

Encouragement from Ms. Shirley Kao and Dr. T. Y Wu at Fu Jen Catholic University,

and Ms. Dean S. K. Shiu., Mr. T. S. Hu, Ms. I. L. Kung at SJSMIT (renamed as St.

John’s University) are also appreciated.

Encourage and support from Dr. Daisy H. I. Chou (Lecturer in Finance, La Trobe

University, Australia, PhD in Finance, Queen's University of Belfast, U.K.), Ms.

Claire P. H. Lin (PhD student in Safety Science Group, Delft University of

Technology, Netherland) and Dr. Shu-Jung Sunny Yang (Lecturer in University of

Melbourne, PhD in Management, UNSW, Australia), Ms Annotia Yao (PhD student in

Marketing, Nottingham, UK) are also acknowledged. Beyond those in quasi academic

community, I expect to thank to my classmates both in undergraduate and master

programs-Jin Ya Shiu, Alex T. Z. Lin, T. L Yeh, Dr. S. C. Yu, and others.

Friends and colleagues I met during my industry career, Angela Chen, Stella

Chen, Carol Liao, Lisa Lu, and Jane Ma, Rae Hung at Look Publication; B. W.

Hwang, H. P. Wang, Lilian Lee, Michelle Lo, Piayo Yen at Topology; Jesse Huang, Dr.

C. J. Kuo, Amber Lin, Cathy Liu, David W. Pen, T. S. Tseng, Vivian Wang at IQChina,

and Y. C. Mao, Hazel M. Y. Cheng at The World Economic Society. Except those

wonderful colleagues, my sincerely appreciation also goes to Cherry Yu, Shirley Chen,

Mike Pen, Judith Cheng, Helen Liu, Chen Chen, Isa Sung, Caroline Hsu, Maureen

Chiu, Kay Liu, Wanning Liao, Miao Cao, Wen Ho Shen and others, for their generous

help in my media career.

IV

Generous help from Dr. Takashi Yoshinaga, Sokato Ida, and other members in Dr.

Toyma’s Laboratory made my life in JAIST more easily. On the hands, I like to

express my gratitude to my friends from mainland China, Mr. Yi. Sun and her wife, Dr.

A. C. Chou, also Dr. Meng Li, Mr. .K. J. Wang, , Dr Hui Zhang, Dr, Xuefeng Liang,

Dr. Hai Gang Song, and Mr. Jia Shang Sun. The warm help and encourage from Dr.

Tunc Medeni and Dr. Andre Saito are also appreciated as well.

Besides, I also like to thank comments and advices from Professor Klaus Meyer,

Dr. Steven S Lui, Dr. Hyungoh Lee, Dr. Liliana Erakovic, Mr. Scott Hipsher, and Dr

Jianfeng Wu and other participants during the NSC workshop, Taiwan, 2004, APROS

11, Melbourne Australia, 2005, AIB 2006 Chinese Doctoral Consortium, and AIB

2006 Paper Development Workshop, respectively.

I am so luck to live in such a warm family, my parent; young sister, and old

brother, also my family in law are always behind me to support my decision to pursue

the academic career. Last but not the least, without the constantly encourage from K.

T. Chuang, my intelligent and lovely wife, I would not believe I can have my doctoral

degree and swim in the academic world without her. I will give all the best to her and

my family.

Thank the God and those who help and encourage me over years.

杯幎多@

Der Chao ChenDer Chao ChenDer Chao ChenDer Chao Chen

V

TABLE OF CONTENTS

ABSTRACT …………………………………………………………………………..I

ACKNOWLEDGEMENT…………………………………………………………..II

TABLE OF CONTENTS…........................................................................................V

LIST OF TABLES …………………………………………………………....….... VI

LIST OF FIGURES ……………………………………………………………...VIII

1. INTRODUCTION ……………………………………………………………….. 1

2. LITERATURE REVIEW……………………..…………………………………. 4

3. RESEARCH FRAMEWORK………………………………………………….. 37

4. RESEARCH METHOD ...……………………………………………………... 55

5. THE CASE STUDIES ………………………………………….......................... 66

6. CONCLUSIONS …………………………………………………………..……100

REFERENCES ………………………………………………………………........112

APPENDIX…………………………………………………………………..…….135

PROFILE………………….……………………………………………………….138

VI

LIST OF TABLES

2.1 The Characteristics of Leader, Follower, and Latecomer ………………….……..6

2.2 Advantages and Disadvantages of First Movers …….…………………..………..8

2.3 Early Mover Advantages versus Latecomer Advantages ………………………..10

2.4 Three Ls for the Catch Up of Latecomer Firms …………………………………16

2.5 Debate of Selection and Adaptation in the Single Lens………………………….27

2.6 Properties of Coevolution ………………………………………………………..32

2.7 Empirical Works Using the Coevolutionary Theory Approach ………….………35

4.1 Events for Analysis …………………………………………………….………...59

4.2 China Semiconductor Market in 2004, by Sector………………………………..61

4.3 Major Firms in China Semiconductor Industry Value Chain…………………….62

4.4 Top 10 Pure-Play Foundries Forecast for 2006…………………. .......………….64

4.5 Profiles of Case Companies …..............................................................................65

5.1 Six Backbone Semiconductor Firms in China …………………………………. 69

5.2 Target for Semiconductor Manufacturing in the Tenth Five-Year Plan …………74

5.3 New Wafer Manufacturing Capacity Established during the Tenth Five-Year

Plan ……………………..………………………………………………………. 74

5.4 Evolution of Management Logics in China….…………………………..............80

5.5 Microcoevolution of Three Cases…..……………………………………………88

VII

LIST OF TABLES

5.6 Technology Profiles of Three Cases……………………………………………..91

5.7 Catching up of Three Cases……………………………………………………...92

5.8 Research Results…………………………………………………………………94

5.9 Macro- and Micro-coevolutions between CSMC and the Environment…………95

5.10 Macro- and Micro-coevolutions between HHNEC and the Environment……...97

5.11 Macro- and Micro-coevolutions between SMIC and the Environment…….…..98

VIII

LIST OF FIGURES

2.1 Dynamics of Absorptive Capacity ….……………………………………………11

2.2 Dynamics of Organizational Learning in Catching Up ……….…………….…...17

2.3. Effect of Management Logics in Coevolution ……………………………….....30

2.4 Coevolution of Firm, Industry, and Environment ……………………………… 34

3.1 The Conceptual Framework……………………………………………………...52

4.1 The Triangulation of This Study ………..………………………………………. 58

4.2 China’s Market Share in the Worldwide Semiconductor Market ………………. 63

5.1 Technological Development in China and the World…………………………… 74

5.2 Structures of Ownership of Major Chinese Semiconductor Foundry Firms.…….84

6.1 Research Contributions………………………..……………………………..…104

1

1. INTRODUCTION

1.1 Research Background

Development of newly industrializing countries (NICs) in the 1990s provide

significant evidences that latecomer countries and firms are capable of following,

catching up, and even leading the pace of worldwide economic development (Amsden &

Chu, 2003; Chang & Yu, 2001; Hobday, 1995; Kim, 1997; Kwong, Chau, Lui, & Qiu,

2001; Mahmood & Singh, 2003; Mathews, 2002b, 2002c; Mathews & Cho, 1999, 2000).

Their success stories inspire other transiting economies1, such as India, China, and East

Europe, to write their own catch up stories and stimulate economic development, both in

their own countries and in the worldwide market (Cavusgil, 1997; Wilson &

Purushothaman, 2003). However, there are not many discussions concerning how

latecomer firms in these emerging markets catch up with other leading competitors in

from NICs and other developed countries (Chen & Toyama, 2006; Lazonick, 2004;

Zhang, 2004).

Current explanations about the catch up of latecomers, whether their level of

analysis is at the country-, industry-, or firm-level, are mainly from a single lens, such as

using institutional approach to discuss how government policies may intervene or

motivate the economic development at different levels, (Amsden & Chu, 2003; Fuller,

Akinwande, & Sodini, 2003; Li, 1999; Lo, 2001; Yu, 1999) or how those latecomers

upgrade their technological capabilities in terms of technological learning perspective

(Kim, 1997, 2000; Dutrenit, 2000, 2004; Figueiredo, 1999, 2003; Mathews & Cho, 1999,

1 Transition economies and emerging markets are mentioned interchangeably in this dissertation, though

we prefer to use “emerging market” in most cases. While different studies and organizations have their own

definitions, here we define emerging market as a country that has deregulating from a planned and

pre-communist economies toward a market liberalization and free market system, such as China, India, and

countries in East Europe and Latin America (Hoskisson, Eden, Lau, & Wright, 2000; Wright, Filatotchev,

Hoskisson, & Peng,, 2005).

2

2000).

Compared with the market systems and institutional mechanisms in developed

countries and NICs, those in the transition economies are quite unstable. Such a dynamic

context means that latecomer firms born in the emerging market need to configure

internal selection and environment adaptation concurrently. To observe catching up of a

latecomer firm in the emerging market, a multi-level lens perspective is well

recommended by various researches (Hoskisson, et al., 2000; Peng, 2003; Wright, et al.,

2005). In this research, we adopt a multi-level approach-the coevolutionary theory

perspective-to find out the detail of how catching up of a latecomer firm in an emerging

market.2

1.2 Research Questions

In this study, we apply the coevolutionary theory approach to analyze the catch up of

a latecomer firm in the emerging market, and expect this new perspective can deliver new

insights and findings either for other counterparts in the same or different transition

economies, or expanding the application of coevolutionary perspective in the fields of

management and organization studies. In this dissertation, our main research question is

how do latecomer firms in the emerging market catch up in terms of a coevolutionary

perspective? Following this, our sub-research questions are, how do we construct our

conceptual model in terms of coevolutionary perspective? What could we learn through

using the coevolutionary perspective to examine catching up of latecomer firms in the

emerging market?

Firms are not stand-alone entities, but co-evolve with the environment where they are

2 In this dissertation, we used the term “coevolutionary theory perspective”, “coevolutionary theory approach”, “coevolutionary approach”, and “coevolutionary perspective” interchangeably. They all refer to the coevolutionary theory perspective proposed by Lewin & Volberda (1999, 2003) that is the major theoretical basis of our research.

3

embedded, regardless of their relative positions in the competitive markets (Kauffman,

1993; McKelvey, 1997, 2002). While ideas of the coevolutionary theory perspective have

increasingly been applied in management and organization studies recently, they are not

only used to examine the debates between selection and adaptation of an organization,

but also to consider the joint outcome of interdependent actors within the organization

and the environment it embedded (Lewin & Volbreda, 1999; 2003; Lewin, Long, &

Carroll, 1999). In this dissertation, we chose the coevolutionary theory perspective as our

theoretical basis, which allows us to observe catching up of a latecomer firm in the

emerging market with a more dynamical and multiple level approach, to avoid the

traditional static, single lens perspective.

1.3 Outline of the Dissertation

Except this introduction, the outline of this dissertation is organized as follows. In

the second chapter, we will review discussions and arguments about the latecomer firm,

its catch up activities first, followed by the idea of coevolutionary theory, especially its

application in management and organization studies. The third chapter presents our

framework and corresponding propositions based on the coevolutionary theory approach.

The fourth and fifth chapters describe the research methods we used and the result of our

cross case analysis, respectively. The final chapter presents our conclusions.

4

2. LITERATURE REVIEW

2. 1 Latecomer Firms

The original idea of “latecomer” mainly focuses on economic development at the

country level that Gerschenkron (1962) used to describe the late industrialized European

countries in the nineteenth century. Nevertheless, it has been used to describe the

leapfrogging growth of firms in newly industrialized countries (NICs), as in “the

latecomer firms” (Hobday, 1995; Mathews, 2002a; Mathews & Cho, 1999).

In Gerschenkron’s (1962) seminal work, absences of some preconditions make

economic development in latecomer countries different from that in other developed ones,

latecomer countries need to seek substitutions for compensating their absences in

institutions, level of technology development, and market size, respectively. His work

was cited frequently in subsequent studies related to economic development or

technological innovations, both at the country and at the firm level (e.g. Amsden & Chu,

2003; Hobday, 1995, 2003; Mathews, 2002a). However, because the unit of analysis in

our research focus is on the indigenous latecomer firm in the emerging market, our

discussions and arguments mainly concentrate at the firm level, but may cover the

discussions at the industry or country level when necessary (Hobday, 1995, Mathews &

Cho, 1999). We argue the meaning of latecomer firm is unlike leaders or followers; it

generally represents firms without advanced technology and facing entry barriers to the

market.

In a study of how firms in NICs catch up with the leading firms in Japan, Hobday

(1995) defined latecomer firms as the manufacturing companies facing disadvantages due

to lower levels in technology, incapable of accessing international market. A latecomer is

also unlike technological followers that have their own research and development (R&D)

capabilities to imitate or learn from leaders and connect to the market. Latecomer firms

have difficulties not only in investing in R&D activities and securing necessary

5

technological capabilities, but also in getting close to customers, especially those in

export markets. Hobday (1995) examined the catch up of latecomer firms in NICs mainly

though the ‘simple model” that described how marketing knowledge and technology are

assimilated within the firms. Without covering the service industry, his work does not

explain how catch up may happen in the context of value co-creation between customers

and suppliers, or in manufacturing industries that support with increasingly

service-oriented practices (Prahalad & Ramaswamy, 2004).

Mathews & Cho (1999) claimed the latecomer firm is a firm that is late to enter the

market, with no advanced technologies or market access, but which aims to accelerate

upgrading both in technology and market share. It is just late due to the timing of entry,

but tries very hard to become the leader. Unlike other start-up firms that are born with

particular advantages to compete with incumbents, some latecomer firms come from the

diversification of an existing firm in a different industry, or the spin off from a public

research institution, such as the entry of Samsung into the semiconductor industry, or

UMC which was spun off from a research project from Industrial Technology Research

Institute (ITRI) (Mathews & Cho, 1999, 2000). Here we propose different characteristics

of leaders, followers, and latecomers in Table 2.1. In this study, we define the latecomer

firm as the company that intends to compete with leading incumbents without sufficient

technological capabilities and market access in the first place.

Acquiring technologies from external sources, innovating by imitation, building the

infrastructure for industrial development are major success factors for economic

development of NICs, especially in the consumer electronic and semiconductor industries

(Amsden & Chu, 2003; Hobday, 1995; Kim, 1997; Kim & Nelson, 2000; Mathews &

Cho, 2000). However, all these studies developed from analysis at the country or industry

levels, rather than at the firm level. They do not consider how a single firm responds to

those external changes in order to for catch up, which leaves a gap we expect to fill in

6

this research.

Table 2.1 The Characteristics of the Leader, Follower, and Latecomer

Leader Follower Latecomer

Timing of Entry first entry behind the leader late entry

Technology

capability in-house R&D imitation acquisition and imitation

Market access creator connected isolated

2.2 Catching up of Latecomer Firms

Developing countries and latecomer firms all need to catch up to their competitors to

survive and develop economically. Their catch up activities require different sets of

supportive conditions that not only come from external and institutional environments,

such as technology transfer from multinational corporations (MNCs), and incentive

policies of the government, but also from absorptive capacities within the firms (Kim,

1997, 1999; Kim & Nelson, 2000; Mathews & Cho, 1999, 2000). In this section, we

briefly discuss the catch up of latecomers at the country level first, and then move down

to the firm level in detail.

Based on the theory of product life cycle in international trade and investment,

scholars first developed the flying-geese model to explain the recovery of the Japanese

economy after the World War II (WWII), and further expanded its application to the catch

up of NICs (Akamatsu, 1962; Kojima, 2002; Ozawa, 2003; Vernon, 1966). In that model,

one dominant country led the development path for other following developing countries

to upgrade their industry development. Ozawa (2003) called the catch up growth

embedded within the flying geese model “hegemon-led macro clustering” that the lead

country lead the industry upgrading of those followers. For example, the U.S.A. was the

first leading goose to guide the recovery of Japan after WWII, while Japan was the

7

second leader to help the industrialization of the NICs.

In the flying geese model, local firms in the developing countries catch up by

receiving transfers of operations from leading firms in the developed country. That also

opened those developing countries to the worldwide market and let them participate in

international division of labor (Kojima, 2002; Ozawa, 2003). Once those latecomer firms

participate in international markets through that division of labor, they can upgrade

themselves through expanding capacity and learning technology capabilities from those

foreign leaders (Ozawa, 2003).

While the flying geese model informed emerging economies how the evolutionary

track of economic development they may follow and analyzed the transfer of production

and enterprise systems across countries3, other researches concerned industrialization

and catching up of latecomer countries mainly through perspectives of

government/institution oriented or neoclassical economics (Amdsen & Chu, 2003; Aoki,

2001; Kim, 1997; Kim & Nelson, 2000).

For catching up to leading firms, latecomer firms need to consider first-mover

advantage (FMA) and disadvantages those leading firms have, and try their best to offset

disadvantages they have to compete with those leading incumbents correspondingly (Cho,

Kim, & Rhee, 1998; Lieberman & Montgomery, 1988, 1998; Mathews, 2002c). In their

works on advantages and disadvantages of the first movers, Lieberman & Montgomery

(1988, 1998) outlined sources of advantages and disadvantages of first movers, and

expanded these ideas into a discussion of the timing of entry in terms of the

resource-based view. Table 2.2 summarizes their arguments. As they mentioned, early

entry into the market and possession of advanced technology let those first movers (i.e.

leading firms) gain economies of scale through effects of learning and experience curves

3 Because the unit of analysis of this research is at the firm level, we stop our review about the flying geese model here, because it that mainly concerns industry development at the country or industry levels (Kojima, 2000).

8

and protection by using patents (Lieberman & Montgomery, 1988). However, existence

of those advantages cannot be sustained eternally, but mainly depends on the asymmetry

due to the timing of entry. Because the effect of organizational inertia, boundaries of

existing market and technologies on the leading firms, latecomer firms can breakthrough

or find new window of opportunist from boundaries in the first movers. Then, first mover

advantages may gradually become disadvantages of leading firms in a long run.

(Christensen, 1997; Lieberman & Montgomery, 1988, 1998).

Table 2.2 Advantages and Disadvantages of First Movers

Advantages Disadvantages

Technology leadership Free-rider effect

Preemption of scarce assets Incumbent inertia

Lock in effect of the switching cost Changes in technology and customer needs

Learning by doing Lack of qualified information and resources

Source: Revised from Lieberman & Montgomery (1988, 1998)

In fact, latecomer firms enjoy more stabilized market and technology conditions that

reduce the effect needed to educate customers or create new demand; they may follow

what those incumbents already created and penetrate the growing market (Lieberman &

Montgomery, 1988; Mathews, 2002c). While asymmetry of those characteristics can be

used to discern whether the firms are leaders or latecomers, the resource-based view of

the firm further helps firms to identify indigenous resources they can use to establish or

sustain their leading positions (Barney, 1991; Lieberman & Montgomery, 1998). As

Lieberman & Montgomery (1998) admitted, their discussions about first mover

advantages do not consider the influence of the external environment. Apparently, firms

cannot simply ignore the impacts from external environments, whether they are from the

9

industrial or institutional levels. Suarez & Lanzolla (2005) emphasized the contextual

dependence of the first mover advantages, and companies need to consider external

conditions, such as different evolutionary paces of market and technology, to

accommodate their FMAs.

Suarez & Lanzolla (2005) combined different development paces of technology and

market in various scenarios, which that show those variations in industrial dynamics may

jeopardize the durability of firms’ FMAs, and open the window of opportunity for other

late entrants (Christensen, 1997; Makadok, 1998). From the perspective of latecomer

firms, previous works show there are no eternally sustainable FMAs, and latecomers

should try their best to catch up with those leading rivals. Next, we are going to discuss

the catch up of latecomer firms in detail, to establish some essential constructs for our

further arguments.

Most catching up experiences of latecomer firms in NICs and other developing

countries appeared in information technology and semiconductor industries.4 Cho, et al.

(1998) compared the development of the semiconductor industries in Japan and Korea

and summarized their latecomer strategies. Their study examined how latecomer

semiconductor firms in Japan and Korea conquer inherent disadvantages of late entrants

to compete with other leaders. They claimed advantages of latecomers are disadvantages

of those early movers and vise versa, so latecomer firms can leapfrog those early movers

through turning upside down their disadvantages (Table 2.2). Different contexts in Japan

and Korea made the semiconductor industry in these two different countries follow

different approaches to catch up. In Japan, sequential upgrading for building

competencies that enabled them to surmount the leadership of the U.S.A., while Korea

initially manipulated Japan’s experience with more support of entrepreneurship (Cho, et

4 Studies about catching up of latecomer firms mainly discussed experiences in computer, electronic device, and semiconductor industries in NICs and other developing countries (Amsden & Chu, 2003; Hobday, 1995; Kim, 1997; Mathews, 2002a; Mathews & Cho, 1999, 2000).

10

al., 1998). Regardless of their differences, government support plays an important role in

both countries that provide institutional mechanisms for the leapfrogging of those

latecomer firms in semiconductor industry (Chang & Yu, 2001; Kim, 1997; Lall, 2000).

Table 2.3 Early Mover Advantages versus Latecomer Advantages

Sources Early Mover Advantages Latecomer Advantages

Market Switching cost

Changes in technology and

customer tastes

Free rider effects

Competition Preemption Incumbent inertia

Firm Learning by doing, learning/experience

curve effects

Enhanced transparency and

symmetry of information

Source: Revised from Cho, et al (1998: 493).

Using the experience of Korea’s semiconductor industry with the resource-based

view of the firm, Mathews & Cho (1999) argued lack of resources and capabilities means

latecomer firms cannot have isolating mechanisms to sustain their competitive

advantages, that first movers have (Mahoney & Pandian, 1993; Makadok, 1998; Rumelt,

1984). Therefore, latecomers need to secure external sources to compensate for their gaps,

and must learn from others to upgrade their resource bases. Adopting the idea of

“combinative capabilities” from Kogut & Zander (1992)5, Mathews & Cho (1999: 144)

defined combinative capabilities as “the receptivitives of the firm to external sources of

knowledge and technique” that enable the firm to initiate its double loop learning process

to combine external and internal resources to increase technological capabilities for

catching up. We can summarize combinative capabilities of the firm as the capabilities to

integrate pieces of functional capabilities within the firm and to leverage knowledge and

5 Kogut & Zander (1992) defined the concept of combinative capabilities as the intersection of capabilities of the capability of the firm to exploit its knowledge and the unexplored potential of the technology.

11

experience learned from external sources to upgrade the firm’s resource base to compete

with leaders.6

Figure 2.1 Dynamics of Absorptive Capacity

Source: Kim (1995: 508).

In his works on learning and economic development in Korea’s industries, Kim

(1995, 1997, 1998) used absorptive capacity proposed by Cohen & Levinthal (1990) to

consider intensity of effort and prior knowledge in the latecomer firms, and to formulate

the dynamics of absorptive capacity (Figure 2.1). The importance of learning has been

emphasized in the technological capability perspective and used to examine how

technologies are transferred, learned, and accumulated within latecomer firms for their

catching up (Dutrénit, 2000; Figueiredo, 2002, 2003; Kim, 1997, 1998).

Westphal, Kim, & Dahlman (1985: 171) defined technological capability as the

ability to make effective use of technical knowledge. They divided it into three elements:

production, investment, and innovation, and explored how Korea developed its

6 Definition of combinative capabilities in this work also include the meaning of absorptive capacity proposed by Cohen & Levinthal (1990), that emphasized the firm’s capability to learn from external sources and its internal accumulations.

12

technological capabilities, and how the importance of institutional change remains critical

for enhancing the technological capabilities of South Korea. Kim (1997) used the same

ideas and expanded them for different industries in South Korea, then concluded that

imitation is the first step for future innovation leadership of those latecomer firms and

countries. In his work, the concept of technological capabilities is mainly treated as

interchangeable with “absorptive capacity” mentioned by Cohen & Levinthal (1990).

Because building, learning and assimilation of technological capabilities are so

critical for the catching up of the latecomer firm, many studies use that perspective to

examine catch up of latecomer firms in different industries and countries. According to

the experience of Korea industries, Kim (1997) proposed an analytical model covering

the technology trajectory (external environment), institutional environment (meso

environment), and dynamic learning of the firm. In the case of the Brazilian steel industry,

Figueiredo (2002, 2003) outlined the framework of technological capability accumulation

by classifying different technological functions, and identifying whether the technologies

were routine or innovative. His framework also considered learning process of the

latecomer based on the knowledge creating theory developed by Nonaka & Takeuchi

(1995), and featured four different stages of the learning process: variety, intensity,

functioning, and interaction.7

Studies emphasized the importance of organizational learning within the firm, and

implied the importance of knowledge creation and conversion for their technological

capability building and accumulation. 8 When latecomers gradually change from

technology users to technology generators, studies using the technological capabilities or

7 Figueiredo (2002, 2003) proved the relationship between knowledge acquisition and knowledge conversion for rapid technology capability accumulation within the firm, and showed accumulations and sustainabilities in different technological functions also influenced by different approaches and rates of other complementary technological capabilities. 8 Technological capability approach is mainly used in explaining the catching up of industries and latecomer firms in Korea. (Choung, Hwang, Choi, & Rim, 2000; Hobday, Rush, & Bessant, 2004; Kim, 1998).

13

capability building approaches have also moved to other non-technological or

non-institutional elements, such as alternative changes in organizational structure of the

firm, or network capabilities among firms that were seldom mentioned in previous works

(Cho & Lee, 2003; Dutrénit, 2000; Kim, 1997; Mathews, 2002a, 2002c).

Dutrénit (2000, 2004) classified studies about the technological capabilities building

of firms into two categories. One category considered the firms in industrial latecomer

countries (ILC), and emphasized not only building of technological capabilities at the

minimum level, but also the support of institutional policies and the national innovation

systems (Hobday, 1995; Kim, 1997). The other category was essentially based on the

core competence perspective of Hamel & Prahalad (1994) in the strategic management

literature (SML) that studied learning, integrating, and building of technological

capabilities within the firm.9 Figueiredo (2002, 2003) compared differences between

intra-firm learning of latecomer steel firms in Brazil. His study separated technological

capabilities at the firm level into corresponding functions, then compared different levels

of difficulty, and studied learning processes and technological capability accumulation of

the latecomer firms. The importance of technological capability in the latecomer firm and

how to access, learn, and accumulate technologies were examined elaborately in Dutrénit

(2000) and Figueiredo (2002, 2003).

However, the gap in technological capabilities is only one of the latecomer

disadvantages; and there are different kinds of resources a firm needs to compete with

others (Cho, et al., 1998; Mathews, 2002b; Mathews & Cho, 1999). If we treat the firm as

a bundle of productive resources directed by administrative decisions of top executives

(Mahoney & Pandian, 1993; Penrose, 1995; Peteraf, 1993), people can analyze the

catching up of latecomer firms in terms of a resource-based view of the firm.

9 Dutrénit (2000, 2004) compared these two categories in terms of complexity of knowledge base of the firm and content of technological learning, and used them to explain the transition process of knowledge accumulation in one business unit of a Mexican company.

14

Mathews (2002c, 2003b, 2006a) and Mathews & Cho (1999, 2000) have examined

the catch up of semiconductor industry in East Asia and the internalization process of

latecomer Asian multinational corporations, and further developed the resource-based

view of the latecomer firm.10 Their works based on latecomer countries and firms

provide extended explanations, and rewrite traditional understanding about the

resource-based view of the firm.

The Resource-based view of the firm presumes firms should use resources they

possess to secure sustainable competitive advantages, that ignore the fact that not all

resources the firm has can be sustained, and not all resources the firm needs can be

possessed in the first place, especially for those latecomer firms (Barney, 1986; Dierickx

& Cool, 1989; Mathews, 2003b). Mathews (2002a, 2002c, 2003b) made a series of

arguments to emphasize that latecomer firms can catch up based on their innate

disadvantages with a reversed thinking as Cho, et al. (1998) also said. Even with poor

resource at their beginning, latecomer firms could reverse their disadvantages as their

fundamentals for future leapfrogging. His arguments described how those latecomer

firms located in peripheral regions, such as South Asia, successfully catch up with their

competitors in developed countries and rapidly internationalize their operations in a short

time (Mathews, 2002a, 2006a). In fact, Teece (2000) also used dynamic capability

perspective to link firm capabilities and economic development of NICs, then made

similar conclusions that latecomer firms could choose more attractively evolutionary

paths and position themselves to produce intermediate products with open standards to

maximize the cost advantages of being in the developing countries.

Mathews (2002a, 2002c, 2006a) argued latecomer firms can identify the role and

position they expect to play in the industry value chain first (linkage), then secure

10 Mathews has done lots of research about catching up of latecomer firms in NICs, especially those peripheral multinationals born in Taiwan (2002a, 2006a).

15

partnerships or support from their leading competitors, mainly foreign firms in developed

countries that play as vendors of technological capabilities and market access, to

compensate for the their poor resource bases (leverage). Once the latecomers position

themselves well and establish relationship with those capable vendors, they not only can

imitate those external sources, but also learn and integrate both external and internal

knowledge and experience for catching and further leapfrogging (learning).

While the resource-based view of the firm emphasized that the firm needs to seek

resources that are not imitable, substitutable and transferable, resources with these

vulnerable characteristics are what latecomer firms prefer (Barney, 2001b, Dierickx &

Cool, 1989; Mathews, 2002c). Being latecomers, even with those innate disadvantages,

that gives them other advantages through accumulating external resources, such as

compressing their time to track technological trajectories, being a free rider, and having

clearer understanding about technological and market trends (Lieberman & Montgomery,

1988, 1998; Mathews, 2003b). All these “advantages” make imitation, learning and

accumulating resources from external sources become major engines for the catch up of

latecomer firms. Based on the resource based view of the firm, Mathews (2002a; 2003a,

2006a) claimed catching up of latecomer firms is the search for competitive

complementarities that include three Ls- linkages, leverage and learning (See Table 2.4).

Latecomer firms can implement these strategies through having outsourcing

contracts and technological licensing from leading incumbents, or playing as the second

source for those leading firms, to satisfy the demand of those leaders (clients).11 Without

so-called FMAs, latecomers can use their late timing of entry as the slack to learn and

practices new technical and market knowledge, rather than confronting in those leaders

directly in head-to-head competition. Also, latecomer firms can leverage resources they

11 We argue catching up of latecomer firms could be seen as showing how those latecomer firms complement the existing industry value chain to earn growth opportunities and learn advanced technologies from the market (Mathews, 2002a, 2002c, 2003a).

16

have, such as low labor costs, to deepen their participation in the industry value chain that

fulfill with the demand from leading rivals, and upgrade their own hands-on knowledge

and experiences in particular products and markets, such as the development experience

of DRAM industry in Japan and Korea (Kim, 1997; Cho, et al., 1998; Mathews, 2002c;

Mathews & Cho, 2000).

Apparently, without proper organizational learning within the latecomer firms,

having good linkage and leverage cannot assure successful catch up (Figueiredo, 1999;

Kim, 1997, 1998; Kim & Nelson, 2000; Mathews & Cho, 1999). Here, we also recognize

that institutional support also has a critical role in enhancing the effects of organizational

learning of the firm.

Table 2.4 Three Ls for the Catch Up of Latecomer Firms

Strategies Characteristics

Linkage Secure the connection to participate in the global value chain

Leverage Leverage the position in the global value chain and offer the products and

services that incumbents’ need

Learning Learn from the consolidating linkages and repeated leverage to increase the

resource bases of the firm

Source: Summarized from Mathews (2002a, 2002c, 2003, 2006a).

Regardless of being described differently in different studies, such as combinative

capabilities, absorptive capacity, and technological learning, learning needs to be

executed within the latecomer firms for their catching up. Because it is necessary not

only to learn from external sources, but also to recombine new knowledge with existing

resources and experiences to upgrade the resource base of latecomer firms, this

dissertation uses “combinative capabilities” to describe capabilities used to learn and

recombine resources within and beyond the boundary of the latecomer firm regarding

17

their catching up activities. This argues that latecomer firms need to combine and

integrate existing and newly learned knowledge to create their competitive advantages

(Amsden & Chiu, 2003; Dutrénit, 2000; Figueiredo, 2003; Mathews & Cho, 1999; Teece,

2000).

Apparently, combinative capabilities of latecomer firms cannot stand alone, but need

to cope with dynamic environment both outside and inside the organizations. For

example, Kim (1998) treated the firm as an organizational learning system, and the catch

up of the latecomer firm into the dynamic interaction between external and internal

environment (Figure 2.2). That reminds us that catching up of latecomer firms is not a

single event or one-time phenomena, but an evolving series of learning activities between

firms themselves and corresponding contexts they confronted.

Figure 2.2 Dynamics of Organizational Learning in Catching-up

Source: Kim (1998: 509).

Hobday (2003) used Gerashchenko’s (1962) arguments to show Asia’s

industrialization through not only the catch up of technological progress, but also

innovations in strategies and institutions from the firm and government. We argue the

18

catching up of latecomer firms also evolves along with changes and innovations

happening both within and outside the firm. While previous studies mainly used a single

lens to explain catching up of latecomer firms, whether they focus on technological

capabilities or institutional arrangements, we argue a multiple lens approach would be

interesting and could bring some new insights to analyze catching up of the latecomer

firm in the emerging market against leading incumbents. In this dissertation, the idea of

coevolutionary theory approach is adapted to the context of catching up of latecomer

firms in the emerging market, for further developing our conceptual model (Baum &

Singh, 1994a; Charlesworth & Charlesworth, 200; Lewin & Koza, 2002; Lewin &

Volberda, 1999, 2003; Mayr, 2001). In the following sections, we discuss the idea of the

coevolutionary theory approach and its application in organization and management

studies in detail.

2.3 The Coevolutionary Theory Approach

We divide this section into two sub-sections for describing the idea of coevolution

and explaining how organizational studies use that coevolutionary approach, respectively.

2.3.1 The idea of coevolution

In the biological world, coevolution represents synchronized evolutions between

species and their living environments (Charlesworth & Charlesworth, 2003;; Kauffman,

1993; Mayr, 2001; Zimmer, 2001).12 Kauffman (1993) emphasized that any evolution is

coevolution, in that organisms cannot evolve without responding to the changes of the

environment they live in. Therefore, whether the discussions concern evolution of one

12 The idea of coevolution in organization studies is borrowed from biology (Durand, 2006; Lewin & Volberda, 1999; McKelvey, 1994, 2002). Natural selection proposed by Darwin (1895) is also used to describe as the selection / competition between firms and their competitive environment (i.e. environmental niches in biology)(e.g. McKelvey, 1997). Population ecology has even become the basis for the development of organizational population used in managerial and organizational studies intensively (Hannan & Freeman, 1989; Roughgarden, 1996).

19

particular species or interaction between species, they are just different forms of

coevolution existing in a specific context.

Mayr (2001) claimed while two organisms interact with each other they will

coevolve, and all evolutions mainly occur through such coevolution. He defined

coevolution as “the parallel evolutions of two kinds of organisms that are

interdependent… or where at least one depends on [an]other…, and where any change

will result in an adaptive response” (2001: 312). Even without an explicit statement,

coevolution that Mayr (2001) defined does not ignore the importance of natural selection

that forces mutation and symbiosis to happen to organisms. Mutation emphasizes the

change of phenotype, while symbiosis focuses on the collaborative reciprocity between

organisms.

Various instances of coevolution surround us in our daily life, for example, the

interactions between pollinators and flowering plants, and those between host and

parasite and predators and prey, or between species and the environment in which they

are embedded (Mayr, 2001; Zimmer, 2001). Coevolution happens not only between

species (animals and plants), but also with the environment they live in, which establishes

symbiosis between those species, and forces possible mutation toward the environmental

changes and brings up the crisis of extinctions due to the pressure of natural selection

(Charlesworth & Charlesworth, 2003).

In terms of the theory of population biology, coevolution is the simultaneous

evolution of interacting populations through the influence of natural selection on

populations within an ecological community (Roughgarden, 1996: 451). Roughgarden

(1996) identified some critical questions in coevolution, such as how coevolution

happens, the effect of coevolution on the whole ecological community, and possible

dynamic results of coevolution, like symbiosis, parasitism, and mutualism. Population

ecology mainly concerns the equilibrium of an ecological community under the pressure

20

of natural selection.13

Knowledge of population ecology applies in the field of organization studies already

used to research competition interactions between organizations, population ecology of

organizations, evolutionary dynamics of organizations, and topics related to

organizational diversity (Baum & McKelvey, 1999; Baum & Singh, 1994a; Hannan &

Freeman, 1989; Kauffman, 1995). In addition, some works have used the ecological

perspective to describe industry structure as an industry ecosystem (Iansiti & Levien,

2004; Moore, 1997). All these arguments explicitly or implicitly conceive of coevolution

not only as a simple analog, but as physically present in our economic life.14

While the concept of coevolution has been applied in various fields, most derivate

studies use species or organizations (depends on the unit of analysis) as the threshold to

divide coevolution into two different levels; the evolutionary events and processes

happening below the threshold are called micro-coevolution, while those happening

above the threshold are called macro-coevolution (Lewin & Koza, 2002; Lewin &

Volberda, 1999; Madhok & Phene, 2001; Madhok & Liu, 2006; McKelvey, 1997, 2000;

Volberda & Lewin, 2003). Because different composites shape different patterns of

coevolutionary processes, interactions between micro-coevolution and macro-coevolution

also evolve with the complexity and dynamics among those composites and the

corresponding ecosystems (Kauffman, 1995).

13 Roughgarden (1996) used mathematical models to describe different possibilities of coevolution, and showed coevolution of the community changes and restructures the whole ecology, and the fitness of those species in the community depends on the ecological network they are embedded in. Studies of population show the stable community is only temporary and hard to maintain, and the evolution of every species has to consider the impact of not only natural selection, but also the interaction between counterparts for sustaining population growth and reducing the risk of extinction. 14 For example, Rothschild (1990) described interactive changes across political, economic, and technological fields as “global coevolution” and admitted such a coevolution is also a long-term evolutionary process for the economic system where its own history matters. Based on such an analog, he argued that the economic system is an evolving ecosystem, and the source of economic life is technical information, while market competition and technical innovation of an economic system work like natural selection and variation in nature.

21

In this dissertation, we not only identify actors and synthesize interactions between

them and the environment they are embedded in, but also identify macro- and

micro-coevolutions for observing catching up of latecomer firms in emerging market.

2.3.2 The Coevolutionary Theory Approach in Organization and Management

Studies

Different schools of thought in organization studies have presented diversified

explanations on how organizations interact with environments and other external factors

for survival, what makes organizations different, and how organizations evolve; however,

classical approaches such as resource dependence, population ecology, and institutional

theory, whether the unit of analysis is at the micro- or macro- levels, do not explicitly

explain how coevolution happened between organizations and their context (Baum, 2002;

Hannan & Freeman, 1989; McKinley & Mone, 2003; McKelvey, 1997; Miles & Snow,

2003; Scott, 1998).

To study organizations in terms of coevolutionary insight, one can assume the

complexity and diversity of organizations and environment as quasi natural phenomena

that represent the intersection of intentionally and naturally caused behavior (McKelvey,

1997: 353). Under this premise, we argue the phenomena that connect the organization

and external elements (e.g. environment, institution, and technology) can be organized

and examined through the coevolutionary perspective.

While Nelson & Winter (1982) showed economic growth can be understood by the

evolutionary process of firms and industries, Nelson (1994a, 1994b) further argued that

evolving development of the technology trajectory not only initiates new business and

markets, but also intensifies competition between incumbents and new participants

(including latecomers); concurrently, the institutional intervention may be formulated

under the pressure of collective interests of different stakeholders, to make institutional

22

support of particular technologies (i.e. dominant design). Consequently, the emergence of

dominant design eliminates market space for other competing alternatives and forces

economic growth along with growth opportunity for firms and industries based on that

dominant design (Nelson, 1994b; Rosonkopf & Tushman, 1994; Utterback, 1994).15

Even without giving an accurate definition of coevolution, Nelson (1994a, 1994b)

informed us about the importance of coevolution in economic growth. Similar arguments

can be found in the industrial development of NICs, for example, Huang (2002) used the

coevolution of technologies and institutions to compare the development of the hard disk

drive (HDD) and liquid crystal display (LCD) industries in Taiwan. However, there is no

similar work concerning how coevolution may happen in the emerging market yet.16

Therefore, our intention to use the coevolutionary theory to explain catching up of

latecomers in the emerging market remains an interesting inquiry, especially since

catching up is also another kind of pattern for the economic growth both for latecomers

and emerging markets (Kim & Nelson, 2000; Rogers, 2004).

Technological change not only coevolves with institutions, but also with relevant

organizations (Rosenkopf & Tushman, 1994; Murmann, 2003). Rosenkopf & Tushman

(1994) discussed the coevolution of technology and organizations in terms of the analysis

of how dominant technology/design emerges with the view of technological community

organization. They argued the emergence of dominant technology (e.g. the case of

QWERTY) can not be simplified as technological determinism or the result of social

construction and power differentials between interdependent organizations. Organizations

evolve by responding to the continuity and discontinuity of technology; through the

15 While Nelson (1994a, 1994b) discussed coevolution between organizations, institutions, and technology, we argue his arguments are more than the result of changing technology life cycle in different fields, but show the coevolution between different actors makes economic growth happen. 16 Suhomlinova (2006) only proposed a conceptual model for organizational co-evolution in transition economies, but did not have any empirical study. However, this dissertation may be one of few studies that consider both theoretical and empirical works at the same time, even the empirical part remains preliminary in nature.

23

convergence and divergence between technology and organization that shape the

coevolution of technology and organizations in their respective evolving paths (Tushman

& Romanelli, 1985; Tushman & Rosenkopf, 1992). Rosenkopf & Tushman (1994)

described how such a coevolution can be explained in terms of variation, selection, and

retention of technology and organizations.17

Many studies have considered the idea of coevolution into different topics, such as

the coevolution between technology, organizations, and institutions, that between

technology and markets, and how technology coevolves with governance in industrial

evolution (Jeong, 2001; Nelson, 1994a, 1994b; Santos, 2003; Van de Van & Garud, 1994;

Volberda & Lewin, 2003; von Tunzelmann, 2003). These works mainly concern how

coevolution happens at the group/community level, and imply that coevolution could be

constructed through any interdependent components that evolve within the same context,

thus people may use different constituents to create their own coevolutionary framework.

The idea of coevolution is also applied in managerial studies, such as strategic

management, product development, and decision making (e.g. Burgleman, 2002;

Eisenhardt & Galunic, 2000; Jacobides & Nelson, 2005; Van den Ende, Wijinberg,

Vogels, & Kerstens, 2003). Burgleman (1991, 2002) presented an ecological model of

strategy making of the firm through an evolutionary perspective, where the framework

describes the induced strategy process of the firm as the genotype of the species in nature,

and the autonomous strategy process as the mutation in nature.18 Whether that strategy

process is mainly induced or autonomous, the firm needs to consider variations deriving

from its strategy process and their possible influence on their stakeholders. Once

17 Here, we also can claim that coevolution is the synthesizing evolutions of different actors/building blocks, whether they are institutions, environment, technology, or other actors. Different actors are in different stages of their own evolutions, but interact with other actors concurrently. 18 Genotype and phenotype were originally used to describe characteristic of species, but they are also used to describe the features of strategy making and organizational evolution recently (Burgelman, 2002; Durand, 2006; Mayr, 2001).

24

strategies of complementary vendors are highly influenced by the lead firm and vice

versa, such as the coevolution of Intel and PC makers, coevolution between two firms’

strategy making appears (Burgelman, 2002). In other cases, the notion of coevolution has

also been used to connect two complementary schools of thoughts in strategic

management- transaction cost theory and capability based views, to analyze how industry

evolves to define its vertical scope (Jacobides & Winter, 2005).19

Since the firm is not an island, but plays different roles in various industry

ecosystems, it needs to interact with others for survival, whether the connection is

temporary or permanent (Iansiti & Levien, 2004; Moore, 1997); in that sense, Eisenhardt

& Gulanic (2000) claimed managers need to master such a coevolving process to develop

or allow synergies through connecting and linking from different contexts with different

business units across boundaries. Mathews (2002b:42) defined coevolution as

co-specialization with respect to each other in their environment, and expressed

co-specialization of resources across firms as coevolutionary dynamics. In fact,

coevolutionary dynamics includes recombination of resources that may also create new

variations for making new growth opportunities, and for challenging related firms

(Schumpeter, 1934; Penrose, 1995).

As mentioned in the earlier section, latecomer firms in the emerging market need to

not only select external sources and internalize them with their initial resources, but also

to adapt the changing institutional environment. Because only a few works studied the

coevolution of latecomer firms, in this dissertation we intend to use the idea of

coevolution to construct our conceptual model to examine this unanswered question in

the context of emerging market.

19 These previous works seldom mentioned differences of firms in their market positions. That reminds us that studying catching up of latecomers in the emerging market, which also refers to firms in relatively inferior market positions, could make some potential contributions, in terms of the coevolutionary perspective.

25

Decision making within the firm can also be recognized in terms of the concept of

coevolution. In studies of decision making, an economic perspective considers pay off in

different alternatives and rationality behind them, and a behavior perspective concerned

cognitive limitations and the mental model; Johnson & Russo (1997) also compensated

for these biases and proposed a coevolution framework for managers’ decision making.20

Except for the bounded rationality of managers, amateur institutional environment

and societal context in the emerging market make managers difficult to make more stable

and relatively rational decisions, thus forcing selection and adaptation of the firm to

become more interdependent with the environment (Hoskisson, et al., 2000; Peng, 2003;

Peng & Luo, 2000; Tang & Tan, 2005). Consequently, how internal mechanisms of the

latecomer firm would coevolve with the external environment in the emerging market is

also another interesting question, and our intention to apply the coevolutionary

perspective to analyze catching up of the latecomer firm in the emerging market could

contribute to the field.

Most recent works that applied the idea of coevolutionary theory approach borrowed

from the study of Lewin & Volberda (1990), which proposed an integrative research

framework for research on strategy and new organizational forms.21 Lewin & Volbeda

(1999, 2003) reviewed the debate of selection and adaptation between organizations and

environment for exploring how firms coevolve with their environment. While selection,

variation, and intention processes are three major parts of the evolutionary change of

organizations, relevant studies are mainly the environment oriented and focus on change

at the organization population level (Baum & Singh, 1994a; Hanna & Freeman, 1989;

McKelvey & Baum, 1999). On other hand, the strategic choice perspective emphasized

20 Johnson & Russo (1997) defined coevolution as “any system where the outcomes of behavior are interdependent”, and argued managers need to think of the effects of interdependent actions in their decision-making. 21 Please refer to the special issues of Organization Studies, 2001, 22(6); Journal of Management Studies, 2003, 40(8); and Organization Science, 1999, 10(5) for details.

26

the role of managers to select, adapt, and shape their environment changes (Child, 1972,

1997; Miles & Snow, 2003). Mile & Snow (2003) were concerned the selection of the

organizations corresponding to the environmental change. Table 2.5 shows different

theoretical perspectives in the debate regarding selection and adaptation; all these

arguments are mainly single-lens, and seldom consider the idea of evolution and

interaction between different components and their environment concurrently.

From the standpoint of the organizations, one may attribute the coevolution between

organization and environment to how organizational learning is used to explore new

opportunities or exploit existing resources/advantages in the changing environment

(March, 1991). To coevolve with environment, we argue exploration and exploitation in

organizational learning also need to predict or prepare for any possible change or impact

from the evolving environment to make a proper adaptation, whether it is a change of

organizational form or managerial intentionality (March, 1991; Lewin & Volberda, 1999;

Lewin, et al., 1999). As McKelvey (1997) considered coevolution as a quasi natural

phenomenon, the intentional activities of the organization need to be considered; he also

called for integrating internal and external organization analysis in terms of the

multi-level perspective. While the multiple-level interactions could be treated as the joint

outcomes of various constituents, Lewin & Volberda (1999: 536) defined coevolution as

“the joint outcomes of managerial intentionality, environment, and institutional effect”,

which also reflects the meaning of quasi natural phenomena described by McKelvey

(1997).

27

Table 2.5 Debate of Selection and Adaptation by the Single Lens

Source: Lewin and Volberda (1999: 524)

In fact, many scholars have cited the definition proposed by Lewin & Volberda

(1998) as their reference for using different building blocks to construct the frameworks

of coevolution in various studies. For example, the emergence of new organizational

forms has been treated as a mutation of the firm due to external environmental changes at

the institutional and societal levels (Lewin, et al., 1999), they also described how

institutional mechanisms matter to environment the firm functioned in and how the firm

simultaneously changes it organizational structure/form and managerial practices to

28

survive. Coevolution also happens between absorptive capabilities of the firm and

different natures of knowledge environment (Van den Bosch, Volberda, & de Boer, 1999;

Volberda, 1998). In addition, this definition had been mentioned at the industry level in

different knowledge environments (Santos, 2003) or in the context of strategic alliances

(Koza & Lewin, 1998).

Van de Bosch, Volberda, & de Boer (1999) showed that combinative capabilities

and the organizational forms coevolve to adapt to changes of the nature of the knowledge

environment. These changes imply the interaction between combinative capabilities and

organization forms within the firm. Using their argument in the context of latecomer

firms, we argue such interactions could be thought of as internal mechanisms of the

latecomer firms to respond to a changing external environment, such as deregulation,

market opening, or other changes to appear in the emerging market.

While applying niche driven coevolution at the firm level, McKelvey (1997:

359-360) labeled the coevolution within multiple levels of the firm as micro-coevolution,

and coevolution with external environment (the niche) as macro-coevolution, respectively.

Based on this classification, we can call the coevolution between the emerging market

(external environment) and latecomer firms as macro-coevolution, while internal

coevolution within the firm can be treated as micro-coevolution. One also needs to keep

in mind that the evolutionary processes, such as selection, variation, and retention,

happen within these coevolutions and reflect the complexity between organization and

environment.22.

In a multi-business organization, such as diversified corporations, coevolutionary

dynamics may be even more complicated (Volberda, Baden-Fuller, & Van den Bosch,

22 People may also wonder if coevolution could be explained in terms of Gidden’s (1994)’s duality of structure, in that structures are more than outlines or rules toward actions, but are also the outcomes of action themselves. However, duality of structure can explain the interaction between the firm and the institutional environment, but it does not discuss the idea of evolution, while coevolution means two or more evolutionary systems link with each other and determine the trajectory of evolutionary together (Winder, McIntosh, & Jeffery, 2005: 353).

29

2001; Volberda & Lewin, 2003). In such a multi-level context, managerial intentionality

has to moderate selection and adaptation within and between the firm and environments

rather than letting the firm simply be a recipient, in response to external pressure

(Volberda & Lewin, 2003). In terms of the strategic choice perspective, Child (1972,

1997) showed the organization itself can also change consciously, such as movement due

to managers’ intention. Except for those instances in multiple business units, people

cannot underestimate the influence of managerial intentionality in the single business

firm, especially while we considering the effect of strategic intent or entrepreneurship

within the firm. For example, different managerial intentionality may be create new rules

of the game or disruptive innovation regarding the existing context, and then we also can

claim these intended behaviors may be created as mutations or new variations in the

corresponding market and industry (Burgelman, 2002; Christensen, 1997; Hamel, 2002;

Prahalad & Hamel, 1990; Schumpeter, 1934).

Dijksterhuis, Van Den Bosch, & Volberda (1999) referred to the idea of

management logics as macro-beliefs and values that influence managerial practices and

thinking,23 and Figure 2.3 shows variations of management logics at different levels,

that shape the shared mindsets of managers and further influence their strategic actions

and the organizational form. Thus, we can build up the linkage between management

logics in the external environment, managerial intentionality, and organizational form to

represent the change on any of these elements may rewrite the content of the others. For

example, preference toward customization rather than mass production may make a top

management team change practices in manufacturing and quality control along with the

change of organizational form to better adapt to that new mindset. We argue the

reciprocal interaction between management logics and managerial intentionality of the

23 We argue management logic is more like a managerial ideology in one society, such as Fordism or Taylor’s principles of scientific management, (Scott, 1998). That reflects a school of management thoughts that dominate managerial thinking and practices and actions in one society/country in a particular period.

30

firm exists to bridge the evolution of the firm and external environment.

Figure 2.3 Effect of Management Logics in Coevolution

Source: Dijksterhuis, Van Den Bosch, & Volberda (1999: 571)

How organizational form interacts with the environment is a major agenda for

organization studies using the coevolutionary theory approach (Lewin & Volberda, 1999;

2003). Different types of organizational forms reflect not only the different kinds of

competitive environments they faced, but also different selection and adaptation by their

management teams (Burns & Stalk, 1961; Lawrence & Lorsch, 1967; Lewin, et al., 1999;

Scott, 1998). In this dissertation, we will apply the notion of the coevolutionary

approach to construct a conceptual framework for describing catching up of latecomer

firms in the emerging market in the next chapter. Before that, we will discuss how people

can claim a research as an application of the coevolutionary theory approach in the next

section.

31

2.3.3 Justification of the Coevolutionary Theory Approach

This section intends to elaborate the properties that people use to claim a research is

using the coevolutionary theory approach. Here, we characterize the properties of

coevolution first, and discuss the minimum considerations one needs to consider in

applying that perspective.

Lewin & Volberda (1999, 2003) summarized the properties of coevolution as shown

in Table 2.6, and they also developed minimum conditions for justifying the application

of coevolutionary theory perspective in managerial and organizational studies based on

these properties. Even without explicit descriptions, we argue these properties and

relevant conditions do emphasize the nature of coevolutionary perspective, especially in

the context of managerial and organizational studies, and they can also be used as a good

reference for any research that intends to adopt the coevolutionary approach.24

The Research paradigm in organization studies mainly concentrates on establishing

and examining causal relationships between variables, however, it is difficult to examine

scope and intensity for those interactions among variables based on coevolutionary

theory approach (Baum, 2002; McKelvey, 1997; Scott, 1998; Shoemaker, Tankard, &

Lasorsa, 2004). Apparently, longitudinal data and methods are necessary for doing

research work using the coevolutionary perspective, but features of coevolution, such as

multidirectional causalities, path dependence, positive feedback across agents, and effects

of mutual, lagged, and simultaneous, also mean that research tools like computational

simulation, agent modeling, and event history analysis, are inadequate to satisfy all

conditions of the coevolutionary perspective, even though these methods still provided

24 For example, researches applied the concept of coevolution need observation over a long period to grasp

changes and differences arising from multiple evolutions, and the issue of path dependence needs to be

taken into account for establishing multidirectional relationships among constituents (Lewin & Volberda,

1999, 2003).

32

some insightful explanations to the field (Baum, 2002; Baum & McKelvey, 1999; Baum

& Singh, 1994a; Greve, 2002; Jenkins & Floyd, 2001; McKelvey, 2002).

Table 2.6 Properties of Coevolution

Properties Description

Multilevelness/Embeddedness

Coevolution takes place at multiple levels, while

macrocoevolution exists at the population level; microcoevolution

appeared in the intrafirm context.

Multidirectional Causalities

Because coevolution occurs at macro- and micro level between

and across various systems, the causal relationship among them is

not single direction but rather multiple; the establishment of causal

relationships among variables is relatively meaningless.

Nonlinearity

Due to multidirectional and uncertain feedback loops among

variables, there are nonlinear relationships between participating

actors within the coevolution.

Positive Feedback Organization and environment are interdependent with each other,

and recursive feedback replaces single causal relationship.

Path and History Dependence

Like evolution of single species, path and historical dependence

also happen in coevolution, especially in the stage of adaptation.

They create innate-limits or advantages for actors within the

coevolutionary relationship.

Source: Summarized from Lewin & Volberda (1999: 526-527; 2003: 582-584)

McKelvey (1997) claimed the rate of change in variables and measures of interests

can be used as the measurement in doing coevolution studies. Lewin & Volberda (2003)

also suggested one should consider performance in time series and founding conditions of

the organization and environment with microadaptation sequences and event histories,

such as technological innovation, and regulatory change, to describe the presence of

coevolution. Difficulties in doing empirical research through the coevolutionary

perspective informs people not to expect to secure the total solution based on the

33

coevolutionary perspective to cover different inquiries.25

Another approach to measure coevolution is to consider pairs of effects it may cause,

such as variation and retention, exploration and exploitation, Red Queens effect and

Frozen Equilibria (Greve, 2002; Kauffman, 1993; March, 1991; McKelvey, 2002). For

example, the Red Queens effect is used to describe organization evolution at the

population level; when Red Queens effect happens, all competing organizations change

their organizational fitness toward the same direction through learning by doing and

mutual competitive response at the population level, which increases adaptability among

the population for a certain period of time, until that effect turns into maladaptive for

those organizations (Amburgey & Singh, 2002; Barnett & Hansen, 1996; Barnett &

Sorenson, 2002; Gerve, 2002). With the introduction of quantitative analysis from the

science of complexity, it can be expected that organization studies using coevolutionary

theory approach will have more quantitative-based results and arguments (Baum & Singh,

1994a; Baum & McKelvey, 2002).

As Lewin, et al. (1999) mentioned, because coevolutions of firm, industry, and

environment cover different disciplines and relationships, we can not take these

constituents into account all at once (Figure. 2.4). Regardless of the limits in previous

studies, most of them established interactive or causal relationships among variables

based on the features of path dependence and multi-level interactions, or the effects of

organizational learning and absorptive capacity. In past studies, case study was used as a

major research approach for studies using the coevolutionary perspective, and most of

them were based on longitudinal archives and historical documents to categorize and

compare data over time (Table 2.7).

25 Lewin & Volberda’s (2003) idea of microadapation sequences is borrowed from the description of microstate in McKelvey (1997). However, because of the idiosyncratic and unpredictable natures of coevolution and difficulty of data access, even Lewin & Volberda’s (2003) defined microstate as discrete random behavioral process events, and the most studies still cannot reflect the whole coevolutionary phenomena organizations confront (Lewin, et al., 1999).

34

Unlike genes and fossils from biology and archeology, which can be scrutinized out

of context, coevolution between organizations and relevant actors evolves in real time

and we can not examine events and processes among actors embedded within the target

context, unless using action research or other participatory approach (Easterby-Smith,

Thrope, & Lowe, 2002) Therefore, even though we may outline a proper conceptual

model, this does not guarantee corresponding empirical work could be measured

completely without any bias .

Figure 2.4 Coevolution of Firm, Industry, and Environment

Source: Lewin, et al. (1999:537)

35

Table 2.7 Empirical Works Using the Coevolutionary Theory Approach

Studies

(by Year)

Coevolution between… Research Target

Research

Method

Jacobides and Nelson

(2005)

capabilities and transaction cost

mortgage banking in US,

1981-1989; Swiss watch

manufacturing, 1980-1992

case study

Flier, Van den Bosch, and

Volberda (2003)

environmental selection, institutional

effects, and managerial intentionality

British, Dutch, and French financial

incumbents case study

Lampel and Shamsie

(2003) capabilities and organizational forms

Hollywood movie industry in US;

1941-1948, 1981-1988

regression

analysis

Rodrigues and Child

(2003)

organizational forms and

institutionalized environment

one telecommunication firm in

Brazil, 1973-2000 case study

Salvato (2003) role of managerial leadership and

strategic evolution

two medium sized Italian

designer’s household articles and

mail-order based business

comparative

case study

Santos (2003) learning strategies of firms and their

knowledge environment pharmaceutical industry case study

Van den Ende, et al (2003) the way firms organize their

innovation and external autonomy software product projects case study

von Tunzelmann (2003) governance and technology industrial evolution case study

Carney and Gedajlovic

(2002)

institutional environment and

organization strategies

family business groups in ASEAN

member states case study

Hyugens, Baden-Fuller,

Van den Bosch, and

Volberda (2001)

firm capabilities and industry

competition music industry across 120 years case study

Jenkis and Floyds (2001) technological trajectories across

different levels Formula 1 racing, 1967-1982

multi-level

case study

Jones (2001) entrepreneurial career, institutional

rules, and competitive dynamics American film industry, 1895-1920

case study

Volberda, Baden-Fuller,

and den Boer (2001)

strategic renewal; managerial

adaptation and environment selection

European financial services

industry case study

Helfat and Raubitschek

(2000)

product sequencing; knowledge,

capabilities, and products

Three Japanese electronic firms;

Canon, NEC, Sony case study

Van den Bosch, Volberda,

and de Boer (1999)

organizational forms and combinative

capabilities

traditional publishing firms move

into emerging multimedia complex case study

Source: Summarized by the author.

36

The review in this section shows not only potential impediments we may confront in

our theoretical development and empirical work, but also possible directions for future

research. To construct a conceptual model based on the coevolutionary approach and to

uses it to observe and explain catching up of latecomer firms in the emerging market, we

mainly focus on evolutionary processes between different actors as coevolutionary

processes, rather than discussing individual selection and variation between actors. Also,

we use the case study approach to examine the phenomena we intend to explore. In the

next chapter, we will discuss how the conceptual model was developed, and how we used

it to observe and explain the catch up of one latecomer firm in the emerging market.

37

3. RESEARCH FRAMEWORK26

3.1 Prologue

In the field of organization studies, Coevolution is a simultaneous evolution between

organizations and their environment that requires mutual or circular feedback, and that

means the coevolutionary framework covers multiple level and mutual causalities

between agents and their corresponding environments (Baum & Singh, 2004; Lewin &

Volberda, 2003).

In this research, we define coevolution as the joint outcomes of managerial

intentionality, environment, and institutional effects as Lewin & Volberda (1999) did.

This definition also coves multi-level, cross causal relationships in this research, and one

should not expect single causal relationships exist among agents and their embedded

environments from this work, as we can easily find in traditional organization studies,

(McKelvey, 1997). We divide our coevolutionary model into macro- and micro-

coevolutions, then elaborate individual building blocks in these two coevolutions and

corresponding propositions in the context of catching up of latecomer firms in the

emerging market.

Apparently, the context of an emerging market is different from that of developed

countries and NICs; here we will explain generic characteristics of an emerging market to

outline the boundary of our research first, followed by discussions of individual building

blocks in terms of different levels of coevolution.

3. 2 Characteristics of Emerging Markets

Economic transitions in China, East Europe, India, and Latin America bring up new

26 The early versions of this chapter were presented at APROS 11, December 4-7, 2005, Melbourne, Australia, AIB 2006 Chinese Doctoral Consortium and the Third Annual JIBS/AIB Paper Development Workshop, June 22-23, 2006, Beijing, China, respectively. I particularly appreciate comments from Dr. Liliana Erakovic, Mr. Scott Hipsher and Dr. Steven S Lui (all at APROS 11) and Dr. Jianfeng Wu (AIB 2006 Chinese Doctoral Consortium).

38

opportunities both for local and foreign firms. Though heterogeneities exist among them,

some common characteristics are shared among these countries (Hitt, Li, & Worthington,

2005; Hoskisson, et al., 2000; Lukas, Tan, & Hult, 2001; Wright, et al., 2005).27

In this study, we follow the statements of Hoskisson, et al (2000) to define the

emerging markets as countries with fast economic development pace, which pursue a free

market system and economic liberalization in their government policies. In this

dissertation, we may also describe them as transition economies or transition countries

interchangeably.

In the early stages, economic development of transition economies mainly used

centralized economic plans to direct local economic development and domestic

production capacities; for example, knowing who is in charge of individual plans and

whom you should connect with are more important than having sufficient know-how or

capital to run business in China (Peng & Heath, 1996; Peng & Luo, 2000). During the

transition processes, a series of institutional changes implemented both at political and

societal levels made the competitive environment of firms and industries in those

transition economics change from personal contact-based transactions and central,

bureaucratic planning into contract-based transactions and free market system.

Consequently, local firms and foreign entrants who wanted to penetrate and survive in

these dynamic contexts needed to select and adjust their organizational forms and

strategies correspondingly (Hitt, et al., 2005; Hoskisson, et al., 2000; North, 1990; Peng

& Heath, 1996; Rodrigues & Child, 2003). Proximity to the local context gives local

firms some pre-emptive advantages over foreign competitors in the domestic markets, but

does not guarantee their success in catching up or sustaining their positions in both

27 Characteristics in emerging markets have been discussed in terms of various perspectives, such as institutional theory, resource-based view, and transaction cost economics (Hoskisson, et al., 2000; Wright, et al., 2005).

39

domestic and worldwide markets (Hoskisson, et al., 2000; Mathews, 2002a, 2002c; Peng,

Lee, & Wang, 2005).

In the emerging markets, knowledge and experience for establishing a free market

system and regulations are relatively amateur and unstable. These emerging markets also

face dynamic challenges from external stakeholders, such as international organizations

like WTO, and EU, which those ex-latecomer countries do not have (Berger & The MIT

Industrial Performance Center, 2005; Chen & Toyama, 2006; Child & Tse, 2001; Huang,

2003). Because of the size of their population, potential growth of domestic markets in

these transition countries is huge; therefore, catching up of domestic latecomer firms not

only can advance indigenous technological development and economic development, but

also may replace foreign vendors in those emerging markets (Wilson & Purushothaman,

2003; Keller & Samuel, 2002; Kim & Nelson, 2000).

From the point of view of latecomer firms, characteristics of an emerging market

can be also described as follows. First, institutional mechanisms related to the free market

system remain unstable, such as rules and regulations about establishing structures of

property rights and ownership of the firm, and any unexpected change could affect firms’

decision-making and strategic movement. Second, shortage of knowledge and experience

in developing technologies and industries urges those transition economies to grasp

opportunities through different approaches, whether in the forms of joint ventures,

strategic alliances or foreign direct investment, which gives intervention of government a

critical role in developing their target industries and market. In fact, the examples of

Japan, Korea, and NICs have shown the extent to which government dominated the

trajectories of industrial and technological development (Chang & Yu, 2001; Hung, 2002;

Keller & Pauly, 2002; Kim, 1997). On the other hand, resources and organizational forms

bounded by their legacy and administrative heritages mean organizational change of

latecomer firms is a necessary evolutionary step to catch up during the transition process

40

of the emerging market (Bartlett & Ghoshal, 1989; Berger & The MIT Industrial

Performance Center, 2005; Lewin, Long, & Carroll, 1999; Tsui, Schoonhoven, Meyer,

Lau, & Milkovich, 2004).

As Peng & Heath (1996: 504) have shown, uncertainty regarding property rights,

political structure, and strategic factor markets are characteristic features of the emerging

market. All those features reflect challenges latecomer firms in the transition economies

may confront if a capitalist market system and economic growth are terminal targets for

those transition economies. Since the external environment in emerging markets is so

dynamic, the evolution of domestic latecomer firms in catching up must respond these

unforeseen changes properly, along with finding any possible opportunity for their own

development and competitive advantage embedded in that particular context (Cho, et al.,

1998; Van De Van, 2004; Mathews, 2002a, 2002c).

3.3 Macrocoevolution

Macrocoevolution is the coevolutionary process between the firm and its

competitive niche (McKelvey, 1997). For catching up with their rivals, latecomer firms

need to interact with other actors at different levels. Critical actors at the macro-level

include institutional changes directed by the government and the interactive influence

between industrial-related technological development (here named “technology

development”) and management logics due to the changing of institutional arrangements

(Dijksterhuis, et al., 1999; Rodrigues & Child, 1997; North, 1990, 2005; Rosenkopf &

Tushman, 1994). We describe those interactions in the following paragraphs.

Institutional Change

Institutions are the rules of the game for any society, regardless of whether it is

capitalist or communist (North, 1990). Formal institutions shaped by the government

41

establish the infrastructures and rules of the game for doing business and for industry

development. The collapse of the communist economic system in Soviet countries, and

market opening of China and Latin America forced these countries to restructure their

economic institutions, market systems, and changed their economic plans to

accommodate a more competitive worldwide market and capitalist system. Apparently,

these changes also break out of the original competitive dynamics and structure of

ownership in those transition economies, and open up new business opportunities for

both local and foreign firms. (Hoskisson, et al., 2000; Park, Li, & Tse, 2006; Zweig,

2002).

In spite of their economic development moves for connecting with a more

competitive global market, governments in transition economies seldom push their

domestic firms, mainly state-owned enterprise; to confront direct competition with

foreign leading firms, in spite of strong pressures from other developed countries and

international organizations.28 They usually work through institutional mechanisms, such

as tariffs, regulations and policy incentives, to protect and incubate development of

domestic latecomer firms in particular target industries and technologies (Chang & Yu,

2001; Kwong, et al., 2001; Mathews & Cho, 2000; Yu, 1999). Because not all industries

in the transition economies received the same institutional incentives from the

government, some industries collapsed rapidly after the beginning of the opening, for

example the home appliance industry in China during the 1980s (Lo, 2001; Wu, 2006).

Only firms affiliated with industries and technologies that governments expect to focus

on received institutional supports from government.

Institutional change in the emerging market is the major trigger for its industry

28 In this study, developed countries and international organizations mainly refer U.S.A., E.U., WTO, or worldwide industrial associations and international standard associations in different industries and technologies.

42

development. Those changes not only decide which industries are identified as target

industries for further development, but also provide necessary support for local firms in

those specified industries have the opportunities to catch up with other leading rivals.

Discussions of the economic growth of NICs may unintentionally imply that all

institutional change from the government favors catching up of local firms, but does not

explicitly describe that those institutional supports remain selective and only available for

those chosen industries (e.g. Amsden & Chu, 2003; Hobday, 1995, 2003; Kwong, et al.,

2001). Here we can rephrase the role of institutional change in the emerging market

toward the catching up of latecomer firms as follows.

Proposition 1. In the emerging market, firms that belonged to the industries selected

by the government could have institutional support that may help them to catch up with

other leading rivals.

In this research, our research targets (semiconductor foundry players) also belonged

to one of the target industries that China government expects to develop for its economic

development (Yu, 2005; Zhu, 2006). The selection of research targets ensured our

analysis could reflect effects of institutional change on catching up of latecomer firms in

the emerging market, which is also one of the building blocks proposed in our

coevolutionary framework.

Technology development

Latecomer firms need to upgrade their technological capabilities in order to compete

with leading firms, especially those in foreign countries (Cho, et al., 1998; Kim & Nelson,

2000; Mathew & Cho, 1999). Government in transition countries provides different

institutional support, such as financial subsidies for doing in-house research and

43

development (R&D) and importing production equipment, or helping latecomer firms to

transfer technologies from abroad or develop their own technological capabilities.

However, those latecomer firms or countries cannot solely determine trajectories and

choice of technologies, since these technologies have their own life cycle initiated by

other innovating firms, mainly the leading incumbents. Therefore, interactions between

technology development, institutional change, and firms would also appear in the context

of emerging market (Nelson, 1994a, 1994b; Rosnekopf & Tushman, 1994; Van Den Van

& Garud, 1994).

Due to their late entry to the market and lack of necessary technological capabilities,

technological development of latecomers is usually bounded by current market trends and

dominant designs that they cannot easily change or dominate (Anderson & Tushman,

1990; Mathews & Cho, 1999). While those existing conditions are a coercive influence

for latecomers to compress their time and effort to select and search for technologies and

markets, dominant designs and current market trends may also limit the development of

latecomers’ innovative capacities (Rosenkopf & Tushman, 1994; Van De Van & Garud,

1994). Government in the emerging market often helps their local latecomers to secure

technological support from leading foreign firms, and provides various supportive

mechanisms, such as establishing public R&D institutions, and developing educational

and vocational training in universities and professional schools, to build up absorptive

capacities for local firms and industries (Chang & Yu, 2002; Mathews, 2002c; Mathews

& Cho, 2000).29

Leading foreign firms primarily control technologies that latecomer firms expect to

learn. Nevertheless, governments in the emerging market may leverage the potential

growth of the local market as their bargaining power to force those foreign firms to share

29 All these instrumental supports enable latecomer firms to access the latest technologies according to the extent that their combinative capabilities can absorb and assimilate in such a dynamic environment (Dutrénit, 2004; Kogut & Zander, 1992; Mathews & Cho, 1999; Van den Bosch, et al., 1999).

44

current technologies and comply with some particular industrial and technical standards

only applied to local markets, to earn extra time and opportunities for those latecomers

firms to learn from those leading incumbents. Consequently, those latecomer firms in

technological and market development may follow those with institutional support

provided by government (Kim, 1997; Li, 1999; Mathews, 1997, 1999; Mathews & Cho,

2000; Yu, 1999; Zhu, 2006). Technological development of local latecomers in the

emerging market may favor those with support from the government, while technology

development in the local context may change according to institutional changes from the

government, rather than simply following the technological trajectory. Accordingly, we

could describe interactions between technology development and institutional change and

the latecomer firm as follows.

Proposition 2. Technology development in the emerging market moves according to the

institutional changes of the government, which influence technology development of the

local latecomer firms.

Management logics

In the transition economies, institutional changes not only transform the economic

system from communism and planned economies toward capitalist economies, but also

bring new ways of thinking about doing business and developing managerial skills to

local latecomer firms (Dijksterhuis, et al., 1999; Lewin, et al., 1999; Rodrigues & Child,

2003; Lin, et al., 1995; Lewin, et. al., 1999; North, 1990). Such macro level changes in

the emerging market also change mindsets of managers and managerial practices to

improve their economic efficiencies and performances.30

30 For example, a transaction system can move from personal and network-based toward more regulated

and contract- based, and deregulation may open up more market opportunities (Peng, 2003; Peng & Heath,

45

Dijksterhuis, et al. (1999: 570) defined management logics as macro level mindsets

including values and beliefs that influence local managerial practices in a society. They

argued that these macro level characteristics affect mindsets and decision making of

managers at the firm level, along with changing conditions in time and place. While

classical management emphasized technical efficiency, modern management concerned

organizational rationality, and post modern management focuses on reflective and

self-organizing humans and organizations. These three management logics mentioned by

Dijksterhuis, et al. (1999: 572-575) have been used to describe reflect different

managerial practices and contexts in our study. Apparently, management logics may also

change with the context they are embedded in, followed by the corresponding

institutional changes (Lewin, Long, & Carroll, 1999; Miles & Snow, 2003).

For example, while foreign firms outsource their manufacturing operations to those

emerging markets to secure a low cost labor force, that may require local branches and

partners to apply more flexible management logics for coordinating with the global

production network. That calls for the local government to implement some institutional

changes, such as improving the education system and providing more vocational training;

and upgrading managerial expertise and quality of human resources for better matching

managerial knowledge and practices in the mainstream market. Following by these

institutional changes, managerial logics in the local context may change gradually. Once

managerial logics in the emerging market assimilate with those in leading markets,

institutional isomorphism may make emerging managerial logics in the emerging market

influence corresponding institutional changes in return (DiMaggio & Powell, 1983). As a

result, a possible interactive connection between institutional changes and management

logics in the emerging market could be described as follows.

1996; Peng & Luo, 2000).

46

Proposition 3. Management logics in the emerging market change along with institutional

change initially, once they become assimilate with those in the developed markets, that

emerging management logics may further influence institutional change in the local

context.

3.4 Microcoevolution

For catching up of the latecomer firm in the emerging market, the importance of

institutional support and upgrading technological capabilities have been emphasized, but

there are not many discussions about what happens inside those latecomer firms during

their catching up process (e.g. Dutrénit, 2000, 2004; Lanzonik, 2004; Mathews & Cho,

2000; Yuan, 2000).31

Managers, especially those at the top level, need to make strategic choices to select

and adapt to the dynamic environment for the firms’ survival (Child, 19972, 1997; Miles

& Snow, 2003; Miner, 1994). While the decision-making of those top executives reflects

as the managerial intentionality of the firm, we argue that changes of decision making

and top managers can be treated as the evolution of managerial intentionality within the

firm (Lewin & Volberda, 2003). Because organizational form reflects how one

organization allocates its resources and routines, and is also the most-mentioned

component in the discussions of coevolution between organizations and environments,

and we would also include it in our model (Baum & Singh, 1994a; Lewin & Volberda,

1999; Volberda & Lewin, 2003).

As we discussed in the earlier chapter, latecomer firms use their combinative

capabilities to absorb, learn advanced technologies and knowledge from external sources,

31 Lewin & Volberda (1999) did not specify what kind of elements should be classified as microcoevolution, in their study about the coevolution between the change of organizational form and its environment; they only mentioned managerial intentionality as one element in defining coevolution. In this research, we consider actors exist inside the firm and they are related with catching up as the building blocks of the microcoevolution in our conceptual model.

47

then internalize those external knowledge with their initial experience and knowledge,

and assimilate what they learned to upgrade themselves for competing with leading

competitors (Mathews & Cho, 1999; Van den Bosch, et al., 1999). Van den Bosch, et al

(1999) examined coevolution between combinative capabilities and organizational forms

that showed coevolutionary effects between absorptive capacity of the firm and different

knowledge environments. In the microcoevolution of our framework, we propose that

combinative capabilities interact with organization form and managerial intentionality in

the latecomer firms to implement their catch up strategies, in terms of linking, learning,

and leverage as Mathews emphasized (2002a, 2002c, 2006a).

In the next sub-sections, we will describe the constructs of microcoevolution for

catching up of the latecomer firm in our framework.

Managerial intentionality

In our framework, we follow the seminal work of Lewin and Volberda (1999) that

argues managerial intentionality is the purposeful or intentional behaviors of the leader or

management team of the organization, to show the visions and goals the organization

expects to achieve. We consider managerial intentionality as the proximity to reflect

actions and decisions of the top executive teams within the firm, such as business

directions they pursue or any possible organizational change they implement.32

In the transition economies, deregulating industries, opening the market and

institutionalizing the structure of ownership for enterprises create at least two challenges

for top executives of latecomer firms. First, changing the structure of ownership could

bring change of the management team whether an overall or partial change, for example,

32 The strategy- making of Intel in 1980-1990 is one significant example of how managerial intentionality

works in the coevolution of strategy and environment (Burgelman, 2002).

48

from state-owned enterprises into public-listed firms that may bring new managerial

intentionality, or implement strategies far different from what the firm has done before.

As we discussed earlier, changes of the management logics could influence

consciousness of those managers and imply possible changes of managerial intentionality

of the firm (Lewin, et al., 1999; Lewin & Volberda, 1999). In fact, changes of

management logics have also proved to be a major source of coevolution for emerging

new organization forms and shaped the managerial schema of the firm for taking strategic

design actions to respond to dynamic environment changes (Dijksterhuis, et al., 1999).

All these evolutions happening at the macrocoevolution level do affect decisions and

strategic choices made by top executives (Baum, 1999; Peng, 2000; Shapira, 1999), and

we consider the following proposition;

Proposition 4. Managerial intentionality of the latecomer firm in the emerging market

evolves along with the changing of managerial logics at the macro- level environment.

Organizational form and combinative capabilities

North (2005) claimed human intentionality, the consciousness of human beings, is

one of the major drivers for economic change. Therefore, it is also reasonable for us to

consider that the intentionality of managers (i.e. managerial intentionality) plays a key

role for catching up of latecomer firms. Through conscious intentions, managers would

decide how the firm would select, adapt, and learn to compete with leading competitors

in such a dynamic transition context; similar ideas are found in studies of dominant logics,

strategic intent and willpower (Bruch & Ghoshal, 2004; Hamel & Prahalad, 1994;

Prahalad & Bettis, 1986). Furthermore, managerial actions can affect the internal

evolution of one organization and its response external environment change, that

convince us to emphasize the dominant role of managerial intentionality play in the

49

micro-level evolution of the latecomer firm in our model (Burgelman, 2002; Miles &

Snow, 2003; Miner, 1994).

Managers in latecomer firms need to decide their external vendors or partners to

secure resources and technologies they need, to learn to increase their technological

capabilities, and to learn how to compete, using existing latecomer advantages, in the

dynamic competitive environment (Cho, et al., 1998; Mathews, 2002a, 2002c; Mathews

& Cho, 1999, Miles & Snow, 2003). Because these decisions are highly relevant to how

the organizational form and combinative capabilities of latecomer firms are organized

and maintained, we consider the interactive evolutions among managerial intentionality,

organizational form, and combinative capabilities as microcoevolution within the

latecomer firm.

Organizational form earns extensive discussion both in the debate between selection

and adaptation of organizations, and studies of exploitation and exploration in

organizational learning; in fact, it is also a major component of most coevolutionary

theory perspective works in organization studies (Cyert & March, 1963; Lawrence &

Lorsch, 1967; Lewin, et al., 1999; Lewin & Volbderda, 2003; March, 1991). Domestic

latecomers in the emerging market may change their divisions of labor within the firms,

such as changing their organizational form from a single business function into a

diversified matrix form, to respond to different internal developments and environment

changes to catch up other leading rivals (Chandler & Hikino, 1990; Lawrence, & Lorsch,

1967; Miles & Snow, 2003; Van den Bosch, et al., 1999)33. Managerial intentionality, in

terms of the mindset and decision making of the top executive team, plays a critical role

in making a proper link between organization and environment for its survival (Miner,

33 Organizational forms in latecomer firms include pure start up, joint ventures and strategic alliances between local and leading foreign firms, or latecomer firms with earned contracts or licensing arrangements from foreign firms. Different organizational forms represent the different division of labor within the firm, and different responses toward external environment changes.

50

1994; Philippidou, Söderquist, & Prastacos, 2002; Peng & Luo, 2000; Tan & Tan, 2005).

On the other hand, change of the organizational form also reflects the interaction between

absorptive capacities and organizational learning within the latecomers (Chandler, 1962;

Kim, 1998; Lewin & Volberda, 1999; Scott, 1998; Van den Bosch, et al., 1999). For

example, Van den Bosch, et al. (1999) showed the emergence of organizational forms

coevolves with the firm’s combinative capabilities. In this research, we argue that there is

joint evolution between the organizational forms and combinative capabilities of the

latecomer firms, along with evolving change in the managerial intentionality in the

latecomer firm (Amsden & Chiu, 2003; Mathews, 2002a; Mathews & Cho, 1999). This

argument emphasizes the role of managerial intentionality, which was seldom discussed

in previous works related to catching up of latecomer firms.

Based on these arguments and reasoning, we could construct the microcoevolution

part of our conceptual model as the interactive evolution between combinative

capabilities, and organizational form under the dominance of managerial intentionality of

the firm, and we propose this statement as follows.

Proposition 5. In the emerging market, managerial intentionality of the latecomer firm

dominates joint evolutions between the organizational form and combinative capabilities.

While some of our propositions may look similar with those in the context of

developed markets, however, there is no similar discussion in the context of the latecomer

firm. Here our propositions are identified separately to acknowledge possible contextual

variations in the emerging market, and expect to find out how those arguments may shed

some light on in the field of catching up of the latecomer firm (Hitt, et al., 2005;

Suhomlinova, 2006; Van de Ven, 2004).

Through combining this micro level argument with the macrocoevolution that we

51

discussed earlier, the coevolutionary framework we intend to use to analyze catching up

of latecomer firm in the emerging market is finalized.

3.5 Summary

As we reviewed in early chapter, the three Ls-linkage, learning, and leverage,

proposed in a series of works by Mathews (2002a, 2002c, 2006a), have well described

strategies latecomer firms used for catching up. In this study, we also adopted these

strategies to describe catching up of latecomer firms in the emerging market, because

these arguments well cover external connection (linkage), internal learning (learning),

and self positioning in the market (leverage) that are all critical for latecomer firms to

compete with leading competitors (Mathews, 2002a, 2002c). In this study, we treat these

strategies as the approaches that latecomer firms in the emerging market use to catch up ,

but do not elaborate how they may interact with macro- and micro- coevolutions between

the latecomer firms and their environment, to avoid increasing the complexity of our

research and dividing our research focus.

The idea of coevolutionary perspective which we used not only represents a multiple

level perspective, its novelty may give us opportunities to find some new insights

regarding catching up of the latecomer firm in the emerging market. There is no doubt

that our conceptual model is not a definitive solution that can fit all kinds of contexts, nor

can it deliver the most comprehensive explanation about the catching up of the latecomer

firm in the emerging market. Because of the complexity of coevolution between

organizations and their environment, one cannot simply use one conceptual model to

represent comprehensive casual mechanisms across all possible building blocks

(McKelvey, 1997). Figure 3.1 presents our conceptual framework, based on our

theoretical arguments, we need to note that these causal connections are only explanatory

for the purpose of this research rather than the determined causal statements among

52

different actors.

Figure 3.1 The Conceptual Framework

In fact, all constituents we proposed in our conceptual model have been studied

under different circumstances and contexts separately (Baum & McKelvey, 1999; Baum

& Singh, 1994; Lewin & Volberda, 2003; Volbderda & Lewin, 2003). Our framework

connects those ideas to spotlights possible coevolutionary descriptions related with

catching up of the latecomer firm in transition economies.

Nelson (1994) first emphasized the coevolution between technology and institutions,

and showed that a technological trajectory is not a linear process, but mutually interactive

with institutions, organizations and the external environment in which it is embedded.34

Consequently, changes in institutions or organizations also lead to variations that force

them to select the proper fit for survival in the field (McKelvey, 1997, 2002; Lewin &

34 This argument also becomes the basis for following the works that applied the coevolutionary perspective to different research topics, such as Geels (2005) and Murmann (2003).

Organizational

Form

Combinative

Capabilities

Managerial

Intentionality

Catching

UP

Macrocoevolution

Microcoevolution

Emerging

Market

Institutional

Changes

Technology

Development

Managerial

Logics

Latecomer Firm

53

Volberda, 1999). We believe such selection and search behaviors are also true for

latecomer firms in the emerging marketing (Barney, 1986; Hoskisson, et al., 1996; Peng

& Heath, 1996).35 On the other hand, we also found challenges and opportunities of

latecomers in the emerging market not only evolving with opening of domestic markets,

but also with pressures outside the industry and beyond the national level, especially

pressures from international organizations and industrial alliances. One significant

example is the participation of those emerging markets in different international

organizations, such as the European Union, and the World Trade Organization (WTO).

Those external collective pressures could interrupt the original plans that those

governments had, and could cause variations beyond both the government’s and firms’

expectations.

In this research, we argue that those external selection pressures from actors we

identified in the macrocoevolution do collectively interact with microcoevolution among

managerial intentionality, organizational form, and combinative capabilities within the

latecomer firms and further affect their catching up activities.

To rationalize the empirical research used the coevolutionary approach in

organization studies, it is better to satisfy the criteria McKelvey (1997) proposed, and the

essential considerations highlighted by Lewin & Volberda (2003), such as multilevel

structure, longitudinal data, multidirectional causalities, consideration of institutional

changes in different levels, and actors. Even through our conceptual model satisfies some

of those criteria, there are not many trustworthy data sources in the emerging market, and

some statistics may have unclear definitions, or be incompatible with international or

industry-wide standards (Holz, 2004; PricewaterhouseCoopers, 2004). These original

35 In fact, Wright, et al (2005) called for applying the coevolutionary perspective to issues related to the

emerging market, and our research work properly responds to this call, to shed some light on this field.

54

constraints prohibit us from considering statistical analysis or simulations, like those that

have been done in the fields of organizational evolution and organizational ecology

(Baum & McKelvey, 1999; Baum & Singh, 1994a; Hannan & Freeman, 1989; Singh,

1990).

Based on the conceptual model we proposed here, the research methods and

research setting we used to examine catching up of a latecomer firm in the emerging

market are going to be discussed in the next chapter.

55

4. RESEARCH METHOD

4.1 Research design

This dissertation applies the coevolutionary perspective to discover how latecomer

firms in the emerging market catch up with other leading rivals. To answer this “how to”

question, we chose case study as our main research method (Yin, 2002). This method is

used intensively in studies related with catching up of latecomer firms in NICs, and those

which applied the coevolutionary perspective in organization studies (e.g. Cho, et al.,

1998; Kim & Nelson, 2000; and Table 2.7).

In addition to answering this “how to” question, the case study method is also an

investigation method used to explore real life phenomena under a particular context

(George & Bennett, 2005; Hartley, 2005; Yin, 2002). Because coevolutionary theory

perspective emphasizes dynamic interactions, rather than considering a single causal

relationship among constituents, our case study is better to take the phenomenological

approach for documenting and examining such a diversified phenomena properly

(Remenyi, Williams, Money, & Swartz, 1998). While organizational evolution brings

change in organizational structure, top executive team, or business strategies, coevolution

for catching up of the latecomer firm in the emerging market would be more

sophisticated, and may cover various changes in different actors and across different

levels both within the organization and within the environment simultaneously (Lewin &

Volberda, 1999, 2003; McKelvey, 1997; Volberda & Lewin, 2003). That diversity

requires us to collect and interpret longitudinal and process data (Langley, 1999; Menard,

1991; Saldaña, 2003). Because our conceptual model constructed coevolutionary

relationships as a series of interactive building blocks toward the catching up of

latecomer firms, such a reasoning process is like that which process theory intends to

56

address (Langley, 1999; Mohr, 1992; Monege, 1990).36 Therefore, case study with

longitudinal and process data is proper for our research inquiry.

Process research itself is more complicated than just collecting data over time, it

requires people to collect data across different levels and actors and integrate different

types of data for analysis; this complexity also reflects how complicated organizational

phenomena are (Langley, 1999). Because of the nature of coevolution, which includes

positive feedback among actors and multidirectional causality, we use temporal

bracketing strategy to describe different events by different actors; these “brackets” group

events and actors across different times into one particular period, and changes which

happen in one bracket may influence change in subsequent brackets over time (Langley,

1999: 703-704). Once we collect a number of brackets that cover different interactive

evolutions among those constituents and changing contexts in different periods of time,

we can identify changes between different constituents and use these changes to represent

the persistence of coevolution in our conceptual model.

Semantically, our coevolutionary model can also be seen as an agent-based model

about coevolution of the organization and environment, where constituents interact with

each other, and prior actions influence sequential movement over time, although we

mainly adopt qualitative data and inductive reasoning in our empirical work. In this work,

brackets we established temporarily were based on one period of time and relevant actors.

Apparently, the classifications of some elements in different periods are based on the my

subjective judgment, such as the evolution of management logics, which lacks a single

definitive description. Even case study is different from the historical approach; we still

collect historical data to grasp the evolving constituents and the context in terms of the

comparative historical analysis perspective (Yin, 2002; Mahoney & Rueschemeyer,

36 We consider the empirical examination of our propositions through a process based case study, through identifying relevant events which happened in different actors, and actors’ changes over time, based on process data and the archives we collected (Langley, 1999; Van de Van & Huber, 1990).

57

2003a). 37 One may argue that this perspective cannot properly represent the

multicausality that appeared in most empirical works using the coevolutionary

perspective in organization studies (Lewin & Volberda, 2003; McKelvey, 1997).

However, since it is not possible to satisfy all those conditions in one single research

work, we admit that potential drawback but still apply the comparative historical

approach to analyze our data.

To observe that phenomena about catching up of latecomer firms in the emerging

markets, our conceptual model links different actors across different levels, which

shows causal mechanisms and enables us to do process-tracing and identify possible

changes among those actors across levels (George & Bennett, 2005; Stinchcombe, 2005).

Next, we explain how we use material we collected to identify those changes, in order to

show the existence of coevolution among constituents. In this dissertation, we chose

semiconductor foundry firms in China as our research samples, and analyzed their

catching up processes through our coevolutionary model. According to our conceptual

model (Figure 3.1), we observe changes of institutions, technology development, and

management logics mainly from government publications, trade journals, research reports

and other public documents.38 For the analysis at the microcoevolution level, our unit of

analysis is at the firm level. 39 To analyze these cases and further examine our

37 Three characteristics of the comparative historical approach convince us to apply it to analyze our data; it is a method used to identify and explain causal configurations among events and actors, it considers sequence of temporal processes over time, and it engages in systematic and contextual comparison (Mahoney & Rueschemeyer, 2003b). 38 For the macrocoevolution analysis, we collected and reviewed data and documents from the Ministry of Information Industry (MII), China Semiconductor Industry Association (CSIA), Shanghai Integrated Circuit Industry Association (SICA), Semiconductor Industry Association (SIA), SEMI, and Fabless Semiconductor Association (FSA). We also refereed to market analysis reports from industrial analysis firms, like IC Insights, IDC, and PricewaterhouseCoopers. Industry media, such as EE Times and China Electronics New, were also used. 39 Material about the microcoevolution of our cases is mainly based on public documents and presentation materials collected from company websites and various web sources. We also triangulate those collected material with materials from other sources, and previous reviews to develop our arguments.

58

propositions, the cross case analysis based on our conceptual model was used, to further

consolidate our arguments and compensate for the limits of accessing informants and

high quality statistical data (Yin, 2002; Remenyi, et al., 1998).40 The triangulation of

data was also applied to consider linkage, leverage, and learning of latecomer firms,

which we use to represent catching up activities based on the ideas of Mathews (2002a,

2002c, 2006a) (Figure 4.1). We also identify evolving changes from those building

blocks in our conceptual model as the presence of coevolution, through analyzing

longitudinal and process data we had on hand (Saldaña, 2003; McKelvey, 1997). Table

4.1 shows events we used to discern changes of the respective components in our

conceptual model.

Figure 4.1 The Triangulation of This Study

40 This study adopted suggestions by Professor Yasuo Ikawa, to use multiple cases to compensate for the disadvantages of lacking sufficient first hand interviewees from the field. These advices also led us to apply the cross case analysis for further analysis.

Data

Government statistics, industry yearbooks,

trade journals, company documents

Field

Interviews with officials

and informants

Theory

Literature review,

Conceptual framework

59

Table 4.1 Events for Analysis

Constituents Events

Macrocoevolution

Institutional change

Change of industry policies and regulation, establishment

of government agencies, national level projects,

participation in international markets/organizations.

Technology

Development

Compare with current leaders, both in manufacturing

process and service technologies.

Management logics Introduction and development of management education

and professional managers, change of managerial ideology.

Microcoevolution

Managerial

intentionality

Profile of top executive team and change of top executives.

Organizational form Changes of organizational structure, entry or exit of

specific business.

Combinative capabilities Comparison between initial conditions and imported

technologies/resources, benchmarking with leading firms

Catch Up

Linkage Firm to firm contractual connection, whether through joint

venture, strategic alliance, etc.

Leverage Leverage from external source, transfer of complementary

products/service from leading firms

Learning Progress of technological capacity and market knowledge,

compared with the initial conditions

We consider the evidence of coevolution that can be seen as, while X changes that Y

changes correspondingly, whether that change happens simultaneously or lagged

(McKelvey, 1997; Saldaña, 2003). One may argue that the criteria we adopted for the

corresponding building blocks are quite simple and intuitive, for example, the ideas of

combinative capabilities are far more complicated and need to consider multiple

perspectives all at once, especially when we analyze it based on the concept of absorptive

60

capacity41 . However, due to the research being mainly exploratory in nature, and

difficulties in accessing data and informants, we admit those shortcomings, and say that

the improvement of data collection should be one critical direction for future research.

Like any other case study, our analysis and research findings were derived from

triangulation through the multiple sources of evidence to satisfy the concerns of validity

and reliability (Yin, 2002; Remenyi, et al., 1998). Limited informants and our

archive-based reasoning weaken the generalizability of our arguments; however, this

work is the first trial to study catching up of latecomer firms in the emerging market in

terms of the coevolutionary perspective, and we believe it still can shed light on the field

and compensate for these limitations.42 In the following section, we explain how we

chose our research target (China) and research sample (the latecomer firms) to examine

and develop our propositions and arguments.

4. 2 Research Setting

The rising awareness of Hair, Lenovo, TCL, and other brand names from China

shows that latecomer firms in the emerging market can also catch up. Economic

transition in China has dramatically improved the economic condition of China itself, and

changed the pace of world economic development. Nevertheless, how indigenous

41 Since the idea of absorptive capacity proposed by Chon & Levinthal (1990), many following works have adopted this concept in different inquiries both for further theoretical development and for empirical examination, such as Jansen, Van Den Bosch, & Volberda (2005), Lane, Koka, & Pathak (2006), and Zahra & George (2002). However, the difficulties of having those firms to participate this research, we cannot have quantitative analysis about combinative capabilities in this work. Therefore, a qualitative analysis was used as an eclectic approach in our work. 42 Before this research, there was no one single monograph which discussed the catching up of the Chinese semiconductor industry, except some may focused on different aspects of it, such as Mathews & Cho (2000) and Yuan (2000, 2001). In fact, even including the book edited by the author of this dissertation, there are only two Chinese books particular which mentioned about the development of the Chinese semiconductor industry, (Chen, 2003; Zhu, 2006). The difficulties in accessing knowledgeable informants led to our empirical work mainly becoming an archive-based research, and limited the generalizability of this study. However, that also underlies the novelty of our research, and the nature of this research as an explorative work.

61

latecomer firms in China compete with foreign leaders attracts our attention (Lin, et al.

1995; Lo, 2001; Khanna & Palepu, 2006).

Because the semiconductor industry has become the major research topic in the

studies of industrial development in latecomer countries, it is reasonable to choose the

semiconductor industry in China as our research target. That also allows us to compare

our result with previous studies from NICs (Amsden & Chiu, 2003; Chang & Yu, 2001;

Kim & Nelson, 2000; Marukawa, 2000; Mathews & Cho, 2000; Zhu, 2006). Low labor

costs and the continuing expansion in market demand put China in the spotlight in the

worldwide semiconductor market, and China’s indigenous players also attract lots of

attention from leading competitors and academic communities (Mathews & Cho, 2000;

IC Insights, 2005, 2006a; Klaus, 2003; PricewaterhouseCoopers, 2004, 2005; Wu & Loy,

2004).

In fact, semiconductor sales in China have already increased significantly, into

two-digit growth figures, and have become the largest share in the worldwide market in

the past few years (IC Insights, 2006a). Also, semiconductor manufacturing is the fastest

growing sector in the whole Chinese semiconductor industry, which also explains our

particular interest in catching up of firms in this sector (Table 4.2).

Table 4.2 China Semiconductor Market in 2004, by Sector

IC Design IC Manufacturing Packaging and Testing

Sales

(100 millions RMB) 81.5 181.24 282.56

Growth rate (%) 81.5 190 15.8

Source: Yu (2005).

Even though, they are in different developmental stages, individual firms in different

sectors of the Chinese semiconductor industry have attracted attention in the worldwide

62

market. For example, multimedia processors developed by Vimicro Corp. are already

used in many brand name products in the worldwide market, and SMIC already pursues

the latest manufacturing technologies, in spite of the fact that packaging and testing

sector in the Chinese semiconductor industry is mainly dominated by subsidiaries of

foreign firms. (Table 4.3)

Table 4.3 Major Firms in China Semiconductor Industry Value Chain

Sector Companies Major business

Design

CEC Huada Electronic Design

Datang Microelectronics

Vimicro Corp

Sigma Chips

Silan

Silicore

The first domestic EDA software

vendor and supplier for the second

generation ID cards

IC cards and SIM cards

Multimedia ICs for PCs

MCUs and video controllers

The first fabless firm listed in

China stock market and with

diversified product lines

Consumer ICs

Manufacturing/foundry

ASMC

BCD Semiconductor

CSMC

GSMC

HHNEC

HJ

Nanker Group

SGNEC

SMIC

6” wafer

6”wafer; focus on bipolar process

6” wafer

8” wafer

8” wafer

6”wafer

6” wafer

6” wafer

8”and 12” wafer

Packaging and Testing

ASE

STATSChipPAC

Amkor Shanghai

All major players in China are

subsidiaries of foreign packaging

and testing firms

Source: Chao & Sussman (2003), PricewaterhouseCoopers (2005); Zhu (2006).

Unlike previous studies which mostly considered the development of the whole

semiconductor industry, this research targets the semiconductor foundry firms in China,

63

because the sale of semiconductor foundry firms (also known as pure foundry players) in

China already have reached the top rank in the worldwide market (IC Insights, 2004,

2006c; PricewaterhouseCoopers, 2004, 2005). Table 4.4 reflects the growing potential of

Chinese pure foundry firms as latecomers in the emerging market. In fact, two Chinese

semiconductor foundry firms, SMIC (Shanghai Manufacturing International Company)

and Hua Hung NEC (HHNEC) have remained among the top ten semiconductor foundry

firms since 2004 (IC Insights, 2004, 2006b).

Figure 4.2 China’s Market Share in the Worldwide Semiconductor Market

28.3

29.6

9 .99 .99 .99 .9

14 .314 .314 .314 .3

20202020

33

31.9

29

36.3

34

24.3

26.7

33.1

31313131

34 .334 .334 .334 .3

0

5

10

15

20

25

30

35

40

2001 2002 2003 2004 2005

Year

Billions of Dollars

(US)

USA

Japan

China

Source: IC Insights (2005).

In this study, we chose three Chinese semiconductor foundry firms as our cases.

They are HHNEC (Hua Hong NEC), SMIC (Semiconductor Manufacturing International

Corporation), and CSMC (CSMC Technologies Corporation). All these companies are

major semiconductor foundry players with different levels of technological capabilities

and market positions in China and the worldwide market (Zhu, 2006) (Table 4.5).

HHNEC and SMIC are already ranked into the top ten pure foundry firms in the world,

that show their role as the latecomers in the worldwide semiconductor foundry industry.

CSMC is the first pure foundry firm and the biggest 6” wafer manufacturer in China, and

64

we believed it could be another interesting case, to study how different kind of domestic

latecomers to catch up with each other, and to further verify whether our propositions

will be confirmed in different latecomers in the same emerging market.

As we mentioned earlier, difficulties of accessing interviewees to participate in this

research allow us only to triangulate different secondary data sources, previous works,

and few informants (George & Bennett, 2005; Yin, 2002). We expect findings from

analyzing multiple cases could shed some light on our understanding about catching up

of latecomer firms in the emerging market, in terms of the coevolutionary perspective.

Table 4.4 Top 10 Pure-Play Foundries Forecast for 2006

Source: IC Insights (2006c)

65

Table 4.5 Profiles of Case Companies

Company CSMC HHNEC SMIC

Establishment 1997 in Wuxi; originally owned by the

Hua Ji Electronics Group, but leased to

CSMC founded by Dr. Peter Chen in

1998

1997 in Shanghai; joint

venture with Hua Hong

Group, China and NEC,

Japan.

2000 in Shanghai;

establishment by foreign

venture capital and Chinese

government initially.

Business

Pure foundry service mainly in 6” wafer,

will expand into 8” wafer in the future

Began as the IDM in 8”

wafer fabrication, but

became pure foundry after

2002

Pure foundry, but includes

packaging and testing facilities

recently.

Major Process 3.0 -0.35 µm 0.35-0.18µm 0.35µm- 90nm

Facilities

Fab 1 (6”),

Fab 2 (8”; under development),

Fab 3 (6” in Beijing)

Fab 1(8”)

Fab 2(8”)

12 Fabs owned or joint

ventured by SMIC, located in

Beijing Chendu Shanghai,

Tianjin, and Wuhan.

Products

CMOS logic, mixed-signal, high voltage,

non-volatile memory, electrically

erasable programmable read-only

memory ICs, and DMOS.

memory, logic, and mixed

signal

Logic, mixed signal/RF CMOS,

high voltage, SoCs, DRAM,

flash, EEPROM, CIS, and

LCoS micro-display technology

Source: Summarized from company documents and websites.

66

5. THE CASE STUDIES

In the following pages, we are going to report on the catching up of these three cases

in terms of the coevolutionary perspective we proposed. We use cross case analysis to

analyze every case regarding each building block of our conceptual model collectively,

rather than describing each case separately (Yin, 2002). This writing approach makes us

consider coevolutions happening across all these cases, and let us compare their

similarities and differences. Except we have described their profiles in Table 4.5,

milestones of these three companies are listed in the APPENDIX of this dissertation for

further reference.

5.1 Macrcoevolution

Institutional Change in China

Before 1978, the economic system of China followed communism, which

centralized economic planning and controlled resource allocation by party-government

authorities. Economic development was mainly driven by the yearly economic plan

organized and coordinated by the specific planning agencies (Li, et al., 1995). Economic

activities and production capacities were planned and controlled by centralized

government agencies, and state-owned enterprises (SOEs) dominated nation-wide

economic activities, while the ideology of communism pervasively influenced people’s

daily lives.

Because of the intensive competition in the Cold War, institutional mechanisms for

industrial development in China mainly served military uses rather than domestic market

demand in that period of time (Yu, 1999). That was also the main driving force for China

to develop its semiconductor industry. Based on technologies transferred from Russia,

China first developed its own transistor in 1958. Only from 1978, did industrial

development in China alter its direction into domestic markets rather than military

67

usages.

The first Five-Year plan began from 1953 in China, and semiconductor,

telecommunication, and electronic industries were listed as critical national industries

from that period of time (Yuan, 2000). China government also established the Twelve

Year development plan for the semiconductor industry in 1953; this was also China’s first

industrial policy focused on the semiconductor industry. Even the original reason for

having a semiconductor industry may be due to military concerns, in the later period of

this plan, the whole direction had moved toward the domestic market, which made public

research institutions and newborn SOEs target entering semiconductor market. Because

of impediments from Western countries and its own closed policies, China could only

access technologies and experience from Russia at that time. Players in the China

semiconductor market in this period were mainly those national research and state-owned

enterprises, such as different branch factories of the national level laboratories. In the

Sixth Five Year Plan (1981-1995), there were aggressive movements in China’s

semiconductor industry (Zhu, 2006). During that period, the State Council set up a task

force to develop computers and very large system integrated circuits (VLSI) in 1982; its

functions were not only for making economic plans, but for also conquering the waste of

duplicated investment at that time. Consequently, China government decided to develop

semiconductor industry mainly in the North (Beijing and Tianjin) and South (Shanghai,

Jiangsu, Zhejiang) regions. This decision may be one major reason that the Delta area of

Yang Tze River in East China has become the hub of China’s information technology

industry in recent years.

Through the Seventh Five-Year Plan and the Eighth Five-Year Plan, China

established five backbone enterprises in the semiconductor industry from 1988 to 1997,

whether they were SOEs or joint ventures with foreign partners, including the

predecessor of CSMC- Hua Jing (Yuan, 2000; Zhu, 2006). While Hua Jing was the first

68

6” wafer fabrication manufacturer under the support of the Code project 908, and one of

the backbone enterprises, HHNEC had the first 8” wafer fabrication line, and also the

output of Code Project 909 from the Ninth Five-Year Plan (Klaus, 2003; Yuan, 2000; Zhu,

2006), therefore, we also include HHNEC as one of the backbone enterprises which

appear in Table 5.1. These backbone enterprises initially expected to run integrated

device manufacturers (IDM) to cover integrated circuit (IC) design, wafer fabrication,

testing and packaging all under the roof of one firm. However, competitive dynamics in

the worldwide semiconductor market and the success of TSMC forced those China

semiconductor firms to move toward the foundry model in recent years, and also to bring

some new foreign investment in developing semiconductor foundry firms in China, such

as SMIC (Shanghai Manufacturing International Corporation) and Grace (Grace

Semiconductor Manufacturing Corporation) (Ito, 2005; Yu & Chang, 2002; Zhu, 2006).

While the specified government agency, the Ministry of Information Industry, was

first established on 1998, it has cooperated with the Ministry of Science and Technology

and other government agencies to organize and promote industrial development in the

electronic, telecommunication, and semiconductor sectors in the domestic market

(Marukawa, 2000; Shy & Shang, 2000; Yu, 1999). We believe the Tenth Five-Year Plan

(2001-2005) and the “No. 18 Document” issued by the State Council in June 2000 were

two major institutional mechanisms to boost development of the semiconductor industry

in China. In the No. 18 Document, the China government established various incentives

to stimulate investment in the semiconductor and software industries.

To encourage the development of the semiconductor industry in China, investment

projects focusing on advanced technologies can earn great tax incentive. For example,

China government offers those investing in the latest technologies (wafer size equal to or

larger than 8”; <0.25 µm) full tax exemption from income tax for five years, along with

50 % reduction in the following five years, and their production equipment can also

69

received accelerated depreciation to three years. In fact, establishment of SMIC, Grace,

and recent developments of CSMC and HHNEC were all beneficiaries from the support

of No. 18 Document and the Tenth Five Year Plan, because their manufacturing

technologies were all concentrated on 8” wafer fabrication, to push technological

capabilities of China foundry firms closer to the mainstream technologies in the world

foundry business.

In the Tenth Five-Year Plan, China intended to build up the complete semiconductor

value chain at the same time. Except for financial incentives for attracting investment in

the manufacturing sector, non-tax institutional instruments were used to encourage the

development of non-manufacturing sectors in the semiconductor industry. For example,

nine National IC Design Industrialization Camps were assigned to different cities to train

design engineers, along with different joint labs established with the support of local

prestigious universities and foreign design solution vendors, and investments in testing

and packaging were increased based on those institutional incentives from the

government.

Table 5.1 Six Backbone Semiconductor Firms in China before 2000

Name Founding

Year Ownership

Hua Yue (Shaoxing) 1988 SOEs

Shanghai Beling

(Shanghai) 1988 Joint venture with local firm

Hua Jing 1989 SOEs

ASMC (Shanghai) 1989 Joint venture with Philips (Netherlands)

SGNEC (Beijing) 1991 Joint venture with NEC (Japan)

HHNEC (Shanghai) 1997 Joint venture with NEC (Japan)

Source: Dewey Ballantine LLP (2003), Yuan (2000), Zhu (2006), and company websites.

70

Economic transition toward the market system inevitably forced China to follow the

rules of the game in the global competition. These institutional supports toward

semiconductor and other target industries apparently contradict general practices in the

worldwide market. Therefore, China faced enormous pressure from many external

stakeholders, such as World Trade Organization (WTO) and Semiconductor Industry

Association (SIA). For example, entrance into the WTO in 2001 meant China needed to

give up value added tax (VAT) on imported ICs, which had received lots of complaints

from foreign semiconductor firms (SIA, 2004). In addition, opening the market also

forced China to regulate its intellectual property system, against possible infringement by

local IC design houses or semiconductor manufacturing firms. Even though some

institutional changes still favor domestic firms, external changes have made the

government rearrange its policy instruments to maintain their support for those target

industries. For example, to maintain attractiveness after removing VAT levied on

imported ICs in the semiconductor industry, China government established a special fund

to support research and development (R&D) of those accredited semiconductor firms. We

can find that China still needs to respond to these external pressures to accommodate the

changing of global market, in spite of its centralized and single party led in politics.

Even through external pressures also appeared in previous latecomer firms and

countries, such as Japan under pressure by the U.S. to voluntarily limit their IC exports in

1980’s, the differences between China and these countries are the threat of China

semiconductor industry is not as intensive as Japan’s was, both in technological

capabilities and market shares on the world scale. Semiconductor firms in China have

different structure of the ownership and scale, rather than being dominated by particular

business groups or conglomerates as those of Japan and Korea (Cho, et al., 1998;

Tanimitsu, 2002).

In addition to the stimulus of policy instruments, huge capital demand for building

71

up wafer fabrication lines also called for changes of the financial market in China. To lure

investments from venture capital and financial institutions, the China government

restructured its capital market to allow those semiconductor manufacturers to be listed on

the stock market, although they mainly appear in the Hong Kong market. For example,

CSMC and SMIC have been listed on the main board of the Hong Kong Stock Exchange

and/or New York Stock Exchange in recent years. Moreover, all these listed firms

concentrate on foundry business, and most of their executives with background in this

field have working experiences in Taiwan, the U.S. or elsewhere.

Even through the Wassenaar Arrangement on Export Controls for Conventional

Arms and Dual-Use Technology prohibited import of advanced semiconductor

production equipment from U.S. to China, which limited the supply sources and

development pace of China semiconductor firms, it also brought the opportunities for

those non-U.S. equipment vendors in Europe and Japan to participate in growing

opportunities in China semiconductor firms (Klaus, 2003).43 A series of Five-Year Plans

and the No. 18 Document, such as funding for R&D and other incentives proposed by the

central government and local authorities, show the effort of the China government to

favor the development of those domestic latecomer firms in the semiconductor industry,

regardless of whether it still needed to change institutional mechanisms to respond to

external pressures. All these institutional changes stimulated investments in foundry

business and helped them to find external sources of funding for expansion and

upgrading their technological capabilities.

In Proposition 1 we argue, firms in the emerging market that belonged to the

industries specified by the government could have institutional support that may have

43 We argue that these export controls claimed by other developing countries or international organization dominated by incumbents in the market would be the most significant impediment that latecomer firms in the emerging market may confront in their catching up process. This kind of prohibition may stop the possible linkage between latecomer firms and foreign technology vendors, or postpone the pace of those latecomer firms in catching up.

72

helped them to catch up with other leading rivals. In our study, HHNEC, SMIC, and

CSMC all belong to target industries in China, and had generous institutional support

from the government that enabled some of them to compete with leading competitors in

the worldwide market, in spite of the slow response in the case of CSMC. While previous

studies on catching up of latecomer firms in NICs mostly assumed this without

identifying it in their arguments, our arguments warrants the statement of proposition 1

and shows not all institutional supports could make latecomer firms catch up, except

those in the specified industries,

Technology Development

In the early development stage of the China semiconductor industry, technologies

transferred from Russia could not make its technological capabilities keep pace with the

current development happening in U.S. and other Western countries (Yuan, 2000, 2001;

Zhu, 2006). Before the economic transition in China, national research institutes and

laboratories were major players in developing semiconductor technologies. Nevertheless,

the communist ideology and their closed policy toward the world market have caused

their technological capabilities to lag twenty years behind the latest ones. Until the

implementation of the Tenth Five-Year Plan, except for HHNEC, most semiconductor

backbone enterprises in semiconductor manufacturing were fabricating wafers less than

8” wafer in size (Dewey Ballantine LLP, 2003; Mathews & Cho, 2000; Yuan, 2000). The

technological gap between China and the world market has narrowed down to five years,

after HHNEC established the first 8” wafer fabrication line in China (see Figure 5.1).

According to the Tenth Five-Year Plan, China expects to expand its wafer

manufacturing capacity in the mainstream, and establish its own 12” wafer production

capacity. Table 5.2 presents the developmental target for semiconductor manufacturing

during the Tenth Five-Year Plan, and companies invested in at that period. That also

73

means technology development in China semiconductor industry gained rapidly and

upgrading according to institutional support.

On the other hand, even through the first 12” wafer production capacity in China

was established by SMIC in Beijing in June 2004, most major semiconductor foundry

players in China mainly concentrated on investing in 8” or smaller size wafer productions.

In the case of CSMC, even through it kept focus on 6” wafer fabrication since its

beginning, it also began to consider expanding into 8” wafer capacity in 2006. In fact,

most foundry players in China mainly focus on or intend to establish 8” or larger size

wafer fabrication, with the support of institutional incentives toward developing the latest

technological capabilities (Dewey Ballantine LLP, 2003; PricewaterhouseCoopers, 2004,

2005).

Table 5.3 shows new manufacturing capacity established during the period of the

Tenth Five-Year Plan (2001-2005). Tables 5.2 and 5.3 obviously confirm foundry players

were inspired by the development target specified by the government and enjoyed

institutional support in various aspects, whether they were incumbents or new investment

projects in China semiconductor industry (Dewey Ballantine LLP, 2003; Klaus, 2003;

Zhu, 2006).

Through these arguments, technology development in the emerging market moves

according to the preference of institutional changes of the government, and further

influences technology development of the local latecomer firms, that support our

argument in Proposition 2.

74

Figure 5.1 Technological Developments in China and the World

19781980

1988

1992

1995

1999

2004

1966

1972

19751982

1986

1988

1999

0

2

4

6

8

10

12

14

1966 1972 1975 1978 1980 1982 1986 1988 1992 1995 1999 2004

Year

Wafer Size

(diameter in inch)

China World

Source: Zhu (2006: 158)

Table 5.2 Target for Semiconductor Manufacturing in the Tenth Five -Year Plan

Wafer size/Manufacturing

technologies (µm)

Expected number of

production lines

Companies invested in that

period of time

6 / 3~4 ASMC, CSMC, SGNEC,

8 /0.35-0.18µm 4~5

HHNEC, SMIC, He Jian,

ASMC, Grace, TSMC

12 / 0.18-0.13 µm 1~2 SMIC

Source: Dewey Ballantine LLP (2003), Zhu (2006), and company websites.

Table 5.3 New Wafer Manufacturing Capacity Established During the Tenth

Five-Year Plan1

Company Established date Wafer size; line width / Estimated capacity (piece/month)

SMIC2 2002

2004

8”; 0.35µm-90nm

12”; < 0.13µm

Grace 2003 8”; 0.25-0.13µm / 27,000

He Jian 2003 8”; 0.5µm~0.15µm/max. 60,000

ASMC 2003 8”; 0.25µm / 12,000

Sinomos 2004 6” ; 0.5µm / 10,000

BCD 2001 6”; 1-4µm / 30,000-40,000

TSMC 2003 8”; 0.25µm / 40,000

Note: 1 The list is not a full list, some minor investment projects may have been omitted. 2 SMIC has more than one 8”wafer fabrication line with total production shipment over

160,000 wafers per month (Q2, 2006).

75

Management logics

Development of management thoughts shows management ideology and logics also

change along with the environment over time, which means management practices and

theories used in the emerging market could change along with deregulating institutions

and opening markets (Jackson, 1992; Mintzberg, Ahlstrand, & Lampel, 1998;

Dijksterhuis, et al., 1999). On the other hand, even though they used the term

“ management theory” to describe the different content of the managerial logics we used

here, in their classic work, Miles & Snows (2003) also showed how the evolution of

different managerial logics in the environment does affect strategy, structure, and process

of a firm, that imply the possible coevolution across these constituents. In this work, we

apply their arguments in the context of emerging market to support the reasoning of

Proposition 3. Our elaborated observations and analysis represent as follows.

Economic transition in the emerging market brings not only opening of the market

but also changing its social and technical contexts (e. g. Child & Tse, 2001; Lo, 2001;

Park, et al., 2005; Zweig, 2002; Yu, 1999). While the economic system moves toward a

capitalist market, that not only decreases dependence on personal network connections,

especially those with government officers, but also increases more regulated and

contract-based transitions (Boisot & Child, 1999; Peng, 2003; Peng & Heath, 1996).

Otherwise, a change of the structure of ownership in traditional SOEs also provides new

incentives for managers to organize and manage the firms more efficiently, and stimulates

the spirit of entrepreneurship, for a new vivid economic atmosphere (Li, et al., 1995; Lo,

200; Jackson, 1992).

In earlier times, China inherited traditional thoughts of communism from ex-Soviet

countries; therefore, the ruling communist party and its governmental structure control

led its industry development and the enterprise system. Those centralized economic plans

and state owned enterprises prohibited development of motivation for self-interest, and

76

bounded the creativities of managers and employees in doing business.

Before 1978, China economic development was mainly affiliated with communist

ideology, which lacks connecting on Western countries, and aimed to achieve

self-sufficiecy for most of production endorsement and market demand. China adapt the

closed system perspective to manage industrial development and SOEs, with central

planning and quantitative oriented analysis used intensively to forecast growth potentials

and guide development directions in domestic industries and markets (Scott, 1998; Alon

& McIntyre, 2005). In that period, pure professional managers did not exist, only those

trained from engineering or management science to work in SOEs or run the business,

they lacked of knowledge or experience for doing business in the capitalist context. The

Communist party-led dictatorship made no room for managers or employees to use their

creativity or autonomy in their jobs, each industry was monitored and dominated by

corresponding ministries or agencies. Management logics in that period of time were

rather conservative closed systems and more like the classical management school that

emphasized rational analysis in terms of centralized planning, under the bureaucracy of

the communist party system (Dijksterhuis, et al., 1999; Pugh, 1997).

Only at the beginning of economic transition, enterprises and industries in China

were gradually released from the centralized economic plans, and different patterns of

ownership that stimulated the economic development in the private sector (Boisot &

Child, 1999; Lin, et al., 1995; Lo, 2001, Huang, 2003). Apparently, this kind of

contextual variation made management logics, shared values and beliefs about

management theories and practices at the macro level change along with the evolving

transition process (Dijksterhuis, et al., 1999).

The decreasing connection between China and ex-Soviet countries and opening of

the market after 1978 let lots of business practices run in the capitalist context, and

deregulated control of ownership by the government and the party. Except for the

77

deregulation of foreign exchange and interest rates, we think the most significant changes

at this stage were the transformation of SOEs in their ownership and incentive structures

(Lin, et al., 1995; Huang, 2003). Although delegation of ownership from the

party/government to professional managers brought lots of institutional changes and

ideological debate between communism and capitalism, we argue it was also the

beginning for China to introduce current management knowledge and practices from

Western and other capitalist countries, not only through opening of the market, but also

through permitting different kinds of ownership for those firms.44 It was also an

incubating stage for the development of professional managers in China, regardless of

conflicts and concerns regarding who are the real owners of those SOEs, profit-sharing

mechanisms between managers and employees, and how to sustain a balance between the

government and privatizing SOEs (Lin, et al., 1995; Lo, 2001; Tsui, et al., 2004).

Decentralization of control of economic development and deregulation also allowed

the booming of town and village enterprises (TVEs) to appear locally. However, this kind

of ownership connecting both performance of local officials and managers, made

economic fragmentation across regions, although it also raised regional economic growth

(Huang, 2003; Park, et al., 2006; Zweig, 2002). It was inevitable that decentralization

would depend on the bargaining power between the rigid political structure and the

intention for improving economic development in the private sector (Naughton & Segal,

2002). At the same time, beginning with those special economic zones and coastal cities,

many experienced professionals living in advanced countries started moving back to

China. Their return not only provided professional advice to participating joint venture

partnerships, which had felt the talent gap resulting from the Cultural Revolution, but

44 In addition to transformations of SOEs and contracting and joint ventures with foreign firms, town and village enterprises and other collective enterprises in China also played critical roles before the private enterprises and start-ups increased their shares and influence until recent year (Huang, 2003; Park, et al., 2006)

78

also brought the latest information and know-how in industries that would never be

allowed to be run by the private sector, and further fulfilled the diversification of

industries across the country (Zweig, 2002).

From 1978 until entrance into WTO in 2001, the Chinese economy just went

through a series of drastic institutional changes that opened up new business

opportunities both for domestic and foreign players, and for the latest management

ideology was also imported through the participation of those foreign investment

enterprises and returned experts, including senior managers and engineers. After years of

transitions, China government worked using “technonationalism” that concentrated on

pushing their SOEs privatization and directed developmental directions for domestic

firms, just like bureaucracies in Japan, Korea, and Taiwan had done before (Naughton &

Segal, 2002).

We claim management logics in China at this period already considered the impact

from the external environment, along with internal selection, for moving toward a

so-called socialist market system, which was closer to modern management that adopted

an open system perspective to practice managerial activities and business (Dijksterhuis, et

al., 1999; Scott, 1998). While Master of Business Administration (MBA) has become a

symbol of the professional manager in capitalist society, the Chinese government began

approving the establishment of MBA programs in some target universities from 1991,

and then expanded the accredited schools to deliver this professional education program

around the country (Chen, Wheelwright, & Knoop, 2006). Those managerial training

programs have already bloomed, after development for a decade, and, for example, the

executive education of China European International Business School (CEIBS) remains

in the top position in many worldwide rankings. Also, the growth of domestic companies

in China, such as Haier, TCL, Lenovo, has informed the world market that emerging

multinational corporation from transition economies are on their way to compete with

79

leading incumbents (Harley, Tan, & Harley, 1998; 200; Khanna & Palepu, 2006;

Williamson, 2004).

When China entered into WTO in 2001, it was also a major truncation point in its

economic development. Management logics in China has moved toward post industrial

management, where emergence of the service industry and concerns about the

development of the knowledge economy and indigenous innovation have all become

alternative priorities for China’s future development (Dijksterhuis, et al., 1999; Ohame,

2002; Shy & Shang, 2000; Wang, 2003).

The Socialist market system in China could not work well only by changing

institutions and deregulating markets, but also needs to consider the invisible influence of

changing management logics over time. We argue that changes of managerial logics also

stimulate entrepreneurship in the general public, and establish a general consciousness

about doing business for profit and private ownership of properties. It can be expected

that future institutional changes will need to respond to that emerging public

consciousness, whether for economic motivations, such as changing financial

infrastructure to encourage privatization of SOEs and public listing on the stock market,

or non-economic motivations, like improving the quality of human capital though

upgrading vocational training. (Huang, 2003;Yasumuro, 2004; Zweig, 2002).

Our analysis shows managerial logics in the emerging market change along with

institutional change initially, and may influence institutional change in a reverse direction over time.

That supports our Proposition 3, but we also find that leaves an interesting question about

linkages between institutional change and management logics is worthwhile to consider

in future research.

In Table 5.4, we summarize changing management logics in China, according to

three different stages of time. As we have mentioned, the timeline we separated is not the

sole solution but convenience for us to describe events and observations on managerial

80

logics, corresponding to the evolutionary process of China’s economic transitions.

Table 5.4 Evolution of Management logics in China

Period Before 1978 1978-2000 After 2001

Management

Logics Classical Modern Postmodern

Descriptions

1.Centralized

economic control and

planning oriented.

2. Scientific

management and

quantitative analysis.

1.Technonationalism led

2.Decentralized control and

booming of local economic

development

3.Privatization of SOEs and

emerging of TVEs

4.Deregulating markets.

5. Professionalizing

managers; introduction and

expansion of MBA

programs.

6.Returned experts bring

know-how and hands on

experience

1.Entry to WTO in

2001.

2.Deregulating

markets to follow the

rules of game in

global markets

3.Emphasise on

indigenous

innovation.

4.Improving

intellectual property

system.

Source: Dijksterhuis, et al. (1999), Pugh (1997), Scott (1998).

5.2 Microcoevolution

Microcoevolution mainly refers to coevolution happening in different levels within

the firm (McKelvey, 1997), as we discussed in Chapter Two. Here we consider

interactions among managerial intentionality, organizational form, and combinative

capabilities of the firm as the building blocks of microcoevolution related to the catching

up of latecomer firms in the emerging market.45

Since only a few research works target the China semiconductor industry, and those

focus at the firm level, we believe our research could fill up a current gap and start more

45 Because we use cross case analysis to describe microcoevolution happening in these three companies, according to the corresponding building blocks in our conceptual model, therefore, there will not be an individual section dedicated to the individual firm for its microcoevolution.

81

debates and arguments about the catching up of latecomer firms in the emerging

market.46

The Microcoevolution of Latecomer firms

This study has two propositions related to the microcoevolution within the firm. In

this section, we use cross case analysis across three companies to examine whether these

propositions are sustained or not.

In Proposition 4, we argue that managerial intentionality of the latecomer firm in the

emerging market evolves along with the changing of managerial logics in the macro-

level environment. In our conceptual model, managerial intentionality of the latecomer

firm plays the key role to connect coevolution between macro- and micro- levels.

Because latecomer firms exist embedded in that external environment, changing of the

external constituents, such as managerial logics, influences managerial intentionality, in

terms of mindsets of top executives and decisions they make, for those firms to respond

or dominate the changing external environment (Child, 1972; 1997; Miner, 1994). Our

examinations that support Proposition 4 are described as follows.

In China, institutional changes, policy incentives, and changing management logics

at the societal level attract returnees and foreigners to this emerging marketplace. Those

returned experts secure various supports from government agencies and the emerging

private sector to build up their own start-ups or joint ventures to participate industry

development in China (Huang, 2003; Zweig, 2002). Such an opening and deregulating

market not only allowed local firms to recruit foreign experts and experienced overseas

Chinese to compose their top executive teams, but also inspired those overseas Chinese to

46 Studies about China semiconductor industry, such as Klaus (2003), Mathews & Cho (2000), Teece & Chesborugh (2005), Wu & Loy (2004), and Yu (2000) mainly concerned its development at the industry level. Even Yuan (2001) used one joint venture semiconductor firm, but the research was about transferring Japanese production system to China, but Chen & Toyama (2006) that may be the first academic work to mention the catching up of one Chinese semiconductor latecomer firm.

82

establish their own businesses to participate in emerging development in China.

In our study, those macro-level evolutions caused Dr. Peter Chen and his

management team to lease the foundry assembly line from China Huajing Electronics

Group Company to establish CSMC in 1997,47 and allowed Dr Richard Chang received

generous supports from the government to establish SMIC in 2000. Technology

developments in our cases also follow the direction of the institutional incentives offered

by the government, for example, technology development of SMIC and HHNEC both

focus on the 8” or larger wafer size fabrication capacity emphasized by the Tenth Five

Year Plan and Document 18, in spite of the fact that CSMC just expected to expand into

8” wafer manufacturing technology until 2006.

From the perspective of managerial intentionality, in spite of slight changes in

different firms, top executive teams in CSMC and SMIC are more stable than those in

HHNEC, and they all focused on the semiconductor foundry business at the time of their

founding. Until now, both founders of CSMC and SMIC have remained the figureheads

of their companies since their establishment, and their major business has focused on

foundry business since day one, while top executives of HHNEC had a significant change

during its transition from the IDM into a pure foundry player. Although it was a Code

Project 909 in China, the structure of ownership in HHNEC caused it to experience

significant transition in the top executive team to become a pure foundry player. Japanese

managers from NEC played the lead role in its initial stage, but returned experts took the

leading positions while major stakeholders, like those SOEs and government agencies,

expected HHNEC to become a foundry player, which apparently converted managerial

intentionality of HHNEC.

As we mentioned earlier, CSMC was managed and led by they Dr. Peter Chen, with

47 The production facilities China Huanjing Electronics Group owned were the result of the Code Project 908 that focused on establishing 6” wafer fabrication capacity in China semiconductor industry.

83

leased production facilities to focus on 5” and 6” wafer fabrication. It has successfully

made the break-even point in fifteen months after getting those facilities from China

Huajing Electronics Group Company. Even the managerial intentionality of CSMC to set

up 8” wafer manufacturing capacity in 2006 was a little late, behind the incentives first

proposed by the government in 2000, however, we consider it as a lagged effect of

coevolution as suggested by McKelvey (1997). On the other hand, SMIC was also well

evolved, with macrocoevolution over time; it established at the proper time, in 2000, a

focus on 8” and larger size wafer manufacturing, and accomplished all these targets in a

very short time. With support from the government, SMIC’s rapid development includes

some courageous investment and expansion behaviors outside the field of foundry

business, such as having the latest manufacturing facilities in Beijing (Zhu, 2006).

Regardless of their differences in establishment date and the lagged entry of

HHNEC into the foundry service business, these cases inform us that managerial

intentionality of latecomer firms mostly coevolves with corresponding

macro-environments as we mentioned in Proposition 4.

On the other hand, the example of HHNEC also reminds us, that differences of

managerial intentionality in these firms could be attributed to not only the composition of

top executive team and their decision-making, but also to differences of their structures of

ownership (sees Figure 5.2).48 Even through management teams in CSMC and SMIC are

relatively stable, because they already listed on the stock markets, we wonder whether

their future development and evolutionary path may endure pressures or influence from

institutional investors and public stockholders, that go beyond the scope of our

conceptual model. We think people should take external stakeholders into consideration

48 Because previous works seldom emphasized how the structure of ownership may affect the catching up of a latecomer firm, expect that companies they studied were SOEs or joint ventures between local and foreign firms (e.g. Amsden & Chu, 2003; Chang & Yu, 2001; Mathews & Cho, 2000). We do not consider the structure of ownership of a latecomer firm as one building block for our conceptual model, but we admit that it would be interesting to include this “missing” element in future research work.

84

in future research work.

Figure 5.2 Structures of Ownership of Major Chinese Semiconductor Foundry

Firms

Source: Dewey Ballantine, LLP (2003)

In the microcoevolution part of our conceptual model, we argue that managerial

intentionality of the latecomer firm in the emerging market dominates joint evolutions

between the organizational form and combinative capabilities. Based on the ideas

emphasized by coevolution and the strategic choice perspective, the mindset and

decisions of top executives lead to co-evolution between the organizational form and

combinative capabilities within the latecomer firm.

To address that proposition, the evolution of HHNEC could be the most explanatory

case in our research. HHNEC experienced a significant change, followed by the change

of the top executive team, to change itself from an IDM to a full foundry player.

Accordingly, HHNEC not only changed its organizational form to fit the requirements for

doing foundry service business, but also invited non-NEC partners, such as Jazz

semiconductor, to expand its technology profile for satisfying diversified demands from

85

different fables companies in manufacturing technologies. In addition to rearrange its

internal resource allocation in terms of the change of organizational form, its new

technological vendors not only upgraded the technology capabilities of HHNEC, but also

showed the progress in combinative capabilities of HHNEC.49 Even these different

changes did not happen concurrently, they essentially showed how collective evolutions

among different actors and how the change of managerial intentionality affected

constituents in the microcoevolution of the latecomer firm.

Because of their major business focus on foundry business service at the beginning,

the changes/evolutions of managerial intentionality of CSMC and SMIC are not as

significant those at HHNEC. Since CSMC and SMIC targeted different market segments

and different technology capabilities, different coevolutionary stories appear in these two

cases.

CSMC did not spend lots of capital investment in procuring production equipment in

the first place, rather the management team leased 5” and 6” wafer production facilities

from China Huajing Electronics Group Company to start up their operations. The reason

this SOE lent those facilities to CSMC was to borrow professional expertise from CSMC

management team to save the investment result of the Code Project 908.50 Because

CSMC successfully made break even very shortly, which surprised government agencies

and local semiconductor firms and proved that the business model of semiconductor

foundry service could earn real money in the emerging market, and showed its feasibility

in China. Even without having the latest production facilities and most advanced

49 Because the difficulty of data access, we cannot make a detailed analysis about the combinative capabilities of our cases. Therefore, we consider the upgrading of their technological capabilities as a latent description of their combinative capabilities. In general cases, that assumes technological vendors would not provide advanced technologies to latecomer firms, unless latecomer firms have compatible combinative capabilities and willing to upgrade and learn from those external vendors. 50 Those 6” wafer fabrication facilities in China Huajing Electronics Group were supported by the Code Project 908. However, those facilities did not break even, and CSMC decided to lease Dr Peter Chen and his executive team. After 15 months under the operation of CSMC, those facilities achieved break even.

86

manufacturing technologies at first, the initial managerial intentionality of CSMC was

simply focused on 5” and 6” wafers, which were the mainstream products in the Chinese

semiconductor market at that time. Because of its initial intention, its combinative

capabilities began from targeting at the local mainstream market, but gradually upgraded

toward the mainstream in the worldwide market-8”wafer fabrication-through the new

technological partnership.

Before CSMC was listed on the main board of the Hong Kong Stock Exchange in

2004, the structure of ownership had been restructured, while the internal organizational

form remained stable. This circumstance inspires us that the microcoevolution of the firm

for catching up may include more than those building blocks we developed from previous

works.

SMIC has the most advanced manufacturing facilities and technologies. The timing

of its founding let it enjoy lots of institutional support, both from central and local

government agencies. With these kinds of connections, SMIC could expand rapidly

across China. At the same time, SMIC also constantly increased its technology

capabilities through upgrading combinative capabilities, and securing technological

vendors from Japan and Europe as its technological partners.

Compared with CSMC and HHNEC, SMIC was a new start-up in 2000, without

partnership with any single foreign firms, but targeted the latest manufacturing

technologies for foundry service at the beginning. The proper timing of entry and its

initial conditions gave SMIC more freedom to attract foreign capital and expand its

operations, which made it quickly become one of two Chinese semiconductor foundry

firms listed in the top ten pure foundry players in the world market (IC Insights, 2006c).

Microcoevolution of SMIC essentially followed its expanding operations, and the

organizational form moved toward the M-form along with the expanding scope of

operations, such as joint ventures with other non-foundry service businesses in packaging

87

and testing, the backend of the IC manufacturing process.

Even through their coevolutions appear with some lag, these three cases show

microcoevolutions of latecomer firms do evolve collectively, along with the changes of

managerial intentionality over time. When the causal linkages among these building

blocks could not be easily identified, we also found other factors, such as the structure of

ownership of the firm, that may affect coevolution among building blocks, and which

suggest other new research directions.

Among these three cases, HHNEC had the most significant changes in business

direction, top executive team, and organizational structure. CSMC showed a unique

example of rather than pursuing the latest technologies, leasing production facilities from

SOEs to establish its own production capacity that saved lots of initial investment. Its

quick break even and initial focus on local mainstream markets not only showed the

competence of the top executive team, but also its managerial intentionality to be the first

foundry business firm in China. Finally, with generous support from government and has

the most advanced manufacturing technologies in China, SMIC was always the focus of

media and its rivals since the beginning. Managerial intentionality of SMIC concentrated

on upgrading technological capabilities and expanding business and geographic scope, to

diversify its organizational form and enhance combinative capabilities to integrate

different technologies for different functions and services.

We tabularized their individual microcoevolutions in Table 5.5. According to our

cross case analysis from these three cases, we consider that Proposition 5 is supported.

However, we cannot claim this proposition to be a generic statement applicable in all

industries in any emerging market.

88

Table 5.5 Microcoevolution of Three Cases

Company Managerial intentionality Organizational

form

Combinative

capabilities

CSMC

1. The first foundry service firm in

China.

2. Focus on local mainstream

market for 5’ and 6” wafer first, and

established the 8” wafer fabrication

capacity recently.

Function-based

structure and expansion

along with the

establishment of new

wafer assembly line.

Focus on 3.0 to

0.35µm with used

facilities, and

preparation to develop

0.18 µm process with a

technological partner.

HHNEC

The first IDM with 8” wafer

production capacity in China, and

transformed to become a foundry

player in 2002.

Function-based

structure; restructured

for becoming a pure

foundry player.

Technologies

transferred from NEC

initially, and expanded

the technology profile

through new

technology partners

and vendors.

SMIC

1. This firm targets at 8” and

larger wafer fabrication that

matches the world mainstream

market.

2. Expand to downstream

business- packaging and testing

business.

Functional-based

structure and new

business units were set

up through diversifying

investments.

Manufacturing

capacity ranges from

0.18µm to 90nm.

5.3. Catching up of latecomer firms

In the previous literature review, we use the extended resource-based view proposed

by Mathews (2002c, 2003a) to consider catching up strategies of latecomer firms. Those

3Ls-linkage, leverage, and learning- are the main strategies latecomer firms used to

upgrade their technological capabilities and competitiveness. In this research, we identify

catching up of these cases in terms of their linkage, leverage, and learning.

In our three cases, HHNEC and SMIC already ranked in the top ten pure foundry

players in the world market, and CSMC mainly focused on the China market, with a few

foreign clients. CSMC and SMIC were created to do foundry business and recognized

89

their divisions of labor in the whole semiconductor value chain being to provide pure

manufacturing service to IC design houses in the beginning, while HHNEC moved from

IDM to become a foundry service player six years after its founding. All these three

companies are linked in the semiconductor industry value chain, and leverage their roles

as contract manufacturing partners for foreign and local IC design houses, or clients like

IDMs, in case of shortage capacity for the booming market demand. Regardless of

operating as an IDM or a foundry service players, all these cases maintain their positions

in the semiconductor value chain, and leverage their own roles for manufacturing wafers

for themselves and/or other fabless firms (Wu & Lo, 2004; Zhu, 2006).

These three cases also showed different levels of learning for catching up with the

mainstream technologies. While SMIC targets at the worldwide mainstream wafer

fabrication at 8” and larger sizes, and advanced manufacturing processes, such as copper

process, it also quickly expanded its technological partners around the world, in addition

to some non-foundry business related investments and joint ventures in packaging and

testing and devices used for the solar energy. Institutional and financial support from the

government and the capital markets ensure SMIC has sufficient backup to secure

different technology vendors and diversify business, even under limitation to import

product equipments from other countries and pressures from international organizations

and industry associations (Dewey Ballantine LLP, 2003; Klaus, 2003).

In the example of HHNEC, its technology level mainly focuses on 8” wafer

fabrication with technologies transferred from NEC. It also expanded its capacity through

acquired production facilities, and earned the order for the second generation ID card,

these also enabled HHNEC to fine tune its yield rate and capacity to sustain its position

as a leading foundry firm in China and the world market, except for lacking sufficient

capital investment to build its own production capacity for manufacturing 12” wafers. As

a comparison, the learning of CSMC occurred mainly through practicing 5” and 6” wafer

90

technologies based on leased reconditioned production equipment and serving domestic

clients, while slightly expanding its technological profile. Even though listing on the

main board of the Hong Kong Stock Exchange gave CSMC sufficient capital for

expanding, it only considered expansion into 8” wafer manufacturing recently. That could

be attributed to the market position it intended to sustain at the beginning, or we could

argue that CSMC has finally decided to confront competition with other local latecomers

and foreign rivals in the world mainstream market, along with the growing up of local

clients over time.

The learning of these latecomer firms worked through upgrading of their

manufacturing technologies and technological profiles both within and beyond

organizational boundaries (Kim, 1997, 1998; Mathews & Cho, 1999). Table 5.6

represents the technology profiles of our cases to reflect their learning over the years.

Apparently, SMIC is a quick learner that has the latest technologies in China, and it could

match foreign leaders in five years or less after its first operations. HHNEC maintains its

focus on 8”wafer and expanded technological vendors beyond the single support from

NEC to others, such as Jazz semiconductor, SST. However, CSMC’s lack of having

advanced manufacturing technologies and technological partners just reflects its position,

targeted at middle and lower level markets, rather than implying it does not learn to

upgrade technological capabilities.

91

Table 5.6 Technology Profiles of Three Cases

Company CSMC HHNEC SMIC

Manufacturing

technologies (µm)

3, 1.5, 1.2, 0.8, 0.6,

0.5, 0.35,

0.35, 0.25, 0.18 0.35, 0.25, 0.13, 0.15,

0.18, and 90nm

Technological

partnership

Chartered

Semiconductor,

IMECAS(China)

NEC, IMEC, SST,

Jazz semiconductor

Toshiba, Fujitsu,

Elpida, Infineon,

IMEC, Chartered

Semiconductor,

Toppan, Saifun

Products

Logic, mask ROM,

embedded EEPROM,

CMOS, DMOS,

High/Low voltage,

BiCMOS, MOSFET

Logic, Mixed signal,

eFlash, eEEPROM,

RF COMS,

SRAM, DRAM,

Logic, Mixed

signal/RF, Flash,

EEPROM, High

voltage, LCoS, SoC,

Source: Summarized from company presentations and websites and these are not

comprehensive or exclusive lists.

We summarize their catching up in terms of three Ls in Table 5.7, and agree that

catching up of these three firms could be explained by the extended resource based view

Mathews proposed (2002c, 2003a), except for one interesting point we found in CSMC.

While Mathews (2002c) argued learning indicates repeated results of linkage and

leverage of the latecomer firm, the case of CSMC shows a latecomer firm may not

“learn” as quickly as their rivals, but maintains its technology capability along with the

development of the target market. We argue that this may be attributed to the moderate

effect from the managerial intentionality of latecomer firm toward catching up through

learning. If so, that may rewrite causal linkages among linkage, leverage, and learning

proposed by Mathews (2002a, 2002c) and lead to development of a new conceptual

model for catching up of the latecomer firm.

92

Table 5.7 Catching up of Three Cases

CSMC HHNEC SMIC

Linkage Foundry service From IDM to Foundry

service Foundry service

Leverage

Used leased facilities from

SOE; focus on 5” and 6”

wafer fabrication to satisfy

the domestic mainstream

market

A single foreign

partner at first, and

expanded technology

vendors gradually.

Partners with

different foreign

vendors intensively.

Learning

Fine tune manufacturing

technologies to focus on 5”

and 6” wafer level.

Consider expansion of 8”

wafer fabrication through a

strategic partner.

Learning from the

single technology

vendor at first; then

expanding to other

sources.

Begins from 8”

wafer fabrication,

and catch up with

latest manufacturing

technologies, such

as 90nm and copper

processes.

5.4 Discussions

Although empirical examinations about exploration and exploitation in organization

learning have become one critical measurement for applying the coevolutionary theory

perspective in organization studies, this research mainly used a qualitative,

phenomenological approach to analyze catching up of the latecomer firms in the

emerging market in terms of the coevolutionary perspective (Gupta, Smith, & Shalley,

2006; March, 1991; Lewin & Volberda, 1999, 2003). The unstable quality of statistical

data also limits our intention to do more quantitative analysis or simulation work, as other

coevolutionary works have done (Baum & McKelvey, 1999; Baum & Singh, 1994a; Holz,

2004; Singh, 1990).

We used the coevolutionary perspective to outline a conceptual model and apply it to

examine catching up of three Chinese semiconductor foundry players. We found these

three cases do coevolve both at macro- and micro-levels over time, in spite of different

firms coevolution in different timelines.

93

In this study, coevolutions between institutional change, technology development

and management logics have been established as the macrocoevolution of the firm for

catching up, and these external evolutions shape the context where latecomer firms are

embedded, that include inherited constraints and incentives for latecomer firms to

compete with leading competitors. We proposed interactive evolutions between

managerial intentionality, organizational form, and combinative capabilities as the

microcoevolution of the firm. Consequently, we found catching up of latecomer firms in

the emerging market could be analyzed through such a multi-level perspective to observe

that dynamic phenomena. Table 5.8 lists propositions we proposed and results based on

our cross case analysis. We organize the macro- and micro-coevolutions between three

cases and the environment, to show how interactions happened across different actors at

different levels in our study (please refer to Table 5.9, Table 5.10, and Table 5.11,

respectively). Except for differences in the timing of entry into the market,

macrocoevolution of these three cases is almost the same. Nevertheless, differences in the

microcoevolution of these cases are rather obvious.

As we mentioned in Chapter 4, CSMC was used as a comparative subject, for us to

compare differences between the other two leading local latecomer firms. In spite of

CSMC’s original focus on the low end, local mainstream technology, technology

development in such an institutionalizing environment responded to institutional changes

that nurtured the emergence of the semiconductor industry. Both technological

development of HHNEC and SMIC were apparently motivated by that macrocoevolution,

which led them to pursue mainstream technologies in the world market through foreign

technological partners (see Table 5.10 and Table 5.11).

On the other hand, corresponding changes of management logics made returned

overseas Chinese professionals and foreign experts capable of contributing their

knowledge and experience in the emerging market; otherwise, they could not have

94

frontline operators and employees with the mindset and consciousness to follow their

leadership (Dijksterhuis, et al., 1999). That evolution in management logics enabled those

returnees to apply, transfer, and share what they learned to participate emerging

opportunities in China, that further inspired the authorities to open up markets and attract

more foreign investment and experienced experts (Huang 2003; Zweig, 2002).

Table 5.8 Research Results

Propositions Results

1. In the emerging market, firms that belonged

to the industries specified by the government

could have institutional support, to enable

them to catch up with other leading rivals.

2 Technology development in the emerging

market moves according to the institutional

changes of the government, which influence

technology development of the local latecomer

firms.

Macro-

coevolution

3. Management logics in the emerging market

change along with institutional change

initially, once they become assimilate with

those in the developed markets, that emerging

management logics may further influence

institutional change in the local context.

1. All cases belong to the target

industry with institutional support from

different aspects, but not all cases favor

technology development with

institutional supports immediately, such

as the case of CSMC.

2. Coevolutions exist among these

actors, but effects in these firms are

irregular because their different

founding times.

4. Managerial intentionality of the latecomer

firm in the emerging market evolves along

with the changing of managerial logics at the

macro- level environment. Micro-

coevolution 5. In the emerging market, managerial

intentionality of the latecomer firm dominates

joint evolutions between the organizational

form and combinative capabilities.

1. Coevolutions among actors do not

happen concurrently, but lag to respond

to changes of corresponding actors.

2. Managerial intentionality of the firm

dominates the microcoevolution in

three firms, while the case of CSMC

only showed slightly evolving changes.

95

96

Compared with the development history of CSMC, those young established

latecomer firms, such as HHNEC and SMIC, enjoyed more solid institutional supports

and technological development directions in a more open context (Table 5.10 and Table

5.11). In terms of the coevolutionary perspective, without evolution at the macro-level,

development of latecomer firms and affiliated industries could not have grown through

windows of opportunities initiated by institutional changes, nor have found external

support from other developed rivals to introduce new technologies and service in the

emerging market.

Managerial intentionality of the latecomer firm is the main moderator between

macro- and micro- coevolutions of the firm in our conceptual model. It reflects the

strategic choice perspective, that decision making and mindsets of top executives in the

firm can select their responses to the changing external environment, especially in the

emerging market, as it transits from personal connection focus toward contract-based

environments (Child, 1972, 1997; Miner, 1994; Peng, 2003; Peng & Luo, 2000). In our

analysis, only HHNEC experienced significant change of managerial intentionality in the

period of conversion to become a foundry player (Table 5.10), while SMIC and CSMC

maintained stable top executive teams for years. The stable managerial intentionalities of

these two firms made their organizational forms more stable, allowing them upgrade their

combinative capabilities to satisfy their business directions and compete with other

leading rivals. (See also Table 5.9 and Table 5.11).

97

98

99

Unlike the case of HHNEC that changed the structure of ownership also followed by

changing business directions and top executive team, business directions of CSMC and

SMIC does not change because of their new structures of ownership after public listed.

Both CSMC and SMIC become public listed companies in 2004 that not only help them

to secure financial and social capitals for expanding product capacities and upgrading

technologies, but also bring new stakeholders through such new structures of ownership.

Even the structure of ownership of the firm is a major concern during the economic

transition of these emerging markets (Huang, 2003; Park, et al., 2006), however,

discussions about catching up of latecomer firms seldom put it into consider. The case of

HHNEC inspired us that different structures of ownerships, especially those relevant with

changing top executives, may influence managerial intentionality of the firm and its

corresponding microcoevolutions. In terms of coevolutionary perspective, we argue the

effect of changing ownership structure should also be put into account for future research

and discussions.

In this study, we consider all propositions are supported but not as solid as one may

expect from the quantitative analysis with large sample sizes. Our conceptual model and

cross case analysis show catching up of latecomer firms in the emerging market could be

examined by the coevolutionary perspective that complement arguments based on the

institutional or technological capabilities approaches.

100

6. CONCLUSIONS

6.1 Research Findings

Growing opportunities in emerging markets attracts attention, both from in their

domestic industries and from foreign firms. How those latecomer firms become

competitors with foreign rivals is also a major concern for firms in those transition

economies and other developed countries. While traditional arguments based on a single

lens attempted to explain catching up of latecomer firms in the developing countries, this

study used the coevolutionary perspective to develop a multiple lens approach to explore

catching up of latecomer firms in the emerging market. Corresponding to our research

questions, we can summarize our findings as follows.

1. How do latecomer firms in the emerging market catch up?

Through our analysis, we found latecomer firms in the emerging market catch up

along with the changing macro-environment, and adjust themselves through internal

evolution of the firm over time. Even coevolutions within and beyond these firms’

boundaries were not happen concurrently, these evolutions have made those latecomer

firms to enhance technological capabilities and to expand product profiles for catching up.

Similar macro-level environment led those latecomer firms to enjoy institutional support

to pursue guided technology development, with inflow of experienced talents to help

their operations, with evolving management logics more inclined to the capitalist market

system.

On the other hand, microcoevolutions of those latecomer firms also occurred in

organizational forms and combinative capabilities through the direction of managerial

intentionality of firms. Essentially, those firms are all close to the mainstream

technologies through upgrading technological capabilities and expanding their production

capacity over years. Alternatively, we found that the managerial intentionality dominated

development paces and track of those latecomer firms, which may cause lagged

101

coevolution, especially in the case of CSMC (see also Table 5.9).

2. How do we construct our conceptual model in terms of coevolutionary

perspective?

To synthesize arguments from the coevolutionary perspective and existing literature

about catching up of latecomer firms, we first reviewed literature, and found only a few

papers mentioned coevolution in the transition economies (Suhomlinova, 2006), which

shows our research could fill the existing gap between these two fields. Next, we

identified various actors from literature and consider the phenomena we observed about

catching up of latecomer firms in the emerging market, then selected building blocks and

classified them into macro- and micro- levels to develop our conceptual model and

corresponding propositions accordingly.

Concerning the nature of coevolutionary perspective, even though our proposition

may assume some causal mechanisms between building blocks of our conceptual model,

one needs to be aware that such causal linkages could not completely reflect the real

complexity across different constituents, because different perspectives and research

inquiries may deliver different causal mechanisms (George & Bennet, 2005; Lewin &

Volberda, 1999, 2003). In this study, we used cross case analysis through the triangulation

of company material, data from industry associations and previous literature to examine

our propositions, according to evolutions of different actors in our conceptual model.

3. What could we learn through using the coevolutionary perspective to examine

catching up of latecomer firms in the emerging market?

Coevolution of institutional change and technology development in the emerging

market has been proved through our analysis, which supports previous arguments about

coevolution between institutions and technology (Nelson, 1994a, 1994b). Our analysis

not only shows coevolution exists in the transition economies, but also confirms

institutional changes in the transition economy as the major trigger for its industrial

102

coevolution and economic development. Deregulating market systems and changing

transaction approaches in the emerging market mean that we cannot expect those

latecomer firms to run as SOEs have done before.

Therefore, concern about evolution at the private firm level is necessary to better

identifying how they respond to the changing external environment for catching up. In

this study, we considered catching up of latecomer firms in developing countries and the

nature of the emerging market to construct building blocks for the microcoevolution of

our conceptual model.

Through elaborate triangulation of data from our cross case analysis, we found some

other factors for catching up of latecomer firms in the emerging market that have less

been discussed in previous works. For example, even though all three cases have

experienced different changes in the structure of ownership, that made the most

significant change in the microcoevolution of HHNEC. On the other hand, even through

HHNEC and CSMC come from national projects, they have different development tracks.

We consider these differences not only because of their differences in the structure of

ownership, but also in their managerial intentionalities. These cases inform us that the

structure of ownership, managerial intentionality, and their coevolution may play crucial

roles for catching up of latecomer firms in the emerging market. This extra finding

suggests that there may be some linkages between the structure of ownership and

managerial mindsets of the firm, and should be an interesting inquiry for future research.

In the study of population organization, organizational selection made organizational

forms move become isomorphism (DiMaggio & Powell, 1983; Hannan & Freeman,

1989). From our analysis, we also found organizational isomorphism in these Chinese

foundry firms; all three cases pursued the mainstream 8” and higher wafer size

103

fabrication capacity to catch up with leading competitors.51 Otherwise, we also found

international organizations and foreign industry associations in other countries, such as

WTO and SIA, to be coercive forces to make China government to adjust incentive

mechanisms designed for the domestic semiconductor industry to respond to those

external changes, and follow the existing rules of the game in the worldwide

semiconductor market. These coercive forces made our cases, and other semiconductor

firms in China, encountered some difficulties in accessing new technological vendors,

and postponed their pace of catching up with foreign rivals, at least in the short run.

In our study, evolutions among different actors within the firm did not appear

concurrently, as in the macro-level environment. We found those lagged responses may

be attributed to managerial intentionality of the firm. Rather than considering the firm as

a passive actor in the catching up, we also emphasized that the mindsets and decision

making of the top executive team in the microevolution of the firm, which supports

arguments of strategic choice and coevolutionary perspectives that we reviewed. Our

findings show that managerial intentionality dominated the internal evolution within the

firm along with the changing external environment.

As Figure 6.1 shows, the major contributions of this study include the development

of a new conceptual model by synthesizing different knowledge and examining catching

up of semiconductor latecomer firms in China. This study is the first work that developed

a multiple-level perspective to explore phenomena happening in the transition economy.

We believe phenomena happen in the emerging market are more than new arenas for

validating theories and arguments developed in Western and NICs, but can develop some

new observations and insights from their contextual variations. This research is just a

beginning to stimulate more dialogues and discussions to consider catching up of

51 CSMC moved into 8” wafer fabrication capacity in 2006, before that it solely concentrated on the domestic mainstream market in 5” and 6” wafer fabrication.

104

latecomer firms in different markets, and bring new insights for refining the

coevolutionary perspective.

Figure 6.1 Research Summary

Note: The dotted lines show the theoretical background we used to develop our

conceptual model. The bidirectional arrows indicated interactions between our research

and previous works.

6.2 Theoretical Implications

Coevolution between institutions, technology, and organizations toward economic

change is the most significant example for applying the idea of coevolutionary

perspective at the macro level; however, interactive evolutions among those constituents

were examined without identifying differences of the contexts they were embedded in

(Nelson, 1994a, 1994b; Rosenkopf & Tushman, 1994). This dissertation is the first

research that synthesizes the ideas of coevolutionary perspective to examine catching up

Catching up of Latecomer firms

-Technological capabilities approach

-Institution driven approach

-Developed from experiences of

NICs, Japan, and Korea.

Coevolutionary perspective

-Environment vs. organization

-Macro- and micro- coevolutions

Catching up of latecomer firms in

the emerging market: a

coevolutionary perspective

1. Developing a new

multiple–level conceptual

model.

2. Applying that new model

to a new inquiry.

3. Analyzing the

phenomena through a

new perspective.

4. Finding some issues that

were less emphasized in

previous works.

This research:

105

of the latecomer firm in an emerging market.52

The macrocoevolution of our conceptual model based on the context of the

emerging market shows the extent to which effects of institutional changes on other

constituents may diminish along with the passing of time and the opening of the market.

This finding suggests firms are aware of contextual variations in the coevolution they are

embedded in (Dijksterhuis, et al., 1999; Van de Ven, 2004). Alternatively, while the role

of managers in the evolution of the firm has been examined intensively, only a few works

concern the interactions between management logics at the macro level and the firm’s

behaviors.53 In this research, we first considered the interactive coevolution between

management logics and managerial intentionality, and argued this kind of coevolution

could also be used to examine how different management theories and practices interplay

with the mindsets of managers in different contextual variations, for future research.

In the microcoevolution part of our conceptual model, catching up has been treated

as the collective result of managerial intentionality, organizational form, and combinative

capabilities, rather than just the result of organizational learning. That not only informs

the complexity at the micro level, but also shows a different conceptual connection,

which may stimulate new thinking about organizational learning in the latecomer firm.

While the idea of coevolution has been taken into account in various theoretical

arguments, we brought it into a new context, in which intensive interactions appear

between transition economies and their members (firms and managers) (Mathews, 2002;

Winder, et al., 2005; Wright, et al., 2005). Our explorative research based on the

coevolutionary perspective provides an integrative framework to analyze catching up of

domestic latecomers in the transition economies, beyond the traditional analyses used in

52 As we mentioned in the early part of this work, only Rodrigues & Child (2003) and Suhomlinova (2006) researched the emerging market in terms of the coevolutionary perspective, but without focusing on the catching up of latecomer firms. 53 Some representative works can be found in Boeker & Wiltbank (2005); Dijksterhuis, et al., (1999); Miner (1994); and Shapira (1994)

106

studying catching up of latecomer firms, which mainly used perspectives of institutional

change and upgrading of technological capabilities.

Because the coevolutionary perspective in organization and management studies is

the theoretical background upon which this research is based, theoretical implications of

this work also have close relevance in the field of management and organization studies

(Aldrich & Ruff, 2006; Durand, 2006; Lewin & Volberda, 1999; Nelson & Winter, 1982).

At the microcoevolution level of our conceptual model, combinative capabilities of

latecomer firms were used to integrate capabilities learned from various sources.

Borrowing the idea of Hodgson & Knudsen (2004), the latecomer firm could also be

treated as an integrator that works as a carrier for habits and routines to catch up with

preemptive incumbents, and it would be interesting to explore one latecomer firm

through that analog. While discussions of the coevolutionary perspective in management

studies have mentioned the interactions between capabilities and transaction costs or

between firm capabilities and industry competition, the future direction of this kind of

analysis could also consider latecomer firms in the emerging market. Especially, this new

example may represent a unique and context-specific context about how latecoming

integrators synthesize habits and routines that may be replicated or selected from leading

rivals (Huygens, et al., 2001; Jacobides & Winter, 2005; Nelson & Winter, 1982; Teece,

2000).

Studies of organizational evolution are about processes of variation, selection, and

retention within the organization, and different stages may reflect the competing results

between organizations in their respective lives (Baum & McKelvey, 1999; Baum & Singh,

1994a). In that perspective, catching up of the latecomer firm could also be examined as

to how a firm selects from among various rivals to imitate, obtains what it lacked in the

first place, then recombines new knowledge with its existing knowledge and resources

for survival in the market. While sources for compensating for their disadvantages are

107

mainly leading rivals, how latecomers cooperate and compete with those leading partners

concurrently remains an interesting concern for studies of both organizational population

and/or competitive dynamics in the niche environments they are embedded in. The entry

of latecomer firms brings disequilibrium to the industry ecosystem they are embedded in,

and may raise windows of opportunity both for incumbents and for latecomers

collectively.

Generic discussion about evolutionary theory in social science seldom differentiates

the nature of species (firms), but uses variation, selection, and retention to describe

changes in their development. In this research, we do not describe the coevolutionary

process by these kinds of events, but by using pair comparison to compare changes

between different building blocks in our conceptual model over time.We admit the

importance of these critical events, and note that these three stages appear concurrently in

different constituents of our conceptual model.

From the perspective of knowledge-creating theory, we could consider catching up

of the latecomer firms as the upgrading of latecomer firms’ knowledge through the

organizational learning both within (exploitation) and beyond the boundary of the firm

(exploration) (Kim, 2000; Lewin, et al., 1999; March 1991). That also reflects interactive

evolution between the knowledge spiral of the latecomer and its changing external

environment (Nonaka & Takeuchi, 1995). Because knowledge could not be isolated from

actors and context it embedded, that interdependence between the environment and

knowledge could also be recognized as a coevolution between knowledge spiral and the

Ba those actors embedded. Such an analog shows new directions to expand applications

and arguments of knowledge-creating theory in different fields, such as how do actors

with less knowledge assets in a bounded Ba upgrading their quality and capacity of

knowledge assets? And how coevolution between tacit and explicit knowledge happen

within and beyond the organizational boundary?

108

6.2 Practical Implications

The source of economic change comes neither from technology development nor

institutional change, but from the integrated result of demography of humans, stock of

knowledge, and institutional change collectively (North, 2005). Therefore, catching up of

the latecomer firm in the emerging market could not simply be achieved by institutional

support, or just by efforts toward technological upgrading of the firm, especially in a

more connected and dynamic economic world (Baum, 1994a; Lewin & Volberda, 2003;

Mathews, 2002a, 2006a). Managers in latecomer firms should develop their catching up

opportunities, rather than depend on institutional changes or assume they can upgrade the

firm’s technological capabilities independently. They need to consider their catching up

from multiple perspectives interdependently.

Our analysis reminds policy makers in the emerging market to consider the

dynamics beyond their borders, and remember industry development in their domestic

market is not just their own business, but may be intensively related to other foreign

countries and relevant international organizations, especially while the market growth of

these transition economies plays a critical part in world economic development.

Similar to previous experiences in NICs, learning through imitation remains the

important approach for latecomers in the emerging market to compete with leading

incumbents, however, it is not a panacea, but a temporary solution for sustaining

latecomer firms’ positions in such a hypercompetitive environment (D’Aveni & Gunther,

1994; Lieberman & Asaba, 2006; Makadok, 1998; Volberda, 1996). Consequently,

latecomer firms also need to identify the proper position they want to have in the industry

ecosystem, and respond to the changing external environment correspondingly.

While potential market growth and cost advantages in labor force are the main

reasons for an emerging market to attract foreign capital and brain gain to help their

industrial development, government in the emerging markets should also remember not

109

to become overly dependent on those external sponsorships, but to establish

independence in technologies or managerial practices for domestic industries and firms.

For example, the China government has emphasized the indigenous innovation as one of

the major goals for its Eleventh Five Year Plan. We believe emergence of that

independence will be explained as one of the major criteria to judge whether latecomers

can really conquer those first movers and secure a more stable position in the worldwide

market.

6.3 Future research

As an initial step to discover the details of catching up of the latecomer firm in an

emerging market through the coevolutionary perspective, this dissertation opens many

possible directions worthy of further development.

The most obvious one is to overcome the difficulty of data access to expand the

number of cases and secure more informants, to further consolidate or weaken

propositions and arguments we proposed. Also, people may like to examine our argument

in different industries or in different emerging markets to examine the generalizability of

our conceptual model. For example, one may consider our conceptual model to examine

other firms in China’s information technology and energy industries, or those with high

potential to compete with leading firms in other countries (Lanzonik, 2004; Zhang,

2004).

Another interesting direction is to collect quantitative data for analyzing

organizational ecology and competitive dynamics in those latecomer firms, or between

latecomer firms and leading incumbents. We believe these analyses could provide more

empirical and quantitative results to represent competitive dynamics of those latecomer

firms in the emerging market, and compare them with leaders (e.g. Baum & McKelvey,

1999; Baum & Singh, 1994). For instance, Baum (1999) derived Kauffman (1993)’s NK

110

[C] model to see coevolution as the fitness landscape of different agents, to simulate

different strategies for whole-part coevolutionary competition. McKelvey (1999) also

used a similar model to address strategies in complex organizational interdependencies

that may expand our understanding about the competition between latecomers and

leaders. To do this kind of analysis, calls for more accurate data from the field and

requires people to collect first hand quantitative data about evolution of latecomer firms

and industries.

Nishiguchi (2001) considered symbiosis and exploitation mechanisms to describe

the coevolution of interorganizational relationships through outsourcing practices. In fact,

semiconductor foundry industry can also be recognized as an outsourcing partner for

fabless companies (Brown & Linden, 2005). Therefore, future research targeted at

semiconductor foundry firms may also consider how a semiconductor foundry firm

coevolves with its upward or downward players in the semiconductor industry value

chain, and vice versa (Brown & Linden, 2005; Chang & Yu, 2001). Similar research

directions may target other industries as well. We believe it would be exciting to expand

our understanding about industry dynamics in terms of the coevolutionary theory

perspective.

For entering emerging markets, foreign capital mainly uses indirect approaches,

such as joint ventures, licensing, or contracting (Huang, 2003; Zweig, 2002). These

indirect methods may raise arguments about whether and how the changing of the

structure of ownership between SOEs and foreign firms impacts catching up of their joint

venture in the emerging market. In our case, HHNEC was initially treated as one of the

overseas subsidiaries of NEC; people may wonder whether the intention to change into a

foundry business was a subsidiary initiative from HHNEC itself or followed the

instruction of NEC, Japan, and how that initiative worked (Birkinshaw, 2000). While this

dissertation uses essentially the coevolutionary perspective to examine catching up of the

111

domestic latecomer firm in an emerging market, our research treated that latecomer firm

from the standpoint of the domestic firm in the emerging market and analyzed it as an

individual agent, without taking the dynamic of ownership into consideration. However,

we believe the discussion about the evolving interaction between the foreign firm and the

SOE in the transition economy is worth further examination.

Our cross case analysis also showed different structures of ownership and

managerial intentionality of individual firms may further dominate the progress of their

catching up. Future research could focus on how these changes really work and how they

related to the performance of firms, or to the economic development of the transition

economies.

The idea of the coevolutionary perspective is pervasively used in various research

topics, and we expect that the next big question will not be a debate between adaptation

and selection or whether organization or environment determined one firm’s destiny, but

rather how organizations to coevolve with different actors to maintain their competitive

advantages and survive in such a dynamic environment.

112

REFERENCES

Akamatsu, K. 1962. A historical pattern of economic growths in developing countries.

Development Economies, 1: 1-23.

Aldrich, H. E., & Ruff, M. 2006. Organizations evolving (2nd. Ed.). London: Sage

Publications Ltd.

Alon, I., & McIntyre, J. R. (Eds.). 2005. Business and management education in China:

Transition, pedagogy, training and alliances. Singapore: World Scientific

Publishing Company.

Amburgey, T. L., & Singh, J. V. 2002. Organizational evolution. In J. A. C. Baum (Ed.),

The Blackwell companion to organizations: 327-343. MA: Blackwell Publishing

Ltd.

Amsden, A. H., & Chu, W.-w. 2003. Beyond late development: Taiwan's upgrading

policies. MA: The MIT Press.

Anderson, P., & Tushman, M. L. 1990. Technological discontinuities and dominant

designs: A cyclical model of technical change. Administrative Science Quarterly,

35(633): 604-.633.

Aoki, M. 2001. Toward a comparative institutional analysis. MA: The MIT Press.

Barney, J. B. 1986. Strategic factor markets: Expectation, luck and business strategy.

Management Science, 32: 1231-1241.

Barney, J. B. 1991. Firm resources and sustained competitive advantage. Journal of

Management, 17(1): 99-120.

Barney, J. B. 2001a. Resource-based theories of competitive advantage: A ten-year

retrospective on the resource-based view. Journal of Management, 27: 643-650.

Barney, J. B. 2001b. Gaining and sustaining competitive advantage (2nd. ed.). NJ:

Prentice-Hall.

Barnett, W. P., & Hansen, M. T. 1996. The red queen in organizational evolution.

113

Strategic Management Journal, 17: 139-157.

Barnett, W. P., & Sorenson, O. 2002. The Red Queen in organizational creation and

development. Industrial and Corporate Change, 11(2): 289-325.

Barney, J. B. 1986. Strategic factor markets: Expectation, luck and business strategy.

Management Science, 32: 1231-1241.

Bartlett, C. A., & Ghoshal, S. 1989. Managing across borders: The transnational

solution. Boston: Harvard Business School Press

Baum, J. A. C. 1999. Whole-part coevolutionary competition in organizations. In J. A. C.

Baum & B. McKelvey (Eds.), Variations in organization science: In honor of

Donald T. Campbell: 113-135. CA: Sage Publications, Inc.

Baum, J. A. C. (Ed.). 2002. The Blackwell companion to organizations. Oxford:

Blackwell Publishing Ltd.

Baum, J. A. C., & McKelvey, B. (Eds.). 1999. Variations in Organization Science: In

honor of Donald T. Campbell. Thousand Oaks, CA: Sage Publications.

Baum, J. A. C., & Singh, J. V. (Eds.). 1994a. Evolutionary dynamics of organizations.

New York: Oxford University Press.

Baum, J. A. C., & Singh, J. V. 1994b. Organization-environment coevolution. In J. A. C.

Baum, & J. V. Singh (Eds.), Evolutionary dynamics of organizations: 379-402.

New York: Oxford University Press.

Berger, S., & The MIT Industry Performance Center. 2006. How we compete. New York:

Currency Books.

Birkinshaw, J. 2000. Entrepreneurship in the global firm: enterprise and renewal.

London: Sage Publications Ltd.

Boeker, W., & Wiltbank, R. 2005. New venture evolution and managerial capabilities.

Organization Science, 16(2): 123-133.

Boisot, M., & Child, J. 1999. Organizations as adaptive systems in complex

114

environments: The case of China. Organization Science, 10(3): 237-252.

Brown, C., & Linden, G. 2005. Offshoring in the semiconductor industry: A historical

perspective. Berkeley: Center for Work, Technology and Society, Institute of

Industrial Relations, University of California, Berkeley.

Bruch, H., & Ghoshal, S. 2004. A bias for action. Boston: Harvard Business School

Press.

Burgelman, R. A. 1991. Intraorganizational ecology of strategy making and organization

adaptation: Theory and field research. Organization Science, 2, 239-262.

Burgelman, R. A. 2002. Strategy is destiny. New York: The Free Press.

Burns, T., & Stalker, G. 1961. The management of innovation. London: Tavistock.

Cavusgil, S. T. 1997. Measuring the potential of emerging markets: an indexing approach.

Business Horizons, 40(1): 87-91.

Chandler, A. D., Jr. 1962. Strategy and structure: Chapters in the history of the

American industrial enterprise. Cambridge The MIT Press.

Chandler, A. D., Jr., & Hikino, T. 1990. Scale and Scope: The Dynamics of industrial

capitalism. Cambridge, MA: The Belknap Press of Harvard University Press.

Chang, C.-Y., & Yu, P.-L. (Eds.). 2001. Made By Taiwan: Booming in information

technology era. N. J.: World Scientific Publishing.

Chao, H. &. Sussman, L. 2003. Semiconductor investment heats up in China: A legal and

tax guide. Beijing: O’Melveny & Myers LLP.

Charlesworth, B., & Charlesworth, D. 2003. Evolution: A very short introduction. New

York: Oxford University Press.

Chen, J., Steven, W., & Knoop, C.-I. 2006. The case for management education in China.

Diamond Harvard Business Review, May: 132-145. (In Japanese)

Chen, D. C. (ed.) (2003) Directory of IC Design Industry across the Strait. Taipei: Look

Publication Inc. (In Chinese)

115

Chen, D. C., & Toyama, R. 2006. Catch up of semiconductor latecomers in China.

International Journal of Emerging Markets, 1(3), 247-261.

Child, J. 1972. Organizational structure, environment and performance: The role of

strategic choice. Sociology, 6(1): 2-22.

Child, J. 1997. Strategic choice in the analysis of action, structure, organizations and

environment: Retrospect and prospect. Organization Studies, 18(1): 43-76.

Child, J., & Tse, D. K. 2001. China's transition and its implications for international

business. Journal of International Business Studies, 32(1): 5-21.

Cho, D.-S., Kim, D.-J., & Rhee, D. K. 1998. Latecomer strategies: Evidence from the

semiconductor industry in Japan and Korea. Organization Science, 9(4): 489-505.

Cho, H.-D., & Lee, J.-K. 2003. The development path of networking capability of

catch-up players in Korea's semiconductor industry. R&D Management, 33(4):

411-423.

Choung, J.-Y., Hwang, H.-R., Choi, J.-H., & Rim, J.-H. 2000. Transition of latecomer

firms from technology users to technology generators: Korean semiconductor

firms. World Development, 28(5): 969-982.

Christensen, C. M. 1997. The Innovator's Dilemma. Boston, MA: Harvard Business

School Press.

Cohen, W. M., & Levinthal, D. A. 1990. Absorptive capacity: A new perspective on

learning and innovation. Administrative Science Quarterly, 35: 128-152.

Cyert, R. M., & March, J. G. 1963. A behavioral theory of the firm. NJ: Prentice-Hall,

Inc.

Darwin, C. 1895. On the origin of species. London: John Murray.

D'Aveni, R. A., & Gunther, R. E. 1994. Hypercompetition: Managing the dynamics of

strategic maneuvering. New York: The Free Press.

Dewey Ballantine LLP. 2003. China's emerging semiconductor industry: the impact of

116

China's preferential value-added tax on current investment tax. CA:

Semiconductor Industry Association.

Dierickx, I., & Cool, K. 1989. Asset stock accumulation and sustainability of competitive

advantage. Management Science, 35(12): 1504-1511.

Dijksterhuis, M., Van Den Bosch, F. A. J., & Volberda, H. W. 1999. Where do new

organizational forms come from? Management logics as a source of coevolution.

Organization Science, 10(5): 569-582.

DiMaggio, P. J. & Powell, W. W. 1983. The iron cage revisited: Institutional

isomorphism and collectively rationality in the organizational field. American

Sociology Review, 48: 147-160.

Durand, R. 2006. Organizational evolution and strategic management. London: Sage

Publications Ltd.

Dutrénit, G. 2000. Learning and knowledge management in the firm: From knowledge

accumulation to strategic capabilities. Cheltenham: Edward Elgar Publishing

Limited.

Dutrénit, G. 2004. Building technological capabilities in latecomer firms: A review essay.

Science, Technology & Society, 9(2): 209-241.

Easterby-Smith, M., Thorpe, R., & Lowe, A. 2002. Management research: An

introduction (2nd. ed.). CA: Sage Publications.

Eisenhardt, K. M., & Galunic, D. C. 2000. Coevolving at last, a way to make synergies

work. Harvard Business Review, January-February: 91-101.

Figueiredo, P. N. 1999. Technological capability-accumulation paths and the

underlying learning processes in the latecomer context: A comparative analysis

of two large steel companies in Brazil. Unpublished D.Phil. Dissertation,

University of Sussex, Brighton, U.K.

117

Figueiredo, P. N. 2002. Learning processes features and technological

capability-accumulation: Explaining inter-firm differences. Technovation, 22:

685-698.

Figueiredo, P. N. 2003. Learning, capability accumulation and firm differences: Evidence

from latecomer steel. Industrial and Corporate Change, 12(3): 607-643.

Flier, B., Van Den Bosch, F. A. J., & Volberda, H. W. 2003. Co-evolution in strategic

renewal behaviour of British, Dutch, and French financial incumbents: interaction

of environmental selection, institutional effects and managerial intentionality.

Journal of Management Studies, 40(8): 2163-2187.

Fuller, D. B., Akinwande, A. I., & Sodini, C. G. 2003. Leading, following or cooked

goose? Innovation success and failures in Taiwan's electronics industry. Industry

and Innovation, 10(2): 179-196.

Geels, F. W. 2005. Technological transitions and system innovations: A

co-evolutionary and socio-technical analysis. Cheltenham, UK: Edward Elgar.

George, A. L., & Bennett, A. 2005. Case studies and theory development in the social

science. Cambridge, MA: The MIT Press.

Gerschenkron, A. 1962. Economic backwardness in historical perspective. Cambridge,

MA: The Belknap Press of Harvard University Press.

Giddens, A. 1984. The constitution of society: Outline of the theory of structuration.

Cambridge: Polity Press.

Greve, H. R. 2002. Interorganizational ecology. In J. A. C. Baum (Ed.), The Blackwell

companion to organizations: 557-578. Oxford: Blackwell Publishers Ltd.

Gupta, A. K., Smith, K. G., & Shalley, C. E. 2006. The interplay between exploration and

exploitation. Academy of Management Journal, 49(4): 693-706.

Hamel, G. 2002. Leading the revolution. Boston: Harvard Business School Press.

118

Hamel, G., & Prahalad, C. K. 1994. Competing for the future. Boston: Harvard Business

School.

Hannan, M. T., & Freeman, J. 1989. Organizational Ecology. Cambridge: Harvard

University Press.

Hartley, J. 2004. Case study research. In C. Cassell, & G. Symon (Eds.), Essential guide

to qualitative methods in organizational research: 323-333. London: Sage

Publications Ltd.

Hitt, M. A., Li, H., & Worthington, W. J., IV. 2005. Emerging markets as learning

laboratories: Learning behaviors of local firms and foreign entrants in different

institutional contexts. Management and Organization Review, 1(3): 353-380.

Hobday, M. 1995. Innovation in East Asia: the challenge to Japan. Cheltenham:

Edward Elgar.

Hobday, M. 2003. Innovation in Asian industrialization: A Gerschenkronian perspective.

Oxford Development Studies, 31(3): 293-314.

Hobday, M., Rush, H., & Bessant, J. 2004. Approaching the innovation frontier in Korea:

The transition phase to leadership. Research Policy, 33: 1433-1457.

Hodgson, G. M., & Knudsen, T. 2004. The firm as an integrator: Firms as vehicles for

habits and routines. Journal of Evolutionary Economics, 14: 281-307.

Holz, C. A. 2004. China's statistical system in transition: challenges, data problems, and

institutional innovations. Review of Income and Wealth, 50(3): 381-409.

Hoskisson, R. E., Eden, L., Lau, C. M., & Wright, M. 2000. Strategy in emerging

economies. Academy of Management Journal, 43(3): 249-267.

Huang, Y. 2003. Selling China: Foreign direct investment during the reform era.

Cambridge: Cambridge University Press.

119

Huygens, M., Baden-Fuller, C., Van Den Bosch, F. A. J., & Volberda, H. W. 2001.

Co-evolution of firm capabilities and industry competition: investigating the

Music Industry, 1877-1997. Organization Studies, 22(6): 971-1011.

IC Insights, Inc. 2004. Top ten 2004 pure-play foundry ranking released.

http://www.icinsights.com/news/releases/press20040810.html

IC Insights, Inc. 2005. China to become worldwide IC Market leader in 2005.

http://www.icinsights.com/news/releases/press20050106.html

IC Insights. Inc, 2006a. China became the world's largest IC market in 2005.

http://www.icinsights.com/news/releases/press20060109.html

IC Insights, Inc. 2006b. IC foundry market forecast to grow 32% in 2006.

http://www.icinsights.com/news/releases/press20060123.html

IC Insights, Inc. 2006c. Top 10 pure-play foundries forecast for 2006.

http://www.icinsights.com/news/releases/press20060803.html

Ito, M. 2005. The establishment of product strategic management. Tokyo: Yuhikaku

Publishing Co. Ltd. (In Japanese)

Jacobides, M., & Winter, S. G. 2005. Co-evolution of capability and transaction costs:

Explaining the institutional structure of production. Strategic Management

Journal, 26(5): 395-413.

Jackson, S. 1992. Chinese enterprise management: Reforms in economic perspective.

Berlin: Walter de Gruyter.

Jansen, J. J. P., Van Den Bosch, F. A. J., & Volberda, H. W. 2005. Managing potential

and realized absorptive capacity: How do organizational antecedents matter?

Academy of Management Journal, 48(6): 999-1015.

Jenkins, M., & Floyd, S. 2001. Trajectories in the evolution of technology: A multi-level

study of competition in Formula 1 racing. Organization Studies, 22(6): 945-969.

120

Jeong, S. 2001. Coevolution between technologies and markets: its application to the

comparison of Japanese and Korean technological structure. Paper presented at

the Japan Association for Evolutionary Economics Fifth Annual Conference,

Fukuoka.

Johnson, E. J., & Russo, J. E. 1997. Coevolution: Toward a third frame for analyzing

competitive decision making. In G. S. Day, D. J. Reibstein, & R. E. Gunther

(Eds.), Wharton on dynamic competitive strategy: 177-197. New York: John

Wiley & Sons,

Jones, C. 2001. Co-evolution of entrepreneurial careers, intuitional rules and competitive

dynamics in American film, 1895-1920. Organization Studies, 22(6): 911-944.

Kauffman, S. A. 1993. The origins of order. New York: Oxford University Press.

Kauffman, S. A. 1995. At home in the universe. New York: Oxford University Press.

Keller, W. W., & Samuels, R. J. (Eds.). 2002. Crisis and Innovation in Asian

Technology. Cambridge: Cambridge University Press.

Keller, W. W., & Pauly, L. W. 2002. Crisis and adaptation in Taiwan and South Korea:

The political economy of semiconductors. In P. J. Willamson, & R. J. Samuels

(Eds.), Crisis and Innovation in Asian Technology: 137-159. Cambridge:

Cambridge University Press.

Khanna, T., & Palepu, K. G. 2006. Emerging giants: Building world-class companies in

developing countries. Harvard Business Review, 84(10): 60-69.

Kim, L. 1995. Absorptive capacity and industrial growth: A conceptual model In B.-H.

Koo, & D. H. Perkins (Eds.), Social Capability and Long-Term Economic

Growth: 266-287. New York: St. Martin's Press.

Kim, L. 1997. Imitation to innovation: The dynamics of Korea's technology learning.

Boston: Harvard Business School Press.

121

Kim, L. 1998. Crisis construction and organizational learning: Capability building in

catching-up at Hyundai Motor. Organization Science, 9(4): 506-521.

Kim, L. 2000. Absorptive capacity, co-option, and knowledge creation: Samsung's

leapfrogging in semiconductors. In I. Nonaka & T. Nishiguchi (Eds.), Knowledge

emergence: Social, technical, and evolutionary Dimensions of knowledge

creation: 270-285. New York: Oxford University Press.

Kim, L., & Nelson, R. R. (Eds.). 2000. Technology, learning, & innovation:

Experiences of newly industrializing economies. Cambridge: Cambridge

University Press.

Klaus, M. 2003. Red chips: Implications of the semiconductor industry's relocation to

China. Asian Affair: An American Review, 29(4): 237-253.

Kogut, B., & Zander, U. 1992. Knowledge of the firm, combinative capabilities, and the

replication of technology. Organization Science, 3: 383-397.

Kojima, K. 2002. The flying-geese model of development in the world economy: New

order and introduction of the world economy in the 21st Century. World

Economic Review, 46(3): 26-39.

Koza, M. P., & Lewin, A. Y. 1998. The co-evolution of strategic alliances. Organization

Science, 9(3): 255-264.

Kwong, K.-S., Chau, L.-C., Lui, F. T., & Qiu, L. D. 2001. Industrial development in

Singapore, Taiwan and South Korea. Singapore: World Scientific Publishing Co.

Pte. Ltd.

Lall, S. 2000. Technological change and industrialization in the Asian newly

industrializing economies: Achievements and challenges. In L. Kim & R. R.

Nelson (Eds.), Technology, Learning, & Innovation: Experiences of newly

industrializing economies. Cambridge: Cambridge University Press.

122

Lampel, J., & Shamsie, J. 2003. Capabilities in motion: new organizational forms and the

reshaping of the Hollywood movie industry. Journal of Management Studies,

40(8): 2189-2210.

Lane, P. J., Koka, B. R., & Pathak, S. 2006. The reification of absorptive capacity: A

critical review and rejuvenation of the construct. Academy of Management

Review, 31(4): 833-863.

Langley, A. 1999. Strategies for theorizing from process data. Academy of Management

Review, 24(4): 691-710.

Lanzonik, W. 2004. Indigenous innovation and economic development: Lessons from

China's leap into the Information Age. Industry and Innovation, 11(4): 273-297.

Lawrence, P. R., & Lorsch, J. W. 1967. Organization and environment: Managing

differentiation and integration. Boston: Harvard Business School Press.

Lewin, A. Y., & Koza, M. P. 2002. Empirical research in co-evolutionary process of

strategic adaptation and change: The promises and the challenge. Organization

Studies, 22(6): v-xii.

Lewin, A. Y., Long, C. P., & Carroll, T. N. 1999. The coevolution of new organizational

forms. Organization Science, 10(5): 535-550.

Lewin, A. Y. & Volberda, H. W. 1999. Prolegomena on coevolution: A framework for

research on strategy and new organizational forms. Organization Science, 10(5):

519-534.

Lewin, A. Y., & Volberda, H. W. 2003. The future of organization studies: Beyond the

selection-adaptation debate. In H. Tsoukas, & C. Knudsen (Eds.), Oxford

Handbook of Organization Theory: 568-595. New York: Oxford University

Press.

Li, S. 1999. The evolution of China's industrial policy, Journal of Mitsubishi Research

Institute, Vol. 39. Tokyo: Mitsubishi Research Institute. (In Japanese)

123

Lieberman, M. B., & Asaba, S. 2006. Why do firms imitate each other? Academy of

Management Review, 31(2): 366-385.

Lieberman, M. B., & Montgomery, D. B. 1988. First-mover advantages. Strategic

Management Journal, 9(Summer Special Issue): 41-58.

Lieberman, M. B., & Montgomery, D. B. 1998. First-mover (dis) advantages:

Retrospective and link with the resource-based view. Strategic Management

Journal, 19: 1111-1125.

Lin, Y., Cai, F., & Li, Z. 1995. The China's miracle: Development strategy and

economic reform (Revised ed.). Hong Kong: The Chinese University Press. (In

Chinese)

Lo, D. 2001. China's transformational growth: A structural-institutional analysis.

Beijing: Economic Science Press. (In Chinese)

Lukas, B., Tan, J., & Hult, G. T. M. 2001. Strategic fit in transition economies: The case

of China's electronics industry. Journal of Management, 27: 409-429.

Madhok, A., & Liu, C. 2006. A coevolutionary theory of the multinational firm. Journal

of International Management, 12: 1-21.

Madhok, A., & Phene, A. 2001. The co-evolutional advantage: strategic management

theory and the eclectic paradigm. International Journal of the Economics of

Business, 8(2): 243-356.

Mahmood, I. P., & Singh, J. 2003. Technological dynamism in Asia. Research Policy, 32:

1031-1054

Mahoney, J., & Rueschemeyer, D. (Eds.). 2003a. Comparative historical analysis in the

social sciences. Oxford: Oxford University Press.

Mahoney, J., & Rueschemeyer, D. 2003b. Comparative historical analysis: Achievement

and agenda. In J. Mahoney, & D. Rueschemeyer (Eds.), Comparative historical

analysis in the social sciences: 3-38. Cambridge: Cambridge University Press.

124

Mahoney, J. T., & Pandian, R. 1993. The resource-based view with the conversation of

strategic management. Strategic Management Journal, 13(363-380).

Makadok, R. 1998. Can first-mover and early-mover advantages be sustained in an

industry with low barriers to entry/imitation? Strategic Management Journal, 19:

683-696.

March, J. G. 1991. Exploration and exploitation in organizational learning. Organization

Science, 2(1): 71-87.

Marukawa, T. (Ed.). 2000. China's industrial policy in transition. Chiba: The Institute

of Developing Economics. (In Japanese)

Mathews, J. A. 2002a. Dragon Multinational. New York: Oxford University Press.

Mathews, J. A. 2002b. A resource-based view of Schumpeterian dynamics. Journal of

Evolutionary Economics, 12: 29-54.

Mathews, J. A. 2002c. Competitive advantages of latecomer firm: a resource-based

account of industrial catch-up strategies. Asia Pacific Journal of Management,

19: 467-488.

Mathews, J. A. 2003a. Competitive dynamics and economic learning: an extended

resource-based view. Industrial and Corporate Change, 12(1): 115-145.

Mathews, J. A. 2003b. Strategizing by firms in the presence of market for resources.

Industrial and Corporate Change, 12(6): 1157-1193.

Mathews, J. A. 2006a. Dragon multinationals: New players in 21st century globalization.

Asia Pacific Journal of Management, 23: 5-27.

Mathews, J. A. 2006b. Strategizing, disequilibrium, and profit. CA: Stanford University

Press.

Mathews, J. A., & Cho, D.-S. 1999. Combinative capabilities and organizational learning

by latecomer firms: The case of the Korea semiconductor industry. Journal of

World Business, 34(2): 139-156.

125

Mathews, J. A., & Cho, D.-S. 2000. Tiger technology: The creation of semiconductor

industry in East Asia. Cambridge, UK: Cambridge University Press.

Mayr, E. 2001. What evolution is. New York: Basic Books.

McKelvey, B. 1994. Evolution and organization science. In J. A. C. Baum, & J. V. Singh

(Eds.), Evolutionary Dynamics of Organizations: 314-326. New York: Oxford

University Press.

McKelvey, B. 1997. Quasi-natural organization science. Organization Science, 8(4):

352-380.

McKelvey, B. 1999. Avoiding complexity catastrophe in coevolutionary pockets:

Strategies for rugged landscape. Organization Science, 10(3): 294-321.

McKelvey, B. 2002. Managing coevolutionary dynamics. Paper presented at the 18th

EGOS Conference, Barcelona, Spain.

McKinley, W., & Mone, M. A. 2003. Micro and macro perspectives in organization

theory: A tale of incommensurability. In H. Tsoukas, & C. Knudsen (Eds.), The

Oxford handbook of organization theory: 345-372. New York: Oxford

University Press.

Menard, S. 1991. Longitudinal research. CA: Sage Publications, Inc.

Miles, R. E., & Snow, C. C. 2003. Organizational strategy, structure, and process.

Stanford, CA: Stanford University Press.

Miner, A. S. 1994. Seeking adaptive advantage: evolutionary theory and managerial

action. In J. A. C. Baum, & J. V. Singh (Eds.), Evolutionary Dynamics of

Organizations: 76-89. New York: Oxford University Press.

Mintzberg, H., Ahlstrand, B., & Lampel, J. 1998. Strategy Safari: A Guided Tour

through the Wilds of Strategy Management. New York: Free Press.

Mohr, L. B. 1982. Explaining organizational behavior. San Francisco: Jossey-Bass.

126

Monge, P. R. 1990. Theoretical and analytical issues in studying organization processes.

Organization Science, 1(4): 406-430.

Murmann, J. P. 2003. Knowledge and competitive advantage: The Coevolution of firms,

technology, and national Institutions. Cambridge: Cambridge University Press.

Naughton, B., & Segal, A. 2002. China in search of a workable market model:

technology development in the new Millennium. In W. W. Keller, & R. J.

Samuels (Eds.), Crisis and Innovation in Asian Technology: 160-186.

Cambridge: Cambridge University Press.

Nelson, R. R. 1994a. Economic growth via the coevolution of technology and institutions.

In L. Leyderdorff, & P. Van den Besselaar (Eds.), Evolutionary economics and

chaos theory: New Directions in technology studies: 21-32. New York: St.

Martin's Press.

Nelson, R. R. 1994b. The co-evolution of technology, industrial structure, and supporting

institutions. Industrial and Corporate Change, 3(1): 47-63.

Nelson, R. R., & Winter, S. G. 1982. An evolutionary theory of economic change. MA:

The Belknap of Harvard University Press.

Nishiguchi, T. 2001. Coevolution of interorganizational relationships. In I. Nonaka, & T.

Nishiguchi (Eds.), Knowledge Emergence: Social, Technical, and Evolutionary

Dimensions of Knowledge Creation: 197-222. New York: Oxford University

Press.

Nonaka, I., & Takeuchi, H. 1995. The knowledge-creating company. New York: Oxford

University Press.

North, D. C. 1990. Institutions, institutional change and economic performance.

Cambridge: Cambridge University Press.

North, D. C. 2005. Understanding the process of economic change. Princeton: Princeton

University Press.

127

Ozawa, T. 2003. Pax Americana-lead macro-clustering and flying-geese-style catch-up in

East Asia: Mechanisms of regionalized endogenous growth. Journal of Asian

Economics, 13: 699-713.

Park, S. H., Li, S., & Tse, D. K. 2006. Market liberalization and firm performance during

China's economic transition. Journal of International Business Studies, 37:

127-147.

Pecht, M., Liu, W., & Hodges, D. A. 2000. Major Chinese fabs. Semiconductor

International. September 1.

http://www.reed-electronics.com/semiconductor/index.asp?layout=article&article

Id=CA170655#sha

Peng, M. W. 2003. Institutional transitions and strategic choices. Academy of

Management Review, 28(2): 275-298.

Peng, M. W., & Heath, P. S. 1996. The growth of the firm in planned economies in

transition: Institution, organization, and strategic choice. Academy of

Management Review, 21(2): 492-528.

Peng, M. W., Lee, S.-H., & Wang, D. Y. L. 2005. What determines the scope of the firm

over time? A focus on institutional relatedness. Academy of Management Review,

30(3): 622-633.

Peng, M. W., & Luo, Y. 2000. Managerial ties and firm performance in a transition

economy: The nature of a micro-macro link. Academy of Management Journal,

43(3): 488-501.

Penrose, E. 1995. The theory of growth of the firm. (3rd ed.). Oxford: Oxford University

Press.

Peteraf, M. A. 1993. The cornerstones of competitive advantage: A resource-based view.

Strategic Management Journal, 14: 179-188.

128

Philippidou, S., Söderquist, K. E., & Prastacos, G. P. 2002. Exploring the

organization-environment link: Change as coevolution, EURAM 2002.

Stockholm, Sweden.

Pierce, B. D., & White, R. E. 1999. The evolution of social structure: why biology

matters. Academy of Management Review, 24(4): 843-853.

Prahalad, C. K., & Bettis, R. A. 1986. The dominant logic: A new linkage between

diversity and performance. Strategic Management Journal, 7: 485-501.

Prahalad, C. K., & Hamel, G. 1990. The core competence of the corporation. Harvard

Business Review, May-June: 79-90

Prahalad, C. K., & Ramaswamy, V. 2004. The future of competition: Co-creating

unique value with Customers. Boston: Harvard Business School Press.

PricewaterhouseCoopers. 2004. China's Impact on Semiconductor Industry. TC-02-04

PricewaterhouseCoopers. 2005. China's Impact on the Semiconductor Industry- 2005

update. TC-02-10.

Pugh, D. S. (Ed.). 1997. Organization theory: Selected readings (4th ed.). London:

Penguin Books.

Remenyi, D. S. J., Williams, B., Money, A., & Swartz, E. 1998. Doing research in

business and management: An introduction to process and method London:

Sage Publications Ltd.

Rodrigues, S., & Child, J. 2003. Co-evolution in an institutionalized environment.

Journal of Management Studies, 40(8): 2137-2162.

Rogers, M. 2004. Knowledge, technological catch-up and economic growth.

Cheltenham: Edward Elgar.

Rosenkopf, L., & Tushman, M. L. 1994. The coevolution of technology and organization.

In J. A. C. Baum, & J. V. Singh (Eds.), Evolutionary dynamics of organizations:

403-424. New York: Oxford University Press.

129

Rothschild, M. 1990. Bionomics: The inevitability of capitalism. New York: Henry Holt

and Company, Inc.

Roughgarden, J. 1996. Theory of population genetics and evolutionary ecology: An

introduction. NJ: Prentice-Hall, Inc.

Rumelt, R. P. 1984. Toward a strategy theory of the firm. In R. B. Lamb (Ed.),

Competitive strategic management: 556-570. Englewood Cliffs, NJ:

Prentice-Hall.

Saldaña, J. 2003. Longitudinal qualitative research: Analyzing change through time.

CA: Altamira Press.

Salvato, C. 2003. The roles of micro-strategies in the engineering of the firm evolution.

Journal of Management Studies, 40(1): 83-108.

Santos, F. M. 2003. The coevolution of firms and their knowledge environment: Insights

from the pharmaceutical industry. Technology Forecasting & Social Change, 70:

687-715.

Schoonhoven, C. B., & Eisenhardt, K. M. 1996. Effects of founding conditions on the

creation of manufacturing alliances in semiconductor ventures. In H. Thomas, &

D. O'Neal (Eds.), Strategic Integration: 365-400. Chichester: John Wiley & Sons

Ltd.

Schumpeter, J. 1934. The theory of economic development. MA: Harvard University

Press

Scott, W. R. 1998. Organizations: Rational, natural, and open systems (4th ed.). New

Jersey: Prentice-Hall, Inc.

SIA (Semiconductor Industry Association). 2004. Comments of the Semiconductor

Industry Association WTO dispute settlement proceeding regarding China?

Value-added tax on Integrated Circuits. CA: Semiconductor Industry Association.

130

Shapira, Z. 1994. Evolution, externalities, and managerial action. In J. A. C. Baum, & J.

V. Singh (Eds.), Evolutionary Dynamics of Organizations: 117-124. New York:

Oxford University Press.

Shi, P. G. 1999. Late development advantages: Theory and examination on imitative

innovation. Beijing: Tsinghua University Press. (In Chinese)

Shoemaker, P. J., Tankard, J. W., Jr., & Lasorsa, D. L. 2004. How to build social science

theories. CA: Sage Publications, Inc.

Shy, Q. Q., & Shang, Y. (Eds.). 2000. China industry's technological innovation

capabilities. Beijing: China Light Industry Press. (In Chinese)

Singh, J. V. (Ed.). 1990. Organizational evolution: New directions. CA: Sage

Publications, Inc.

Stinchcombe, A. L. 2005. The logic of social research. Chicago: The University of

Chicago

Suarez, F., & Lanzolla, G. 2005. The half truth of first-mover advantage. Harvard

Business Review, April: 121-127.

Suhomlinova, O. 2006. Toward a model of organizational co-evolution in transition

economies. Journal of Management Studies, 43(7): 1537-1558.

Tan, J., & Tan, D. 2005. Environment-strategy co-evolution and co-alignment: a staged

model of Chinese SOEs under transition. Strategic Management Journal, 26:

141-157.

Tanimitsu, T. 2002. Comparative Studies on Semiconductor Industry in Japan, United

States, Korea, and Taiwan. Tokyo: Hakuto Sobo. (In Japanese)

Teece, D. J. 2000. Firm capabilities and economic development: implications for newly

industrializing economies. In L. Kim, & R. R. Nelson (Eds.), Technology,

learning and innovation: 105-128. Cambridge: Cambridge University Press.

131

Teece, D. J., & Chesbrough, H. W. 2005. The globalization of R&D in the China

semiconductor industry: Institute of Management of Innovation and Organization,

University of California, Berkeley.

Tsui, A. S., Schoonhoven, C. B., Meyer, M. W., Lau, C.-M., & Milkovich, G. T. 2004.

Organization and management in the midst of societal transformation: The

People’s Republic of China. Organization Science, 15(2): 133-144.

Turner, J. H. 1995. Macrodynamics: Toward a theory on the organization of human

population. NJ: Rutgers University Press.

Tushman, M. L., & Romanelli, E. 1985. Organizational evolution: A metamorphosis

model of convergence and reorientation. In L. L. Cummings, & B. M. Staw (Eds.),

Research in organization behavior, Vol. 7: 171-222. CT: JAI Press.

Tushman, M. L., & Rosenkopf, L. 1992. Organizational determinants of technological

change: Toward a sociology of technological evolution. In L. L. Cummings, & B.

M. Staw (Eds.), Research in organization behavior, Vol. 14: 311-347. CT: JAI

Press.

Utterback, J. M. 1994. Mastering the dynamics of innovation: How companies can seize

opportunities in the face of technological change. MA: Harvard Business School

Press.

Van de Ven, A. H. 2004. The context-specific nature of competence and corporate

development. Asia Pacific Journal of Management, 21: 123-147.

Van den Bosch, F. A. J., Volberda, H. W., & de Boer, M. 1999. Coevolution of firm

absorptive capacity and knowledge environment: Organizational forms and

combinative capabilities. Organization Science, 10(5): 551-568.

Van den Ende, J., Wijinberg, N., Vogels, R., & Kerstens, M. 2003. Organizing innovative

projects to interact with market dynamics: a coevolutionary approach. European

Management Journal, 21(3): 273-284.

132

Van den Ven, A. H., & Garud, R. 1994. The coevolution of technical and institutional

events in the development of an innovation. In J. A. C. Baum, & J. V. Singh

(Eds.), Evolutionary dynamics of organizations: 425-443. New York: Oxford

University Press.

Van den Van, A. H., & Huber, G. P. 1990. Longitudinal research methods for studying

process of organizational change Organization Science, 1(3): 213-219.

Vernon, R. 1966. International investment and international trade in the product cycle.

Quarterly Journal of Economics, 80(2): 190-207.

Volberda, H. W. 1998. Building the flexible firm. Oxford: Oxford University Press.

Volberda, H. W., Baden-Fuller, C., & van den Bosch, F. A. J. 2001. Mastering strategic

renewal: mobilising renewal journeys in multi-unit firms. Long Rang Planning,

34: 159-178.

Volberda, H. W., & Lewin, A. Y. 2003. Co-evolutionary dynamics within and between

firms: From evolution to co-evolution. Journal of Management Studies, 40(8):

2111-2136.

von Tunzelmann, N. 2003. Historical coevolution of governance and technology in the

industrial revolutions. Structural Change and Economic Dynamics, 14: 365-384.

Wang, S. 2003. Made in China. Tokyo: Toyo Keizai Inc. (In Japanese)

Westphal, L. E., Kim, L., & Dahlman, C. J. 1985. Reflections on the Republic of Korea's

acquisition of technological capability. In N. Rosenberg, & C. Frischtak (Eds.),

International Technology Transfer: Concepts, measures, and comparisons.:

167-221. New York: Praeger.

Wilson, D., & Purushothaman, R. 2003. Dreaming with BRIC: the path to 2050. NY:

Goldman Sachs.

133

Winder, N., McIntosh, B. S., & Jeffery, P. 2005. The origin, diagnostic attributes and

practical application of co-evolutionary theory. Ecological Economics, 54:

347-361.

Wright, M., Filatotchev, I., Hoskisson, R. E., & Peng, M. W. 2005. Guest editors'

introduction: Strategy research in emerging economies: challenging the

conventional wisdom. Journal of Management Studies, 42(1): 1-33.

Wu, F., & Loy, C. B. 2004. Rapid rise of China's semiconductor industry: What are the

implications for Singapore? Thunderbird International Business Review, 46(2):

109-131.

Wu, J. 2006. He reminded that not "all" industries in the emerging market have

institutional supports favor their father development. Comment at AIB 2006

Chinese Doctoral Consortium, Beijing, China. Beijing.

Yasumuro, K. 2004. Competitiveness of China firms. Tokyo: Nikkei Publishing Co. (In

Japanese)

Yin, R. K. 2002. Case study research: Design and methods (3rd ed.). Thousand Oaks,

CA: Sage Publications, Inc.

Yu, Q. Y. 1999. The Implementation of China's science and technology policy.

Westport, CT: Quorum Books.

Yu, Z. Y. 2005. The development and future of China’s semiconductor industry.

Presented at Seminar of The Stanford Project on Regions of Innovation and

Entrepreneurship (SPRIE), November 15, Stanford, CA, USA.

Yuan, Z. 2000. Semiconductor industry: Industrial development under government

initiatives. In T. Marukawa (Ed.), China's Industrial Policy in Transition:

407-437. Chiba: The Institute of Developing Economies. (In Japanese)

Yuan, Z. 2001. Japanese and American production systems in China. Tokyo:

University of Tokyo Press. (In Japanese)

134

Yusuf, S., Altaf, M. A., & Nabeshima, K. (Eds.). 2004. Global Production Networking

and Technological Change in East Asia. Washington, D. C.: The World Bank

and Oxford University Press.

Zahra, S. A., & George, G. 2002. Absorptive capacity: A review, reconceptualization,

and extension. Academy of Management Review, 27(2): 185-203.

Zhang, J. 2004. Catch-up and competitiveness in China -The case of large firms in the

oil industry. London: RoutledgeCurzon.

Zhu, Y. W. 2006. Collections on China integrated circuit industry development. Beijing

New Times Press. (In Chinese)

Zimmer, C. 2001. Evolution: The triumph of an idea. New York: HarperCollins

Publishers, Inc.

Zweig, D. 2002. Internationalizing China: Domestic interests and global linkages.

Ithaca: Cornell University Press.

135

APPENDIX

I. Milestones of CSMC, 1997-2006

Time Event

2006

The first 8” wafer fab is going to be established through the strategic partnership with technology partners, target at

0.35-0.18µm process technologies.

2005

Cooperated with IMECAS to set up a new 6” wafer fab with a capacity of 20,000 pieces per month in Beijing.

Launched 0.35µm process and adopted DMOS technology for mass production.

2004 Listed on the main board of Hong Kong Exchange on August 13.

2003

Completed an US $ 52.2 million private placement.

Restructured to form wholly foreigner owns holding company-CSMC Technologies corporation.

Acquired 0.35µm, 6” production line equipment from Agere.

Established strategic partnership with Chartered Semiconductor Manufacturing Ltd., Singapore.

2002 Average monthly utilization achieved 96%; Fab 1 was ISO14001 and OHSAS 18001 certified.

2001 Transited of the mainstream target market from 5” into 6” wafer and achieved mass production level.

1999 Operating breakeven after 15 months

1998 Leased 5” and 6” wafer production facilities lines from China Huajing Electronics Group Company.

1997 Founded by Dr. Peter Chen, he is also the founders of Mosel-Vitelic Inc., Crosslink Technology Partners, and AboveNet.

Source: Company website (http://www.csmc.com.cn) and company presentations.

136

APPENDIX

II. Milestones of HHNEC, 1997-2005

Time Events

November, 2005 Monthly capacity in 8” wafer successfully achieved 50,000 pieces

October, 2005 0.18µm process technology into mass production

September, 2005 Dr. Wang Ningguo elected as the Chairman of the Board of Directors

August, 2005

0.35µm HV 14V CMOS process released to production; Successfully developed 0.25µm Embedded eFlash

Memory

July, 2005 0.18µm mixed signal process released to production

June, 2005 0.25µm eFlash, 0.18µm Logic & HV processes ready for mass production

May, 2005 Fab 2 started operations

March, 2005 0.35µm OTP process released for mass production

October, 2004 0.25µm RF CMOS process went into production

September, 2004

Establishment of Industrial Engineering Department and renaming the Planning & Purchasing Department into

Purchasing & Logistic Department.

July, 2004

Changed the registration of company; Shanghai Huahong (Group), NEC, NEC (China), Newport Fab LLC,

Shanghai Huahong International, Shanghai Belling,. and Shanghai Zhangjiang Group become the shareholders

June, 2004

Shanghai Belling and Shanghai Zhangjiang Group become the shareholders by exchanging the facilities of

Beiling-Zhangjiang 2nd factory (Fab 2); accredited the development projects for the second generation ID Card

and high-power MOS Process.

April, 2004 Restructuring internal organizational form into 19 departments

October, 2003 Established new strategic partnership with Jazz Semiconductor (U.S.A)

June, 2003 0.33µm eFlash process went into production

October, 2002 Power MOS manufacturing process into production

April-May, 2002 ISO 9001, ISO 14001 certified by BSI

March, 2002 Converted into the pure foundry player

November, 2001 The first full service, customized SPC exchange IC was successfully developed and into volume production

January, 2001

The first co-developed chip with its customer for the Social Security Card successfully went into mass production;

began foundry service to local clients

November, 2000 Monthly wafer capacity achieved 20,000 pieces

September, 2000 0.25µm Logic/Mixed Signal processes into mass production

July, 2000 0.18µm DRAM process began production ramp

January.-June, 2000 0.35µm Logic/Mixed Signal/EEPROM process went into mass production

May, 1999 0.35µm DRAM process began mass production

February, 1999 8” wafer fabrication line started its pilot run

June, 1997 Founding of HHNEC, a joint venture between NEC, Japan and Hua Hong Group, China

Source: Company website (http://www.hhnec.com.cn) and company presentations.

137

APPENDIX

III. Milestones of SMIC, 2000-2005

Time Event

2005

Groundbreaking of Chendu packaging and testing facilities on January.

Fab 4 (12” wafer) into mass production on March.

Equipment move in Fab 7, a joint venture with Toppan, Japan on September.

Chedu AT2 and Fab. 9 enter pilot production on December.

2004

Acquired Fab 7 (original owned by Motorola China) in Tianjin on January.

Awarded ISO/TSI 16949 certification on February.

Dual listed IPO on Hong Kong Stock Exchange and New York Stock Exchange on March

12” wafer production equipment move in for Fab 4 on June and enter into pilot production on July.

2003

Started 0.13µm cooper back-end pilot production on January.

Fab2 and Fab 3B awarded ISO 9001 certification on March.

Awarded OHSAS 10081 certification on September.

2002

Fab 1 moves into mass production on January.

Awarded ISO 9001 certification on August.

Fab 2 and Fab 3B move into mass production on September and awarded ISO 14001 on December.

Established Japan office and constructed for the fab in Beijing.

2001 0.18µm process qualified on December.

2000 Founded by Dr. Richard Ru Gin Chang

Source: Company website (http://www.smics.com.cn) and company presentations.

138

Profile

陳德釗 / Der Chao Chen

[email protected]

Research Interests

Industry evolution, strategic management, technology management, strategies and organizations of

multinational corporations, knowledge creating theory/ knowledge management, organization studies.

Education

2004-2007 PhD in Knowledge Science, Japan Advanced Institute of Science and Technology,

Japan.

1995-1998 MBA, National Central University, Taiwan.

1992-1995 BA in Library and Information Science, Fu Jen Catholic University, Taiwan.

1987-1992 Diploma in Industrial and Engineering Management, St. John’s and St. Mary’s

Institute of Technology (renamed as St. John’s University in 2005), Taiwan.

Working Experiences

2002-2004 Managing Editor of CompoTech (renamed as CompoTech Asia), Look Publication

Inc., Taiwan.

2001-2002 Industry Analyst for Center of Semiconductor Industry, Topology Technology Inc.,

Taiwan.

2000-2001 Project Manager/Knowledge Analyst, IQ China Technology Inc., Taiwan,

1999-2000 Associate Researcher, The World Economics Society, Taiwan.

1997-2001 Patent Interpreter, contract work, Lee & Li Attorney at Law, Taiwan.

Publications affiliated with JAIST

Refereed Journal Article

Chen, D. C. & Toyama, R. (2006). Catch up of semiconductor latecomers in China.

International Journal of Emerging Markets. 1(3), 247-261.

Book Chapter

Chen, D. C. (2009/2010). Catching up of semiconductor foundry players in China: A

coevolutionary perspective. In S. Singh (Ed.), Handbook of Business Practices and

Growth in Emerging Markets, Singapore: World Scientific Publisher. Working in

progress. (Invited by the Editor)

139

Conference Presentations

Yao, H.-I., Chen, D. C., & Khong, K. W. (2007). Effectiveness of customer relationship

management on consumer behavior and customer satisfaction on the internet banking: a

conceptual model. Paper presented at INFORMS Marketing Science Conference, 28-30

June, 2007, Singapore.

Chen, D. C. (2007). Knowledge creating theory: past, present, and beyond. Paper presented at

NTUT Business Management Conference, June 16, 2007, Taipei, Taiwan. (refereed;

CD-ROM)

Chen, D. C., & Toyama, R. (2006). The catch up of latecomer firms in the transition economy:

the coevolutionary perspective. Paper presented at Third Annual JIBS/AIB Paper

Development Workshop, June 23, 2006, Beijing, China. (In English; sponsored by the

JAIST Foundation Research Grant for Students).

Chen, D. C. (2006). Catch up of latecomer firms in the emerging market: The coevolutionary

theory approach. Paper presented at AIB 2006 Chinese Doctoral Consortium, June 22,

2006, Beijing, China. (In Chinese)

Chen, D. C., & Toyama, R. (2005). Coevolution of the Firm in the Transition Economy. Paper

presented at Asia-Pacific Researchers in Organization Studies 11th International

Colloquium, December 4-7, 2005, Victoria University, Melbourne, Australia. (Also appeared

at Proceeding of Asia-Pacific Researchers in Organization Studies 11th International

Colloquium, pp. 158-166) (In English; sponsored by the JAIST Foundation Research Grant

for Students)

Chen, D. C., & Toyama, R. (2005). Catch up of latecomer firms in the emerging economy. Paper

presented at The 2005 International Conference in Management Sciences and Decision

Making, June 18, 2005, Tamkang University, Taipei, Taiwan. (In English; CD-ROM)

Chen, D. C., Kameoka, A. & Toyama, R. (2005). How Taiwan fabless firms use technology

roadmap in product development: A preliminary study. Paper presented at PICMET 2005,

July 31- August 4, 2005, Portland, U.S.A. (CD-ROM)

Chen, D. C. (2004). Understanding the entrepreneur’s knowledge complex: A knowledge

management approach. Paper appeared at Proceeding of CIMOC Inaugural

Symposium, 2004, pp.433-447.