Bluespec @waseda

download Bluespec @waseda

If you can't read please download the document

Transcript of Bluespec @waseda

Bluespec

2010.08.31

Bluespec

RTL

Verilog

/

Bluespec??

Bluespec

C/C++/SystemC

Verilog HDL/

Bluespec

Bluespec

Bluespec

interface BubSort_IFC;method Action start(Vector#(5, int) a);method Vector#(5, int) result();endinterface

(* execution_order ="disp, fin" *)(* preempts ="(swap_3, swap_2, swap_1, swap), fin" *)(* synthesize *)module mkBubSort (BubSort_IFC);Vector#(5, Reg#(int)) x