วงจรนับ - Rajamangala University of ... · (2) กำรนับม็อด 6...

30
350 วงจรนับ บทที่ 17 วงจรนับ วงจรนับเป็นวงจรลอจิกเชิงลำดับวงจรหนึ ่ง ที่มีควำมสำคัญในระบบดิจิตอลทำงำน โดยหลักกำรนับจำนวนพัลส์สี่เหลี่ยมที่ป้อนเข้ำทำงอินพุต และแสดงผลออกเป็นเลขฐำนสอง หรือเลขฐำน สิบ อุปกรณ์สำคัญสำรับวงจรนับคือ ฟลิปฟลอป และอำจมีเกตบ้ำงสำหรับวงจร นับชนิดพิเศษเมื่อแบ่งวงจรนับออกตำมโครงสร้ำงของกำรต่อสัญณำณอินพุตพัลส์ แบ่งได้ 2 ชนิดคือ วงจรนับแบบซิงโครนัสและวงจรนับแบบอะซิงโครนัส วงจรนับทั ้งสองชนิดสำมำรถ ออกแบบและสร้ำงได้โดยใช้ฟลิปฟลอปดังที่กล่ำวมำแล้วข้ำงต้น นอกจำกนี ้ยังมีผู ้ผลิต ออกแบบวงจรนับดังกล่ำวบรรจุไว้ในวงจรรวมชนิดทีทีแอลและซีมอสหลำยแบบ เช่น วงจร นับเลขฐำนสอง ขนำด 4 บิต วงจรนับ 10 วงจรนับเลขฐำนสองชนิดนับขึ ้นลง และอื่นๆ เป็ น ต้น ซึ ่งวงจรดังกล่ำวเป็นวงจรนับที่ใช้กันอย่ำงแพร่หลำย จึงควรศึกษำฟังก์ชันกำรทำงำนให้ เข้ำใจ เพื่อจะนำไปใช้งำนได้อย่ำงมีประสิทธิภำพ รวมทั ้งกำรออกแบบวงจรนับแบบซิงโครนัส และแบบอะซิงโครนัสด้วย เพื่อจะสำมำรถออกแบบวงจรนับรูปแบบต่ำงๆ ได้อย่ำงถูกต้องและ สำมำรถประยุกต์ ใช้งำนได้เป็นอย่ำงดี 17.1 การออกแบบวงจรนับแบบอะซิงโครนัส 17.1.1 วงจรนับขึ ้น คือวงจรนับที่ฟลิปฟลอปแต่ละตัวทำงำนเรียงลำดับกัน กล่ำวคือ ถ้ำวงจรนับนั ้น ประกอบด้วยฟลิปฟลอป 4 ตัว ฟลิปฟลอปตัวแรกคือ FF1 ตัวถัดไปคือ FF2 FF3 และ FF4 ตำมลำดับดังแสดงในรูปที่ 17.1 (ก) ฟลิปฟลอปตัวแรกคือ FF1 จะได้รับสัญญำณนำฬิกำจำก วงจรภำยนอก ฟลิปฟลอป FF1 นี ้เป็นชนิดทำงำนด้วยขอบหลังของพัลส์ ดังนั ้นจึงทำงำนทุกๆ ขอบหลังของพัลส์นำฬิกำตำมลำดับพัลส์ที่ 1 พัลส์ที่ 2 และพัลส์ที่ 3 ในทำนองเดียวกัน ฟลิป ฟลอป FF2 จะได้รับสัญญำณนำฬิกำที่ออกจำก Q ของ FF1 ดังนั ้นฟลิปฟลอป FF2 จึงทำงำน ทุกครั ้งที่พัลส์นำฬิกำที่ออกจำก Q ของ FF1 ปรำกฏขอบหลังของพัลส์ และฟลิปฟลอป FF3 จะ รับสัญญำณพัลส์จำก Q ของ FF2 จึงทำงำนทุกครั ้งทีQ ของ FF2 ปรำกฏขอบหลังของพัลส์ และฟลิปฟลอป FF4 จะรับสัญญำณพัลส์จำก Q ของ FF3 และทำงำนที่ขอบหลังของพัลส์ Q จำก FF3 เช่นกัน ฟลิปฟลอปทุกตัวเป็นชนิด เจ-เค ทำงำนในโมดท็อกเกิล ดังนั ้นต ้องควบคุม ให้เจและเคของฟลิปฟลอปทุกตัวเป็น “1” เมื่อ สังเกตรูปคลื่นของสัญญำณนำฬิกำ และรูปคลื่น พัลส์เอำต์พุต Q ของ FF1 FF2 FF3 และ FF4 ตำมลำดับ จะปรำกฏดังรูปที่ 17.1 (ก)

Transcript of วงจรนับ - Rajamangala University of ... · (2) กำรนับม็อด 6...

Page 1: วงจรนับ - Rajamangala University of ... · (2) กำรนับม็อด 6 จำก 0 ถึง 5 ต้องใช้วงจรนับอะซิงโครนัส

350 วงจรนบั

บทที่ 17 วงจรนับ

วงจรนับเป็นวงจรลอจิกเชิงล ำดบัวงจรหน่ึง ที่มีควำมส ำคญัในระบบดิจิตอลท ำงำน

โดยหลกักำรนบัจ ำนวนพลัส์ส่ีเหล่ียมที่ป้อนเขำ้ทำงอินพตุ และแสดงผลออกเป็นเลขฐำนสองหรือเลขฐำน สิบ อุปกรณ์ส ำคญัส ำรับวงจรนบัคือ ฟลิปฟลอป และอำจมีเกตบำ้งส ำหรับวงจรนับชนิดพิเศษเม่ือแบ่งวงจรนับออกตำมโครงสร้ำงของกำรต่อสัญณำณอินพุตพลัส์ แบ่งได ้2 ชนิดคือ วงจรนบัแบบซิงโครนสัและวงจรนบัแบบอะซิงโครนสั วงจรนบัทั้งสองชนิดสำมำรถออกแบบและสร้ำงได้โดยใช้ฟลิปฟลอปดังที่กล่ำวมำแล้วขำ้งตน้ นอกจำกน้ียงัมีผูผ้ลิตออกแบบวงจรนบัดงักล่ำวบรรจุไวใ้นวงจรรวมชนิดทีทแีอลและซีมอสหลำยแบบ เช่น วงจรนบัเลขฐำนสอง ขนำด 4 บิต วงจรนบั 10 วงจรนบัเลขฐำนสองชนิดนับขึ้นลง และอ่ืนๆ เป็นตน้ ซ่ึงวงจรดงักล่ำวเป็นวงจรนบัที่ใชก้นัอยำ่งแพร่หลำย จึงควรศกึษำฟังก์ชนักำรท ำงำนให้เขำ้ใจ เพือ่จะน ำไปใชง้ำนไดอ้ยำ่งมีประสิทธิภำพ รวมทั้งกำรออกแบบวงจรนบัแบบซิงโครนัสและแบบอะซิงโครนสัดว้ย เพือ่จะสำมำรถออกแบบวงจรนบัรูปแบบต่ำงๆ ไดอ้ยำ่งถูกตอ้งและสำมำรถประยกุต ์ใชง้ำนไดเ้ป็นอยำ่งดี 17.1 การออกแบบวงจรนับแบบอะซิงโครนัส 17.1.1 วงจรนบัขึ้น คือวงจรนับที่ฟลิปฟลอปแต่ละตวัท ำงำนเรียงล ำดบักนั กล่ำวคือ ถำ้วงจรนับนั้นประกอบด้วยฟลิปฟลอป 4 ตวั ฟลิปฟลอปตวัแรกคือ FF1 ตวัถัดไปคือ FF2 FF3 และ FF4 ตำมล ำดบัดงัแสดงในรูปที่ 17.1 (ก) ฟลิปฟลอปตวัแรกคือ FF1 จะไดรั้บสัญญำณนำฬิกำจำกวงจรภำยนอก ฟลิปฟลอป FF1 น้ีเป็นชนิดท ำงำนดว้ยขอบหลงัของพลัส์ ดงันั้นจงึท ำงำนทุกๆ ขอบหลงัของพลัส์นำฬิกำตำมล ำดบัพลัส์ที่ 1 พลัส์ที่ 2 และพลัส์ที่ 3 ในท ำนองเดียวกนั ฟลิปฟลอป FF2 จะไดรั้บสัญญำณนำฬิกำที่ออกจำก Q ของ FF1 ดงันั้นฟลิปฟลอป FF2 จึงท ำงำนทุกคร้ังที่พลัส์นำฬิกำที่ออกจำก Q ของ FF1 ปรำกฏขอบหลงัของพลัส์ และฟลิปฟลอป FF3 จะรับสัญญำณพลัส์จำก Q ของ FF2 จึงท ำงำนทุกคร้ังที่ Q ของ FF2 ปรำกฏขอบหลงัของพลัส์ และฟลิปฟลอป FF4 จะรับสญัญำณพลัส์จำก Q ของ FF3 และท ำงำนที่ขอบหลงัของพลัส์ Q จำก FF3 เช่นกนั ฟลิปฟลอปทุกตวัเป็นชนิด เจ-เค ท ำงำนในโมดท็อกเกิล ดงันั้นตอ้งควบคุม ใหเ้จและเคของฟลิปฟลอปทุกตวัเป็น “1” เม่ือ สงัเกตรูปคล่ืนของสญัญำณนำฬิกำ และรูปคล่ืนพลัส์เอำตพ์ตุ Q ของ FF1 FF2 FF3 และ FF4 ตำมล ำดบั จะปรำกฏดงัรูปที่ 17.1 (ก)

Page 2: วงจรนับ - Rajamangala University of ... · (2) กำรนับม็อด 6 จำก 0 ถึง 5 ต้องใช้วงจรนับอะซิงโครนัส

วงจรนบั 351

รูปที่ 17.1 วงจรนบัอะซิงโครนสัขนำด 4 บิต (นบัขึ้น) และรูปคล่ืนพลัส์ของสญัญำณนำฬิกำ

และเอำตพ์ตุของฟลิปฟลอปแต่ละตวั วงจรนบัอะซิงโครนสัที่ต่อ Q ของฟลิปฟลอปตวัหนำ้ใหก้บัอินพตุ CLK ของฟลิปฟลอปตวัถดัไปตำมล ำดบั ดงัแสดงในรูปที่ 17.1 (ก) เรียกวำ่วงจรนบัขึ้น เม่ือพจิำรณำค ำถำมที่วำ่วงจรนบัขึ้นนบัไดอ้ยำ่งไร ใหพ้จิำรณำที่เอำตพ์ตุฐำนสองที่ Q ของฟลิปฟลอปแต่ละตวั ดงัตวัอยำ่งในรูปที่ 17.1 (ข) เม่ือพจิำรณำเอำตพ์ตุฐำนสองที่ Q ของ FF1 FF2 FF3 และ FF4 โดยใหฟ้ลิปฟลอปตวัที่รับสญัญำณพลัส์นำฬิกำเป็นบติที่มีนยัส ำคญัต ่ำสุด ในรูปที่ 17.1 (ก) คือ FF1 = A, FF2 = B, FF3 = C และ FF4 = D ล ำดบักำรนบัจ ำนวนพลัส์ที่อินพตุของ FF1 จะแสดงที ่Q ทั้ง DCBA รวมเป็นเอำตพ์ตุฐำนสอง ขนำด 4 บิด เม่ือมีฟลิปฟลอปในวงจรนับ 4 ตวั จะนบัเลขฐำนสองไดเ้ท่ำกบั 16 (0 ถึง 15)หรือเท่ำกบั 2n เม่ือ n คือจ ำนวนฟลิปฟลอปในวงจร เม่ือล ำดบัผลกำรนบัเลขฐำนสองของวงจรในรูปที ่17.1 (ก) จะไดด้งัตำรำงแสดงผลกำรนบัในรูปที่ 17.2

Page 3: วงจรนับ - Rajamangala University of ... · (2) กำรนับม็อด 6 จำก 0 ถึง 5 ต้องใช้วงจรนับอะซิงโครนัส

352 วงจรนบั

รูปที่ 17.2 ตำรำงแสดงผลกำรนบัขอวงจรนบัอะซิงโครนสันบัขึ้นขนำด 4 บิต ในท ำนองเดียวกนั เม่ือต่อฟลิปฟลอปที่ท ำงำนในสถำนะทอ็กเกิลจ ำนวน 3 ตวั (3 บิต) ใหน้บัขึ้นดงัแสดงในรูปที่ 17.3 (ก) ผลกำรนบัของวงจรที่แสดงเป็นเลขฐำนสองที่เอำตพ์ตุทั้ง 3 บิต (CBA) นั้นจะแสดงในตำรำงรูปที่ 17.3 (ข)

Page 4: วงจรนับ - Rajamangala University of ... · (2) กำรนับม็อด 6 จำก 0 ถึง 5 ต้องใช้วงจรนับอะซิงโครนัส

วงจรนบั 353

รูปที่ 17.3 แสดงวงจรนบัอะซิงโครนสันบัขึ้น 3 บิต และตำรำงแสดงผลกำรนบัของวงจร

รูปที่ 17.3 (ต่อ) จะเห็นวำ่วงจรนบัอะซิงโครนสั 3 บติ นบัได ้8 คร้ัง (0 - 7) ตำมล ำดบั เท่ำกบั 2n = 23 = 8 ดงัแสดงผลในตำรำงรูปที่ 17.3 (ข) และเม่ือนบัพลัส์อินพตุพลัส์ที ่9 เอำตพ์ตุทั้งสำมจะวนกลบัไปเลข 0 อีกคร้ัง และนบัเลข 1 2 3 เพิม่ขึ้นตำมล ำดบัของจ ำนวนพลัส์อ่ืนๆ ต่อไป

17.1.2 วงจรนบัลง วงจรนบัอะซิงโครนสัสำมำรถนบัจ ำนวนพลัส์ทำงอินพตุในลกัษณะนบัลง หมำยถึง วงจรนบัที่แสดงผลทำงเอำตพ์ตุฐำนสอง จำกเลขล ำดบัสูงสุดมำยงัเลขต ่ำสุด เช่น วงจรนบัลง 3 บิต จะนบัเลข ฐำนสองจำกเลข 7 6 5 4 3 2 10 เป็นตน้ กำรท ำใหว้งจรนบัอะซิงโครนสันบัลงท ำไดโ้ดยน ำสญัญำณ เอำตพ์ตุ Q จำกฟลิปฟลอปตวัแรกป้อนเขำ้อินพุต CLK ของฟลิปฟลอปล ำดบัถดัไป ดงัแสดงในรูปที่ 17.4 (ก) เป็นวงจรนบัอะซิงโครนสันบัลงขนำด 3 บิต จะเห็นวำ่ฟลิปฟลอปทั้ง 3 ตวัยงัคงท ำงำนในสถำนะทอ็กเกิล และตำรำงแสดงผลกำรนบัของวงจรนบัลงขนำด 3 บิต แสดงในรูปที่ 17.4 (ข) และรูปคล่ืนพลัส์ที่เอำตพ์ตุของฟลิปฟลอบแต่ละตวัเทยีบกบัสญัญำณนำฬิกำ แสดงในรูปที่ 17.4 (ค)

Page 5: วงจรนับ - Rajamangala University of ... · (2) กำรนับม็อด 6 จำก 0 ถึง 5 ต้องใช้วงจรนับอะซิงโครนัส

354 วงจรนบั

รูปที่ 17.4 แสดงวงจรอะซิงโครนสันบัลงขนำด 3 บิต และตำรำงแสดงผลกำรนบัและ ตำรำงและรูปคล่ืนเอำตพ์ตุของฟลิปฟลอปแต่ละตวั

รูปที่ 17.4 (ต่อ)

เม่ือต่อฟลิปฟลอปให้เป็นวงจรนับอะซิงโครนัสขนำด 4 บิตนับลง ตอ้งใชฟ้ลิปฟลอปจ ำนวนn = 4 ตวั คือ 2n = 24= 16 จะสำมำรถนบัเลขฐำนสองได ้16 คร้ัง เร่ิมตน้จำกหมำยเลข 15 และลดลงคร้ังละ 1 ตำมจ ำนวนพลัส์ตำมล ำดับดังน้ี 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 และจะนบัวนกลบั มำตวัเลขเร่ิมตน้ทุกรอบกำรนับเม่ือพลัส์อินพุตยงัปรำกฏเขำ้ที่อินพุตของฟ

Page 6: วงจรนับ - Rajamangala University of ... · (2) กำรนับม็อด 6 จำก 0 ถึง 5 ต้องใช้วงจรนับอะซิงโครนัส

วงจรนบั 355

ลิปฟลอปตวัแรกในวงจรลกัษณะวงจรนับอะซิงโครนัส 4 บิตนับลง แสดงในรูป ที่ 17.5 (ก) และตำรำงแสดงผลกำรนบัในรูปที่ 17.5 (ข)

Page 7: วงจรนับ - Rajamangala University of ... · (2) กำรนับม็อด 6 จำก 0 ถึง 5 ต้องใช้วงจรนับอะซิงโครนัส

356 วงจรนบั

รูปที่ 17.5 แสดงวงจรนบัอะซิงโครนสันบัลง 4 บิต และตำรำงแสดงผลกำรนบัของวงจร 17.1.3 กำรออกแบบวงจรนบัแบบอะซิงโครนสั

วงจรนับอะชิงโครนัสมีช่ือเรียกอีกช่ือหน่ึงว่ำ วงจรนับแบบริปเปิล (Ripple Counter) ดงันั้นอำจพบวงจรนบัดงักล่ำวไดใ้นช่ือทั้งสองที่ไดก้ล่ำวมำแลว้ กำรออกแบบวงจรนบัอะชิงโครนัสเพื่อสร้ำงวงจรลอจิกเกตที่จะควบคุมผลกำรนับ หรือจ ำนวนคร้ังของกำรนับตำมตวัเลขที่ก ำหนด ซ่ึงตอ้งเขำ้ใจเก่ียวกบัตวัเลขม็อดหรือตวัเลขมอดูลสั (Modulus Number)

ตวัเลขม็อด หมำยถึง จ ำนวนคร้ังของกำรนับ หรือจ ำนวนสถำนะของกำรนับ เช่น วงจรนับอะซิงโครนัสที่นบัเลขขนำด 4 บิต อำจเรียกอีกช่ือหน่ึงว่ำวงจรนบัม็อด 16 (Mod -16 Counter) เป็นตน้ โดยทัว่ไปค่ำของตวัเลขมอดจะเท่ำกบั 2n เม่ือ n = จ ำนวนตวัของฟลิปฟลอปในวงจรนบั เช่น วงจรนบัม็อด 8 (Mod - 8 Counter) คือวงจรนบัที่มีฟลิปฟลอป n = 3 ตวั เป็นตน้ (23 = 8) ส ำหรับวงจรนับที่มีตวัเลขม็อดน้อยกว่ำ 2n สำมำรถสร้ำงไดเ้ช่นกนั โดยใชว้งจรเกตมำควบคุมกำรนบัดงัตวั อยำ่งต่อไปน้ี ตัวอย่างที่ 17.1 จงออกแบบเพือ่สร้ำงวงจรนบัม็อด 6 (Mod – 6 - Counter) ใหน้บัเลขขึ้นตำม ล ำดบั 0 1 2 3 4 และ 5 วธีิท ำ (1) จ ำนวนฟลิปฟลอป = 3 ตวั เพรำะ 23 = 8 จะสร้ำงวงจรนบัม็อด 6 ตอ้งใช ้ ฟลิปฟลอป 3 ตวั

(2) กำรนบัม็อด 6 จำก 0 ถึง 5 ตอ้งใชว้งจรนบัอะซิงโครนสั 3 บติ แต่ใชว้งจรเกตควบคุมผลกำรนบั ใหแ้สดงเฉพำะเลข 0 ถึง 5 เท่ำนั้น โดยวงจรเกตดงักล่ำวจะส่ง เอำตพ์ตุ “L” มำควบคุมขำเคลียร์ของฟลิปฟลอปทุกตวั เม่ือผลกำรนบัเกินกวำ่ 5 ดงัรูปที่ 17.6

Page 8: วงจรนับ - Rajamangala University of ... · (2) กำรนับม็อด 6 จำก 0 ถึง 5 ต้องใช้วงจรนับอะซิงโครนัส

วงจรนบั 357

รูปที่ 17.6 แสดงวงจรนบัม็อด 6 (3) เขียนตำรำงควำมจริงเพือ่หำวงจรเกตที่ใหเ้อำตพ์ตุ Y ตำมเงื่อนไขที่ผูอ้อกแบบ

ตอ้งกำร ดงัน้ี

ตำรำงควำมจริงวงจรม็อด 6

(4) จำกตำรำงควำมจริงลดรูปสมกำรของวงจรเกต Y ไดด้งัน้ี

(5) จำกสมกำร CBY วงจรเกต คือ

Page 9: วงจรนับ - Rajamangala University of ... · (2) กำรนับม็อด 6 จำก 0 ถึง 5 ต้องใช้วงจรนับอะซิงโครนัส

358 วงจรนบั

ในท ำนองเดียวกนัสำมำรถออกแบบวงจรนบัตวัเลขม็อดใดๆ ไดโ้ดยใชว้งจรเกตมำควบคุมตวัฟลิปฟลอป แต่ตอ้งพจิำรณำเงื่อนไขของกำรควบคุมใหถู้กตอ้ง เน่ืองจำกขำควบคุมที่ฟลิปฟลอป ชนิด J K นั้นมี 2 ขำคือ ขำเคลียร์และขำพรีเซต 17.2 การออกแบบวงจรนับแบบซิงโครนัส

วงจรนบัแบบซิงโครนสั คือวงจรนบัที่ต่อขำสญัณำณนำฬิกำควบคุมฟลิปฟลอปทุกตวัในวงจรให้ท ำงำนพร้อมกนั เเต่กำรควบคุมให้วงจรนับแสลงผลกำรนบัเลขใดๆ นั้นขึ้นอยูก่บักำรออกแบบวงจรควบคุมอินพุต เจ และ เค ของฟลิปฟลอปเเต่ละตวั ดงันั้น วงจรนับแบบ ซิงโครนัสจึงสำมำรถออกแบบให้นับขึ้นหรือนับลงไดต้ำมที่ผูอ้อกแบบตอ้งกำร จ ำนวนคร้ังของกำรนบัส ำหรับวงจรนบัแบบซิงโครนัสเหมือนกนักบัวงจรนับแบบอะซิงโครนัส กล่ำวคือ เท่ำกับ 2n เม่ือ n คือจ ำนวนฟลิปฟลอปของจ ำนวนนับ ตวัอย่ำงเช่น วงจรนับซิงโครนัสที่มี ฟลิปฟลอป 3 ตวั สำมำรถออกแบบวงจรให้นับ เลขไดร้ะหว่ำง 0 – 7 โดยก ำหนดให้วงจรนบัขึ้นหรือนบัลงก็ได ้ลกัษณะของวงจรนบัซิงโครนสัขนำด 3 บติ และวงจรควบคุมอินพตุ J และ K แสดงดงัรูปที่ 17.7

รูปที่ 17.7 แสดงวงจรนบัซิงโครนสัขนำด 3 บิต และวงจรเกตควบคุมอินพตุ J และ K กำรออกแบบวงจรควบคุมอินพตุ J และ K ของฟลิปฟลอปแต่ละตวัตอ้งใชต้ำรำง Excitation ประกอบกำรออกแบบวงจรเกตดงักล่ำว ลกัษณะของตำรำง Excitation แสดงใน รูปที่ 17.8

Page 10: วงจรนับ - Rajamangala University of ... · (2) กำรนับม็อด 6 จำก 0 ถึง 5 ต้องใช้วงจรนับอะซิงโครนัส

วงจรนบั 359

รูปที่ 17.8 แสดงตำรำง Excitation

ส ำหรับล ำดบัขั้นและวธีิกำรออก แบบวงจรนบัซิงโครนสัใหศึ้กษำโดยละเอียดจำกตวัอยำ่งที ่17.2 และ 17.3 ต่อไปน้ี ตัวอย่างที่ 17.2 จงออกแบบวงจรนบัแบบซิงโครนสั ใหน้บัเลขไดต้ำมล ำดบัดงัน้ี

วธีิท ำ (1) เขียน State Transition Diagram ของล ำดบัขั้นกำรนบัเลขตำมโจทยด์งัต่อไปน้ี

(2) ออกแบบวงจรควบคุม JA และ KA โดยล ำดบัผลกำรนบัตำมโจทยก์ ำหนด และหำสภำวะของ J และ K จำกตำรำง Excitation ตำมล ำดบัดงัน้ี

Page 11: วงจรนับ - Rajamangala University of ... · (2) กำรนับม็อด 6 จำก 0 ถึง 5 ต้องใช้วงจรนับอะซิงโครนัส

360 วงจรนบั

หำสมกำร JA และ KA โดยน ำค่ำของ JA และ KA มำลดรูปใน KM ไดด้งัน้ี

(3) ออกแบบวงจรควบคุม JB และ KB ตำมล ำดบัขั้นกำรนบัที่โจทยก์ ำหนด และหำ สภำวะของ J และ K จำกตำรำง Excitation ตำมล ำดบั และหำสมกำร JB และ KB โดยน ำคำ่ของ JBและ KB มำลดรูปใน KM ไดด้งัน้ี

(4) ออกแบบวงจรควบคม JC และ KC ตำมล ำดบัขั้นในขอ้ (2) และน ำค่ำของ JC และ KC ที่ไดม้ำลดรูปสมกำรลงใน KM ไดด้งัน้ี

(5) น ำผลของวงจรควบคุมอินพดุ J และ K ทั้งหมดมำเขียนภำพของวงจรนบั 0 – 7 แบบซิงโครนสัไดด้งัรูปที่ 17.9

Page 12: วงจรนับ - Rajamangala University of ... · (2) กำรนับม็อด 6 จำก 0 ถึง 5 ต้องใช้วงจรนับอะซิงโครนัส

วงจรนบั 361

รูปที่ 17.9 แสดงวงจรนบั 0 – 7 แบบซิงโครนสั ตัวอย่างที่ 17.3 จงออกแบบวงจรนบัซิงโครนสั ใหน้บัเลขไดต้ำม State Transition Diagram ต่อไปน้ี

วธีิท ำ (1) จำก State Transition Diagram จะเห็นวำ่โจทยต์อ้งกำรใหว้งจรซิงโครนสันบัเลข ดงัน้ี

Page 13: วงจรนับ - Rajamangala University of ... · (2) กำรนับม็อด 6 จำก 0 ถึง 5 ต้องใช้วงจรนับอะซิงโครนัส

362 วงจรนบั

เลข 5 6 และ 7 ไม่ตอ้งกำรใหน้บั ดงันั้นสภำวะของเลข 5 6 และ 7 ตอ้งใหแ้สดงเป็นเลขตวั เร่ิมตน้ ในที่น้ีคือ 0 (ศูนย)์ (2) ใชว้ธีิกำรหำวงจร JA KA JB KB และ JC KC เหมือนกบัตวัอยำ่งที่ 17.2 และน ำ สมกำรมำลดรูปโดยใชต้ำรำงแผนที่ จะไดส้มกำรของวงจรควบคุม JA KA JB KB และ JC KC ดงัต่อไปน้ี JA = C KA = 1 JB = CA KB = A + C JC = AB KC = 1 (3) สเก็ตภำพวงจรนบั 0 – 4 แบบซิงโครนสัไดด้งัรูปที่ 17.10

รูปที่ 17.10 แสดงวงจรนบัซิงโครนสั นบั 0 – 4 17.3 การออกแบบวงจรนับที่เป็นวงจรรวม วงจรรวมที่ท ำหนำ้ที่เป็นวงจรนบัมีโครงสร้ำงภำยในหลำยแบบ บำงชนิดเป็นแบบซิงโครนสั และบำงชนิดเป็นแบบอะซิงโครนสั บำงชนิดสำมำรถนบัขึ้นหรือนบัลงไดเ้พยีง อยำ่งเดียว บำงชนิดสำมำรถควบคุมใหน้บัขึ้นและนบัลงได ้อีกทั้งยงัมีที่ใชง้ำนและคุณลกัษณะเฉพำะที่แตกต่ำงกนั เช่น วงจรนบัชนิดทีทีแอลเบอร์ 7493 เป็นวงจรนบัขึ้นขนำด 4 บิต แบบอะซิงโครนสั หรือเบอร์ 74293เป็น วงจรอะซิงโครนสั 4 บิตเช่นกนั แต่มีขำควบคุม มำสเตอร์รีเซต หรือวงจรรวมเบอร์ 74192 เป็น วงจรนบัอะซิงโครนสันบัขึ้นลงไดข้นำด 4 บิต หรือวงจรรวมเบอร์ 7490 เป็นวงจรนบั 10 แบบอะซิงโครนสั และวงจรรวมเบอร์ 7492 เป็นวงจรนบั 12 แบบอะซิงโครนสั ส ำหรับวงจรรวมซีมอสทีนิ่ยมใชมี้หลำยเบอร์ เช่น 74HC393

Page 14: วงจรนับ - Rajamangala University of ... · (2) กำรนับม็อด 6 จำก 0 ถึง 5 ต้องใช้วงจรนับอะซิงโครนัส

วงจรนบั 363

เป็นวงจรนบั 4 บิตแบบอะซิงโครนสั 2 ตวั หรือเบอร์ 74HC193 เป็นวงจรนบัซิงโครนสันบัขึ้นลงขนำด 4 บิตที่พรีเซตได ้เป็นตน้

17.3.1 วงจรรวมเบอร์ 7493 วงจรนบัเลขฐำนสองขนำด 4 บิต (4 - bit Binary Counter) โครงสร้ำงภำยในของวงจรรวม เบอร์ 7493 ประกอบดว้ยฟลิปฟลอปชนิด J และ K จ ำนวน 4 ตวั ต่อสญัญำณนำฬิกำเป็นวงจรนบัแบบอะซิงโครนสั มีอินพตุสญัญำณนำฬิกำ 2 อินพตุ คือ อินพตุA และอินพตุ B เม่ือตอ้งกำรต่อวงจรนบั 3 บิต ใหป้้อนสญัญำณนำฬิกำที ่ อินพตุ B (ฟลิปฟลอป A ไม่ใชง้ำน) แต่ถำ้ตอ้งกำรต่อวงจรนบัอะซิงโครนสั 4 บิต ใหต่้อ QA เขำ้กบัอินพตุ B และป้อนสญัญำณนำฬิกำเขำ้ที่อินพตุ A ดงัรูปที่ 17.11 (ก) วงจรรวมเบอร์ 7493 สำมำรถควบคุมกำรรีเซตไดด้ว้ยแนนดเ์กต ซ่ึงมีอินพตุ 2 ขำคือ R0(1) และ R0(2) ดงัตำรำงควบคุมในรูปที่ 17.11 (ง) ส ำหรับกำรจดัวำงขำของวงจรรวม เบอร์ 7493 แสดงในรูปที่ 17.11(ข) และ ตำรำงควำมจริงแสดงผลกำรนบัแสดงในรูปที่ 17.11 (ค)

Page 15: วงจรนับ - Rajamangala University of ... · (2) กำรนับม็อด 6 จำก 0 ถึง 5 ต้องใช้วงจรนับอะซิงโครนัส

364 วงจรนบั

รูปที่ 17.11 แสดงวงจรรวมเบอร์ 7493 กำรต่อวงจรรวมเบอร์ 7493 ใหน้บัขึ้น (0 - 7)

3 บิต ตอ้งควบคุมขำอินพตุ R0 (1) และ R0 (2) ขำใดขำหน่ึงให้เป็นลอจิก “L” ดงัรูปที่ 17.12 (ก) และกำรต่อวงจรรวมเบอร์ 7493 ใหน้บัขึ้น (0 - 15) 4 บิต แสดงดงัรูปที่ 17.12 (ข)

รูปที่ 16.2 กำรต่อวงจรรวมเบอร์ 7493 เป็นวงจรแบบอะซิงโครนสั 3 บิต และ 4 บิต 17.3.2 วงจรรวมเบอร์ 7492 วงจรนบั 12 (Divide-by-12 Counter) วงจรรวมเบอร์ 7492 เป็นวงจรนบั 12 แบบอะซิงโครนสั ประกอบไปดว้ยฟลิปฟลอป A เป็น วงจรนบั 2 และฟลิปฟลอป B C และ D ออกแบบใหเ้ป็นวงจรนบั 6 เม่ือต่อ QA เขำ้กบัอินพตุ B จะท ำงำนเป็นวงจรนบั 12 โครงสร้ำงของวงจรรวมเบอร์ 7492 แสดงดงัรูปที่ 17.13 (ข) ลกัษณะกำรจดัวำงขำแสดงในรูปที่ 6.13 (ก) อินพตุควบคุมกำรนบัมี 2 อินพตุคือ R0 (1) และ R0 (2) เป็นแนนดเ์กต 2 อินพตุ 1 ตวั ควบคุมกำรนบัดว้ยตำรำงควบคุมในรูปที่ 17.13 (ง) ตำรำงแสดงผลกำรนบั 0-11 (นบั 12) แสดงในรูปที่ 17.13 (ค)

Page 16: วงจรนับ - Rajamangala University of ... · (2) กำรนับม็อด 6 จำก 0 ถึง 5 ต้องใช้วงจรนับอะซิงโครนัส

วงจรนบั 365

รูปที่ 17.13 แสดงวงจรรวมเบอร์ 7492

17.3.3 วงจรรวมเบอร์ 7490 วงจรนบั 10 (Decade Counter) เป็นวงจรนบัแบบอะซิงโครนสัที่ออกแบบใหน้บัเลข (0 - 9) หรือเรียกวำ่วงจรนบั 10 โครง สร้ำงภำยในแสดงดงัรูปที่ 17.14 (ข) ฟลิปฟลอบตวั A ท ำหนำ้ที่นบั 2 และฟลิปฟลอปตวั B, C และ D ออกแบบใหเ้ป็นวงจรนบั 5 เม่ือต่ออินพตุ B เขำ้กบั QA จะท ำใหเ้ป็นวงจรนบัสิบ โดยมีตำรำงควบคุมกำรนบัดงัแสดงในรูปที่ 17.14 (ง) และกำรจดัวำงขำวงจรรวมเบอร์ 7490 แสดงในรูปที่ 17.14 (ก) จำกโครงสร้ำงภำยในมีขำควบคุมกำรนบัและรีเซต 4 ขำ คือ R0 (1) ,R0

(2) , Rg (1) และ Rg (2)

Page 17: วงจรนับ - Rajamangala University of ... · (2) กำรนับม็อด 6 จำก 0 ถึง 5 ต้องใช้วงจรนับอะซิงโครนัส

366 วงจรนบั

เป็นแนนดเ์กต 2 อินพตุ จ ำนวน 2 ตวั ตำรำงควำมจริงแสดงผลกำรนบัแสดงในรูปที่ 17.14 (ค) วงจรนบั 10 ที่ใชว้งจรรวมเบอร์ 7490 นบัวำ่มีประโยชน์มำกเพรำะสำมำรถต่อเป็นวงจรนบั 100 (0 - 99) และวงจรนบั 1000 (0 - 999) หรือวงจรนบัเลขฐำนสิบอ่ืนๆ ได ้

Page 18: วงจรนับ - Rajamangala University of ... · (2) กำรนับม็อด 6 จำก 0 ถึง 5 ต้องใช้วงจรนับอะซิงโครนัส

วงจรนบั 367

รูปที่ 17.14 แสดงวงจรรวมเบอร์ 7490

กำรต่อวงจรรวมเบอร์ 7490 ใหน้บั 10 (0 - 9) แสดงในรูปที่ 17.15 (ก) และกำรต่อ

วงจรรวมเบอร์ 7490 ใหน้บั 100 (0 - 99) แสดงในรูปที่ 17.15 (ข) กำรต่อวงจรรวมเบอร์ 7490 ใหน้บั 100 ตอ้งต่อ QD ของหลกัหน่วยใหเ้ป็นสญัญำณนำฬิกำป้อนเขำ้อินพตุ A ของหลกัสิบดงัรูปที่ 17.15 (ข) ในท ำนองเดียวกนั กำรต่อ 7490 จ ำนวน 3 ตวั เพือ่ใหน้บัได ้0 – 999 ก็ตอ้งต่อ Qn ของ 7490 ตวัที่ 1 (หลกัหน่วย) เขำ้กบัอินพตุ A ของ 7490 ตวัที่ 2 (หลกัสิบ) และตอ้งต่อ QD ของ 7490 ตวัที่ 2 เขำ้กบัอินพตุ A ของ 7490 ตวัที่ 3 (หลกัร้อย)

Page 19: วงจรนับ - Rajamangala University of ... · (2) กำรนับม็อด 6 จำก 0 ถึง 5 ต้องใช้วงจรนับอะซิงโครนัส

368 วงจรนบั

รูปที่ 17.15 แสดงกำรต่อวงจรนบั 10 (0 - 9) และวงจรนบั 100 (0 - 99) โดยใชว้งจรรวมเบอร์

17.3.4 วงจรรวมเบอร์ 74193 วงจรนบัขึ้น/นบัลง ขนำด 4 บิต (4 Bit up/down Counter) วงจรรวมเบอร์ 74193 เป็นวงจรนบัแบบอะซิงโครนสัขนำด 4 บิต สำมำรถควบคุมใหน้บัขึ้น (0 - 15) และนบัลง (15 - 0) ได ้และมีอินพตุขอ้มูลขนำด 4 บิต สำมำรถโหลดขอ้มูลเลขฐำนสองใหว้งจรนบัเร่ิมนบัตำมขอ้มูลดงักล่ำวได ้ โครงสร้ำงของวงจรรวมเบอร์ 74193 แสดงในรูปที่ 17.16 (ก) จะเห็นวำ่มีอินพตุ 6 ขำ คือ เอำตพ์ตุ Carry และเอำตพ์ตุ Borrow และเอำตพ์ตุ QD QC QB และ QA คือ เอำตพ์ตุแสดงผลกำรนบั และมีอินพุต 8 ขำ คือ อินพตุเคลียร์ อินพตุโหลด อินพตุขอ้มูล 4 บิต (A B C D) อินพตุนบัขึ้น และอินพตุนบัลง

หนำ้ที่กำรท ำงำนของแต่ละฟังกช์นั มีรำยละเอียดดงัน้ี 1. อินพตุเคลียร์เป็นอินพตุอะซิงโครนสั ท ำงำนดว้ยลอจิก “H” จะเป็นผลใหเ้อำตพ์ตุ

Q เป็น “0” 2. อินพตุโหลดท ำงำนดว้ยลอจิก “L” เป็นสญัญำณควบคุมกำรโหลดขอ้มูลอินพตุที่

อินพตุขอ้มูล A ถึง D 3. นบัขึ้นเป็นอินพตุที่ป้อนสญัญำณนำฬิกำเพือ่ใหว้งจรท ำกำรนบัขึ้น แต่ตอ้งเลือก

ใหน้บัลงเป็น “H”

Page 20: วงจรนับ - Rajamangala University of ... · (2) กำรนับม็อด 6 จำก 0 ถึง 5 ต้องใช้วงจรนับอะซิงโครนัส

วงจรนบั 369

4. นบัลงเป็นอินพตุที่ป้อนสญัญำณนำฬิกำเพือ่ใหว้งจรท ำกำรนบัลง แต่ตอ้งเลือกให้นบัขึ้นเป็น “H”

5. Carry out จะใหล้อจิก “L” เป็นพลัส์ เม่ือวงจรนบัท ำกำรนบัขึ้นจนถึงเลข 15 (1111) ปกติจะเป็นลอจิก “H”

6. Borrow out จะใหล้อจิก “L” เป็นพลัส์ เม่ือวงจรนบัท ำกำรนบัลงจนถึงเลข 0 (0000) ปกติจะเป็นลอจิก “H”

รูปที่ 17.16

Page 21: วงจรนับ - Rajamangala University of ... · (2) กำรนับม็อด 6 จำก 0 ถึง 5 ต้องใช้วงจรนับอะซิงโครนัส

370 วงจรนบั

รูปที่ 17.16 (ต่อ) วงจรรวมเบอร์ 74193 สำมำรถต่อแบบคลำสเคดได ้ เช่น ต่อร่วมกนั 3 ตวัจะเป็นวงจรนบัขนำด 12 บิต (3 × 4 บิต = 12 บิต) เป็นตน้ กำรต่อวงจรนบั 12 บิต ดว้ย 74193 จ ำนวน 3 ตวั ใหน้บัขึ้น แสดงในรูปที่ 17.17 (ก) และกำรต่อวงจรนบั 12 บิต ดว้ย 74193 จ ำนวน 3 ตวั ใหน้บัลง แสดงในรูปที่ 17.17 (ข)

Page 22: วงจรนับ - Rajamangala University of ... · (2) กำรนับม็อด 6 จำก 0 ถึง 5 ต้องใช้วงจรนับอะซิงโครนัส

วงจรนบั 371

รูปที่ 17.17 กำรต่อวงจรนบั 12 บิต ดว้ยวงจรรวมเบอร์ 74193 แบบนบัขึ้นและนบัลง 17.3.5 วงจรรวมเบอร์ 74192 วงจรนบั 10 นบัขึ้น/นบัลง (Decade up/down Counter) เป็นวงจรรวมท ำหนำ้ที่นบั 10 แบบซิงโครนสั สำมำรถควบคุมกำรนบัขึ้นและลงไดโ้ดยเลือก ป้อนสญัญำณนำฬิกำที่ขำนบัขึ้นหรือนบัลง ฟังกช์นักำรท ำงำนต่ำงๆ เหมือนกบัวงจรรวมเบอร์74193 เพียงแต่เม่ือท ำกำรนบัขึ้น จะนบัไดต้ั้งแต่ 0 – 9 และ Carry out จะใหล้อจิก “L” ออกมำ เม่ือนบัขึ้นครบรอบถึง 9 ในท ำนองเดียวกนั และเม่ือนบัลงจะนบัไดต้ั้งแต่ 9 - 0 เอำตพ์ตุ Borrow out จะใหล้อจิก “L” ออกมำเม่ือนบัลงครบรอบถึงเลข 0 โครงสร้ำงภำยในของวงจรรวมเบอร์ 74192 แสดงในรูปที ่ 17.18 (ก) เช่นเดียวกบัวงจรรวมเบอร์ 74193 สำมำรถต่อแบบคำสเคดได ้เพือ่ใหว้งจรนบั 10สำมำรถนบัเลขขึ้นหรือนบัลงไดห้ลำยๆ หลกั ลกัษณะกำรจดัวำงขำวงจรรวมเบอร์ 74192 แสดงในรูปที ่17.18 (ข) และแผนภูมิเวลำแสดงกำรท ำงำนของ วงจรรวมเบอร์ 74192 แสดงในรูปที่ 17.18 (ค)

Page 23: วงจรนับ - Rajamangala University of ... · (2) กำรนับม็อด 6 จำก 0 ถึง 5 ต้องใช้วงจรนับอะซิงโครนัส

372 วงจรนบั

รูปที่ 17.18 วงจรรวมเบอร์ 74192

Page 24: วงจรนับ - Rajamangala University of ... · (2) กำรนับม็อด 6 จำก 0 ถึง 5 ต้องใช้วงจรนับอะซิงโครนัส

วงจรนบั 373

รูปที่ 17.18 (ต่อ) 17.3.6 กำรออกแบบวงจรนบัที่เป็นวงจรรวม

กำรออกแบบและสร้ำงวงจรนบัจ ำนวน n เม่ือ n = 2K สำมำรถท ำไดโ้ดยใชฟ้ลิป- ฟลอปและออกแบบวงจรเกตมำควบคุมฟลิปฟลอปดงักล่ำว แต่ถำ้ต่อกำรนบั (n) มีค่ำมำกๆ กำรออก แบบวงจรยุง่ยำกมำกขึ้น เน่ืองจำกจ ำนวนตวัของฟลิปฟลอปเท่ำกบัคำ่ n จึงนิยมใช้วงจรนบัที่เป็นวงจรรวมมำใชใ้นกำรออกแบบส ำหรับวงจรนบัที่ตอ้งกำรใหน้บัเรียงล ำดบักนั เน่ืองจำกวงจรรวม เช่น 7490 7492 7493 หรือเบอร์อ่ืนๆ มีอินพตุเคลียร์ที่สำมำรถควบคุม ฟลิปฟลอปในวงจรรวมใหรี้เซตค่ำเป็นค่ำเร่ิมตน้ที่ศูนยไ์ด ้โดยผูอ้อกแบบตอ้งมีควำมเขำ้ใจเงื่อนไขกำรรีเซต ของวงจรรวมดงักล่ำว โดยกำรศึกษำตำรำงควบคุมกำรนบัและกำรรีเซต ดงัตวัอยำ่งต่อไปน้ี

ตัวอย่างที่ 17.4 จงออกแบบวงจรนบั 0 – 86 (Divide-by-87) หรือ ม็อด 87 โดยใชว้งจรรวม เบอร์ 7490 และเกตตำมควำมจ ำเป็น วธีิท ำ (1) ตอ้งใชว้งจรนบั 10 (7490) จ ำนวน 2 ตวั ต่อคำสเคด ดงัรูปที่ 17.19 (ก) โดย กำรควบคุมกำรรีเซต Rg(1) และ Rg(2) ใหมี้ลอจิก X (ตำมตำรำงควบคุมกำรนบัของ 74 90) (2) ออกแบบใหว้งจรนบั 100 ในรูปที่ 17.19 (ก) รีเซตเม่ือเอำตพ์ตุนบัได ้87 (1000 0111) โดยเม่ือนบั 87 จะตอ้งมีวงจรเกตรับผลกำรนบัและจ่ำยลอจิก “H” ใหก้บัขำ ควบคุม R0(1) และ R0(2) เพรำะ 7490 จะรีเซตไดเ้ม่ือ R0(1) และ R0(2) = “H” และ Rg(1) = Rg(2) = “X” ในรูปที่ 17.19 (ข) แนนดเ์กตตวัที่ 1 จะถอดรหสัจำกบิตต ่ำสุดของ 7490

Page 25: วงจรนับ - Rajamangala University of ... · (2) กำรนับม็อด 6 จำก 0 ถึง 5 ต้องใช้วงจรนับอะซิงโครนัส

374 วงจรนบั

หลกัหน่วย เม่ือ QA, QB, QC = “H” ท ำให ้R0(1) เป็น “H” ทั้ง 2 ตวั และ R0(2) จะไดรั้บ “H” มำจำก 7490 หลกัสิบ เพรำะ QD2 = “H” ในสภำวะดงักล่ำว 7490 ทั้ง 2 ตวั จะรีเซต (3) รูปคล่ืนของ QA1 เทียบกบั QD2 แสดงดงัรูปที่ 17.19 (ค) จะเห็นวำ่ผลกำรนบัแสดง เพยีง 0 -86 เท่ำนั้น 87 ไม่แสดงผล เพรำะถูกแอนดเ์กตทั้ง 2 ตวัรีเซตไปแลว้ดงั วงจรรูปที่ 17.19 (ข) คือวงจรนบั 87 (0 - 86)

รูปที่ 17.19 แสดงวงจรนบัที่ออกแบบไดจ้ำกตวัอยำ่งที่ 17.4

Page 26: วงจรนับ - Rajamangala University of ... · (2) กำรนับม็อด 6 จำก 0 ถึง 5 ต้องใช้วงจรนับอะซิงโครนัส

วงจรนบั 375

รูปที่ 17.19 (ต่อ) ตัวอย่างที่ 17.5 จงออกแบบวงจรนบั 148 (0 - 147) นบัขึ้น โดยใชว้งจรรวมเบอร์ 74193 วธีิท ำ (1) ใชห้ลกักำรน ำเกตมำถอดรหสัเม่ือนบัได ้147 และน ำเอำตพ์ตุของเกตนั้นไป เคลียร์วงจรนบั (2) เลข 147 = 1001 0011 เป็นเลขฐำนสอง ขนำด 8 บิต จึงตอ้งใชว้งจรรวม เบอร์ 74193 = 2 ตวั (3) ใชแ้นนดเ์กต 4 อินพตุ เบอร์ 7420 น ำ QA และ QB ของ 74193 ตวั LSB (ตวัรับ สญัญำณนำฬิกำ) และน ำ QA และ QD ของ 74193 ตวั MSB มำถอดรหสัและผำ่นนอต เกต เบอร์ 7404 เพือ่น ำลอจิก “L” ไปเคลียร์วงจรรวมเบอร์ 74193 ดงัรูปที่ 17.20 (4) กำรควบคุม 74193 แต่ละตวัใชส้ญัญำณนำฬิกำป้อนทีอิ่นพตุนบัขึ้นและนบัลงและ โหลดเป็นลอจิก “H”

รูปที่ 17.20 แสดงวงจรนบั 148 (0 - 147) ที่ออกแบบไดจ้ำกตวัอยำ่งที่ 17.5

Page 27: วงจรนับ - Rajamangala University of ... · (2) กำรนับม็อด 6 จำก 0 ถึง 5 ต้องใช้วงจรนับอะซิงโครนัส

376 วงจรนบั

วงจรนับ วงจรหารความถี่ วงจรนบันั้นใชห้ำรควำมถ่ีได ้เพรำะวำ่เอำตพ์ตุพลัส์ของวงจรนบัที่ออกจำกฟลิป- ฟลอปแต่ละตวัจะมีคำบเวลำกวำ้งขึ้นเท่ำกบั 2 เท่ำ เช่น วงจรนับในรูปที่ 17.1 เป็นวงจรนับ 4 บิต ถำ้สญัญำณนำฬิกำ (CLK) มีควำมถ่ี 160 Hz ควำมถ่ีของสญัญำณเอำตพ์ตุฟลิปฟลอป A จะ

เ ท่ ำ กับ HzHzf in 80

2

160

2 แ ล ะ ค ว ำ ม ถ่ี ข อ ง เ อ ำ ต์พุ ตฟ ลิ ปฟลอป B เ ท่ ำ กับ

HzHzf A 402

80

2 ในท ำนองเดียวกนั Hz

ff B

C 202

และ Hzf

f CD 10

2

อำจกล่ำวไดว้่ำ วงจรนับ 16 หรือม็อด 16 (วงจรนับ 4 บิต) จะไดค้วำมถ่ีเอำตพ์ุตที่ฟลิปฟลอป

ตวัสุดทำ้ย เท่ำกับ Hzf in 1016

ในท ำนองเดียวกัน วงจรนับ 32 หรือม็อด 32 เม่ือควำมถ่ี

สัญญำณนำฬิกำอินพุตเท่ำกบั 320 Hz ควำมถ่ีเอำตพ์ุตตวัสุดทำ้ยของวงจรม็อด 32 คือ 10 Hz เช่นกนั ตัวอย่างที่ 17.6 ตอ้งกำรสร้ำงสญัญำณนำฬิกำควำมถ่ี 1 Hz จำกสญัญำณนำฬิกำควำมถ่ี 10 Hz ที่ก ำเนิดจำกวงจรรวม 555 โดยใชว้งจรนบัตำมควำมเหมำะสม วธีิท ำ (1) Hzf in 10 Hzfout 1

1

10

out

in

f

f

= 10 ตอ้งใชว้งจรนบั 10 หรือม็อด 10 (2) ใชว้งจรรวม 7490 (Decade Counter) ดงัรูปที่ 17.21

รูปที่ 17.21

Page 28: วงจรนับ - Rajamangala University of ... · (2) กำรนับม็อด 6 จำก 0 ถึง 5 ต้องใช้วงจรนับอะซิงโครนัส

วงจรนบั 377

ตัวอย่างที่ 17.7 จงใชว้งจรรวมเบอร์ 74LS90 สร้ำงสญัญำณพลัส์ 10 kHz จำกรูปพลัส์ส่ีเหล่ียมที่มี ควำมถ่ี 1 MHz วธีิท ำ (1) MHzf in 1 kHzfout 10

kHz

MHz

f

f

out

in

10

1

= 100 ตอ้งใชว้งจรนบั 100 หรือม็อด 100 (2) ใชว้งจรรวม 74LS90 คือวงจรนบั 10 เม่ือต่อร่วมกนั 2 ตวัจะท ำใหน้บัได ้100 เพรำะวำ่ 10 × 10 = 100 (3) วงจรนบั 100 ดว้ย 74LS90 แสดงในรูปที่ 17.22

รูปที่ 17.22 วงจรนบั 100 = ม็อด 100

Page 29: วงจรนับ - Rajamangala University of ... · (2) กำรนับม็อด 6 จำก 0 ถึง 5 ต้องใช้วงจรนับอะซิงโครนัส

378 วงจรนบั

17.4 การออกแบบวงจรนับด้วย VHDL จำกวงจรนบัที่ไดศ้ึกษำมำแลว้เรำสำมำรถที่น ำมำออกแบบดว้ยภำษำ VHDL ดงัรูปที่ 17.23

----------------------------------------------------

-- VHDL code for n-bit counter

--

-- this is the behavior description of n-bit counter

-- another way can be used is FSM model.

----------------------------------------------------

library ieee ;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

----------------------------------------------------

entity counter is

generic(n: natural :=2);

port( clock: in std_logic;

clear: in std_logic;

count: in std_logic;

Q: out std_logic_vector(n-1 downto 0));

end counter;

----------------------------------------------------

architecture behv of counter is

signal Pre_Q: std_logic_vector(n-1 downto 0);

begin

-- behavior describe the counter

process(clock, count, clear)

begin

if clear = '1' then

Pre_Q <= Pre_Q - Pre_Q;

elsif (clock='1' and clock'event) then

if count = '1' then

Pre_Q <= Pre_Q + 1;

end if;

end if;

end process;

-- concurrent assignment statement

Q <= Pre_Q;

end behv; -----------------------------------------------------

รูปที่ 17.23 ตวัอยำ่งกำรออกแบบวงจรนบัดว้ยภำษำ VHDL

Page 30: วงจรนับ - Rajamangala University of ... · (2) กำรนับม็อด 6 จำก 0 ถึง 5 ต้องใช้วงจรนับอะซิงโครนัส

วงจรนบั 379

แบบฝึกหัดที่ 17 1. จงเขียน Timing Diagram และแผนภำพสเตตของวงจรนบัแบบอะซิงโครนสั ดงัรูป

2. จำกโจทยข์อ้ 1 ถำ้เปล่ียนฟลิปฟลอปไปเป็นแบบ Positive Edged-Triggered โดยกำรต่อ วงจรยงัคงเหมือนเดิม จงเขียน Timing Diagram และแผนภำพสเตตของวงจร 3. จงเขียน Timing Diagram และแผนภำพสเตตของวงจรนบัแบบซิงโครนสั ดงัรูป

4. จำกโจทยข์อ้ 3 ถำ้เปล่ียนฟลิปฟลอปไปเป็นแบบ Positive Edged-Triggered โดยกำรต่อ วงจรยงัคงเหมือนเดิม จงเขียน Timing Diagram และแผนภำพสเตตของวงจร 5. จงออกแบบวงจรนบั MOD 9 (0-9) ดว้ยภำษำ VHDL