A Brief Introduction to MEMS and NEMS

download A Brief Introduction to MEMS and NEMS

of 29

Transcript of A Brief Introduction to MEMS and NEMS

  • 7/27/2019 A Brief Introduction to MEMS and NEMS

    1/29

    A Brief Introduction to MEMS and NEMS

    Wendy C. Crone Prof.

    Get Access

    Abstract

    The expanding and developing fields of micro-electromechanical systems (MEMS) and nano-

    electromechanical (NEMS) are highly interdisciplinary and rely heavily on experimental mechanics for

    materials selection, process validation, design development, and device characterization. These devices

    range from mechanical sensors and actuators, to microanalysis and chemical sensors, to micro-optical

    systems and bioMEMS for microscopic surgery. Their applications span the automotive industry,

    communications, defense systems, national security, health care, information technology, avionics, and

    environmental monitoring. This chapter gives a general introduction to the fabrication processes and

    materials commonly used in MEMS/NEMS, as well as a discussion of the application of experimental

    mechanics techniques to these devices. Mechanics issues that arise in selected example devices are also

    presented. Microelectromechanical systems

    From Wikipedia, the free encyclopedia

    Jump to: navigation, search

    Microelectromechanical systems (MEMS) (also written as micro-electro-mechanical,

    MicroElectroMechanical or microelectronic and microelectromechanical systems) is the technology of

    very small devices; it merges at the nano-scale into nanoelectromechanical systems (NEMS) and

    nanotechnology. MEMS are also referred to as micromachines (in Japan), or micro systems technology

    MST (in Europe).

    MEMS are separate and distinct from the hypothetical vision of molecular nanotechnology or molecular

    electronics. MEMS are made up of components between 1 to 100 micrometres in size (i.e. 0.001 to 0.1

    mm), and MEMS devices generally range in size from 20 micrometres (20 millionths of a metre) to a

    millimetre (i.e. 0.02 to 1.0 mm). They usually consist of a central unit that processes data (themicroprocessor) and several components that interact with the surroundings such as microsensors.[1]

    At these size scales, the standard constructs of classical physics are not always useful. Because of the

    large surface area to volume ratio of MEMS, surface effects such as electrostatics and wetting dominate

    over volume effects such as inertia or thermal mass.

  • 7/27/2019 A Brief Introduction to MEMS and NEMS

    2/29

    The potential of very small machines was appreciated before the technology existed that could make

    themsee, for example, Richard Feynman's famous 1959 lecture There's Plenty of Room at the Bottom.

    MEMS became practical once they could be fabricated using modified semiconductor device fabrication

    technologies, normally used to make electronics. These include molding and plating, wet etching (KOH,

    TMAH) and dry etching (RIE and DRIE), electro discharge machining (EDM), and other technologies

    capable of manufacturing small devices. An early example of a MEMS device is the resonistor an

    electromechanical monolithic resonator.[2][3]

    Contents [hide]

    1 Materials for MEMS manufacturing

    1.1 Silicon

    1.2 Polymers

    1.3 Metals

    1.4 Ceramics

    2 MEMS basic processes

    2.1 Deposition processes

    2.1.1 Physical deposition

    2.1.2 Chemical deposition

    2.2 Patterning

    2.2.1 Lithography

    2.2.1.1 Photolithography

    2.2.1.2 Electron beam lithography

    2.2.1.3 Ion beam lithography

    2.2.1.4 Ion track technology

    2.2.1.5 X-ray lithography

    2.2.2 Diamond patterning

    2.3 Etching processes

    2.3.1 Wet etching

    2.3.1.1 Isotropic etching

  • 7/27/2019 A Brief Introduction to MEMS and NEMS

    3/29

    2.3.1.2 Anisotropic etching

    2.3.1.3 HF etching

    2.3.1.4 Electrochemical etching

    2.3.2 Dry etching

    2.3.2.1 Vapor etching

    2.3.2.1.1 Xenon difluoride etching

    2.3.2.2 Plasma etching

    2.3.2.2.1 Sputtering

    2.3.2.2.2 Reactive ion etching (RIE)

    2.4 Die preparation

    3 MEMS manufacturing technologies

    3.1 Bulk micromachining

    3.2 Surface micromachining

    3.3 High aspect ratio (HAR) silicon micromachining

    4 Applications

    5 Industry structure

    6 See also

    7 References

    8 External links

    Materials for MEMS manufacturing[edit]

    The fabrication of MEMS evolved from the process technology in semiconductor device fabrication, i.e.the basic techniques are deposition of material layers, patterning by photolithography and etching to

    produce the required shapes.[4]

    Silicon[edit]

  • 7/27/2019 A Brief Introduction to MEMS and NEMS

    4/29

    Silicon is the material used to create most integrated circuits used in consumer electronics in the

    modern industry. The economies of scale, ready availability of cheap high-quality materials and ability to

    incorporate electronic functionality make silicon attractive for a wide variety of MEMS applications.

    Silicon also has significant advantages engendered through its material properties. In single crystal form,

    silicon is an almost perfect Hookean material, meaning that when it is flexed there is virtually no

    hysteresis and hence almost no energy dissipation. As well as making for highly repeatable motion, this

    also makes silicon very reliable as it suffers very little fatigue and can have service lifetimes in the range

    of billions to trillions of cycles without breaking.

    Polymers[edit]

    Even though the electronics industry provides an economy of scale for the silicon industry, crystalline

    silicon is still a complex and relatively expensive material to be produced. Polymers on the other hand

    can be produced in huge volumes, with a great variety of material characteristics. MEMS devices can be

    made from polymers by processes such as injection molding, embossing or stereolithography and are

    especially well suited to microfluidic applications such as disposable blood testing cartridges.

    Metals[edit]

    Metals can also be used to create MEMS elements. While metals do not have some of the advantages

    displayed by silicon in terms of mechanical properties, when used within their limitations, metals can

    exhibit very high degrees of reliability. Metals can be deposited by electroplating, evaporation, and

    sputtering processes. Commonly used metals include gold, nickel, aluminium, copper, chromium,

    titanium, tungsten, platinum, and silver.

    Ceramics[edit]

    The nitrides of silicon, aluminium and titanium as well as silicon carbide and other ceramics are

    increasingly applied in MEMS fabrication due to advantageous combinations of material properties. AlN

    crystallizes in the wurtzite structure and thus shows pyroelectric and piezoelectric properties enabling

    sensors, for instance, with sensitivity to normal and shear forces.[5] TiN, on the other hand, exhibits a

    high electrical conductivity and large elastic modulus allowing to realize electrostatic MEMS actuation

    schemes with ultrathin membranes.[6] Moreover, the high resistance of TiN against biocorrosion

    qualifies the material for applications in biogenic environments and in biosensors.

    MEMS basic processes[edit] Basic Process

  • 7/27/2019 A Brief Introduction to MEMS and NEMS

    5/29

  • 7/27/2019 A Brief Introduction to MEMS and NEMS

    6/29

    Patterning in MEMS is the transfer of a pattern into a material.

    Lithography[edit]

    Lithography in MEMS context is typically the transfer of a pattern into a photosensitive material by

    selective exposure to a radiation source such as light. A photosensitive material is a material that

    experiences a change in its physical properties when exposed to a radiation source. If a photosensitive

    material is selectively exposed to radiation (e.g. by masking some of the radiation) the pattern of the

    radiation on the material is transferred to the material exposed, as the properties of the exposed and

    unexposed regions differs.

    This exposed region can then be removed or treated providing a mask for the underlying substrate.

    Photolithography is typically used with metal or other thin film deposition, wet and dry etching.

    Photolithography[edit]

    KrF ArF Immersion EUV etc.

    Electron beam lithography[edit]

    Main article: Electron beam lithography

    Electron beam lithography (often abbreviated as e-beam lithography) is the practice of scanning a beam

    of electrons in a patterned fashion across a surface covered with a film (called the resist),[7] ("exposing"

    the resist) and of selectively removing either exposed or non-exposed regions of the resist

    ("developing"). The purpose, as with photolithography, is to create very small structures in the resist

    that can subsequently be transferred to the substrate material, often by etching. It was developed for

    manufacturing integrated circuits, and is also used for creating nanotechnology architectures.

    The primary advantage of electron beam lithography is that it is one of the ways to beat the diffraction

    limit of light and make features in the nanometer regime. This form of maskless lithography has found

    wide usage in photomask-making used in photolithography, low-volume production of semiconductor

    components, and research & development.

  • 7/27/2019 A Brief Introduction to MEMS and NEMS

    7/29

    The key limitation of electron beam lithography is throughput, i.e., the very long time it takes to expose

    an entire silicon wafer or glass substrate. A long exposure time leaves the user vulnerable to beam drift

    or instability which may occur during the exposure. Also, the turn-around time for reworking or re-

    design is lengthened unnecessarily if the pattern is not being changed the second time.

    Ion beam lithography[edit]

    It is known that focused-ion-beam lithography has the capability of writing extremely fine lines (less

    than 50 nm line and space has been achieved) without proximity effect. However, because the writing

    field in ion-beam lithography is quite small, large area patterns must be created by stitching together

    the small fields.

    Ion track technology[edit]

    Ion track technology is a deep cutting tool with a resolution limit around 8 nm applicable to radiation

    resistant minerals, glasses and polymers. It is capable to generate holes in thin films without any

    development process. Structural depth can be defined either by ion range or by material thickness.

    Aspect ratios up to several 104 can be reached. The technique can shape and texture materials at a

    defined inclination angle. Random pattern, single-ion track structures and aimed pattern consisting of

    individual single tracks can be generated.

    X-ray lithography[edit]

    X-ray lithography, is a process used in electronic industry to selectively remove parts of a thin film. It

    uses X-rays to transfer a geometric pattern from a mask to a light-sensitive chemical photoresist, or

    simply "resist," on the substrate. A series of chemical treatments then engraves the produced pattern

    into the material underneath the photoresist.

    Diamond patterning[edit] This section is empty. You can help by adding to it. (January 2011)

    Etching processes[edit]

    There are two basic categories of etching processes: wet etching and dry etching. In the former, the

    material is dissolved when immersed in a chemical solution. In the latter, the material is sputtered or

    dissolved using reactive ions or a vapor phase etchant.[8][9] for a somewhat dated overview of MEMS

    etching technologies.

  • 7/27/2019 A Brief Introduction to MEMS and NEMS

    8/29

    Wet etching[edit]

    Main article: Etching (microfabrication)

    Wet chemical etching consists in selective removal of material by dipping a substrate into a solution that

    dissolves it. The chemical nature of this etching process provides a good selectivity, which means the

    etching rate of the target material is considerably higher than the mask material if selected carefully.

    Isotropic etching[edit]

    Etching progresses at the same speed in all directions. Long and narrow holes in a mask will produce v-

    shaped grooves in the silicon. The surface of these grooves can be atomically smooth if the etch is

    carried out correctly, with dimensions and angles being extremely accurate.

    Anisotropic etching[edit]

    Some single crystal materials, such as silicon, will have different etching rates depending on the

    crystallographic orientation of the substrate. This is known as anisotropic etching and one of the most

    common examples is the etching of silicon in KOH (potassium hydroxide), where Si planes etch

    approximately 100 times slower than other planes (crystallographic orientations). Therefore, etching a

    rectangular hole in a (100)-Si wafer results in a pyramid shaped etch pit with 54.7 walls, instead of a

    hole with curved sidewalls as with isotropic etching.

    HF etching[edit]

    Hydrofluoric acid is commonly used as an aqueous etchant for silicon dioxide (SiO

    2, also known as BOX for SOI), usually in 49% concentrated form, 5:1, 10:1 or 20:1 BOE

    (buffered oxide etchant) or BHF (Buffered HF). They were first used in medieval times for glass etching.

    It was used in IC fabrication for patterning the gate oxide until the process step was replaced by RIE.

    Hydrofluoric acid is considered one of the more dangerous acids in the cleanroom. It penetrates the skin

    upon contact and it diffuses straight to the bone. Therefore the damage is not felt until it is too late.

    Electrochemical etching[edit]

  • 7/27/2019 A Brief Introduction to MEMS and NEMS

    9/29

    Electrochemical etching (ECE) for dopant-selective removal of silicon is a common method to automate

    and to selectively control etching. An active p-n diode junction is required, and either type of dopant can

    be the etch-resistant ("etch-stop") material. Boron is the most common etch-stop dopant. In

    combination with wet anisotropic etching as described above, ECE has been used successfully for

    controlling silicon diaphragm thickness in commercial piezoresistive silicon pressure sensors. Selectively

    doped regions can be created either by implantation, diffusion, or epitaxial deposition of silicon.

    Dry etching[edit]

    Vapor etching[edit]

    Xenon difluoride etching[edit]

    Xenon difluoride (XeF

    2) is a dry vapor phase isotropic etch for silicon originally applied for MEMS in 1995 at University of

    California, Los Angeles.[10][11] Primarily used for releasing

    metal and dielectric structures by undercutting silicon, XeF

    2 has the advantage of a stiction-free release unlike wet etchants. Its etch selectivity to silicon is very

    high, allowing it to

    work with photoresist, SiO

    2, silicon nitride, and various metals for masking. Its reaction to silicon is "plasmaless", is purely

    chemical and spontaneous and is often operated in

    pulsed mode. Models of the etching action are available,[12] and university laboratories and various

    commercial tools offer solutions using this approach.

    Plasma etching[edit]

    Sputtering[edit]

    Reactive ion etching (RIE)[edit]

    Main article: Reactive ion etching

    In reactive ion etching (RIE), the substrate is placed inside a reactor, and several gases are introduced. A

    plasma is struck in the gas mixture using an RF power source, which breaks the gas molecules into ions.

    The ions accelerate towards, and react with, the surface of the material being etched, forming another

    gaseous material. This is known as the chemical part of reactive ion etching. There is also a physical part,

    which is similar to the sputtering deposition process. If the ions have high enough energy, they can

  • 7/27/2019 A Brief Introduction to MEMS and NEMS

    10/29

    knock atoms out of the material to be etched without a chemical reaction. It is a very complex task to

    develop dry etch processes that balance chemical and physical etching, since there are many

    parameters to adjust. By changing the balance it is possible to influence the anisotropy of the etching,

    since the chemical part is isotropic and the physical part highly anisotropic the combination can form

    sidewalls that have shapes from rounded to vertical. RIE can be deep (Deep RIE or deep reactive ion

    etching (DRIE)).

    Main article: Deep reactive ion etching

    Deep RIE (DRIE) is a special subclass of RIE that is growing in popularity. In this process, etch depths of

    hundreds of micrometres are achieved with almost vertical sidewalls. The primary technology is based

    on the so-called "Bosch process",[13] named after the German company Robert Bosch, which filed the

    original patent, where two different gas compositions alternate in the reactor. Currently there are two

    variations of the DRIE. The first variation consists of three distinct steps (the Bosch Process as used in

    the Plasma-Therm tool) while the second variation only consists of two steps (ASE used in the STS tool).

    In the 1st Variation, the etch cycle is as follows:

    (i) SF

    6 isotropic etch;

    (ii) C

    4F

    8 passivation;

    (iii) SF

    6 anisoptropic etch for floor cleaning.

    In the 2nd variation, steps (i) and (iii) are combined.

    Both variations operate similarly. The C

    4F

    8 creates a polymer on the surface of the substrate, and the second gas composition (SF

  • 7/27/2019 A Brief Introduction to MEMS and NEMS

    11/29

    6 and O

    2) etches the substrate. The polymer is

    immediately sputtered away by the physical part of the etching, but only on the horizontal surfaces and

    not the sidewalls. Since the polymer only dissolves very slowly in the chemical part of the etching, it

    builds up on the sidewalls and protects them from etching. As a result, etching aspect ratios of 50 to 1

    can be achieved. The process can easily be used to etch completely through a silicon substrate, and etch

    rates are 36 times higher than wet etching.

    Die preparation[edit]

    After preparing a large number of MEMS devices on a silicon wafer, individual dies have to be separated,

    which is called die preparation in semiconductor technology. For some applications, the separation is

    preceded by wafer backgrinding in order to reduce the wafer thickness. Wafer dicing may then be

    performed either by sawing using a cooling liquid or a dry laser process called stealth dicing.

    MEMS manufacturing technologies[edit]

    Bulk micromachining[edit]

    Main article: Bulk micromachining

    Bulk micromachining is the oldest paradigm of silicon based MEMS. The whole thickness of a silicon

    wafer is used for building the micro-mechanical structures.[9] Silicon is machined using various etching

    processes. Anodic bonding of glass plates or additional silicon wafers is used for adding features in the

    third dimension and for hermetic encapsulation. Bulk micromachining has been essential in enabling

    high performance pressure sensors and accelerometers that have changed the shape of the sensor

    industry in the 80's and 90's.

    Surface micromachining[edit]

    Main article: Surface micromachining

    Surface micromachining uses layers deposited on the surface of a substrate as the structural materials,

    rather than using the substrate itself.[14] Surface micromachining was created in the late 1980s to

    render micromachining of silicon more compatible with planar integrated circuit technology, with the

  • 7/27/2019 A Brief Introduction to MEMS and NEMS

    12/29

    goal of combining MEMS and integrated circuits on the same silicon wafer. The original surface

    micromachining concept was based on thin polycrystalline silicon layers patterned as movable

    mechanical structures and released by sacrificial etching of the underlying oxide layer. Interdigital comb

    electrodes were used to produce in-plane forces and to detect in-plane movement capacitively. This

    MEMS paradigm has enabled the manufacturing of low cost accelerometers for e.g. automotive air-bag

    systems and other applications where low performance and/or high g-ranges are sufficient. Analog

    Devices have pioneered the industrialization of surface micromachining and have realized the co-

    integration of MEMS and integrated circuits.

    High aspect ratio (HAR) silicon micromachining[edit]

    Both bulk and surface silicon micromachining are used in the industrial production of sensors, ink-jet

    nozzles, and other devices. But in many cases the distinction between these two has diminished. A new

    etching technology, deep reactive-ion etching, has made it possible to combine good performance

    typical of bulk micromachining with comb structures and in-plane operation typical of surface

    micromachining. While it is common in surface micromachining to have structural layer thickness in the

    range of 2 m, in HAR silicon micromachining the thickness can be from 10 to 100 m. The materials

    commonly used in HAR silicon micromachining are thick polycrystalline silicon, known as epi-poly, and

    bonded silicon-on-insulator (SOI) wafers although processes for bulk silicon wafer also have been

    created (SCREAM). Bonding a second wafer by glass frit bonding, anodic bonding or alloy bonding is

    used to protect the MEMS structures. Integrated circuits are typically not combined with HAR silicon

    micromachining. The consensus of the industry at the moment seems to be that the flexibility and

    reduced process complexity obtained by having the two functions separated far outweighs the small

    penalty in packaging. A comparison of different high-aspect-ratio microstructure technologies can be

    found in the HARMST article.

    A forgotten history regarding surface micromachining revolved around the choice of polysilicon A or B.

    Fine grained (

  • 7/27/2019 A Brief Introduction to MEMS and NEMS

    13/29

    In one viewpoint MEMS application is categorized by type of use.

    Sensor

    Actuator

    Structure

    In another view point MEMS applications are categorized by the field of application (commercial

    applications include):

    Inkjet printers, which use piezoelectrics or thermal bubble ejection to deposit ink on paper.

    Accelerometers in modern cars for a large number of purposes including airbag deployment in collisions.

    Accelerometers in consumer electronics devices such as game controllers (Nintendo Wii), personal

    media players / cell phones (Apple iPhone, various Nokia mobile phone models, various HTC PDA

    models)[15] and a number of Digital Cameras (various Canon Digital IXUS models). Also used in PCs to

    park the hard disk head when free-fall is detected, to prevent damage and data loss.

    MEMS gyroscopes used in modern cars and other applications to detect yaw; e.g., to deploy a roll over

    bar or trigger dynamic stability control[16]

    MEMS microphones in portable devices, e.g., mobile phones, head sets and laptops.

    Silicon pressure sensors e.g., car tire pressure sensors, and disposable blood pressure sensors

    Displays e.g., the DMD chip in a projector based on DLP technology, which has a surface with several

    hundred thousand micromirrors or single micro-scanning-mirrors also called microscanners

    Optical switching technology, which is used for switching technology and alignment for data

    communications

    Bio-MEMS applications in medical and health related technologies from Lab-On-Chip to

    MicroTotalAnalysis (biosensor, chemosensor), or embedded in medical devices e.g. stents.[17]

    Interferometric modulator display (IMOD) applications in consumer electronics (primarily displays formobile devices), used to create interferometric modulation reflective display technology as found in

    mirasol displays

    Fluid acceleration such as for micro-cooling

    Micro-scale Energy harvesting including piezoelectric,[18] electrostatic and electromagentic micro

    harvesters.

  • 7/27/2019 A Brief Introduction to MEMS and NEMS

    14/29

    Micromachined Ultrasound Transducer including Piezoelectric Micromachined Ultrasonic

    Transducers[19] and Capacitive Micromachined Ultrasonic Transducers.

    Companies with strong MEMS programs come in many sizes. The larger firms specialize in

    manufacturing high volume inexpensive components or packaged solutions for end markets such as

    automobiles, biomedical, and electronics. The successful small firms provide value in innovative

    solutions and absorb the expense of custom fabrication with high sales margins. In addition, both large

    and small companies work in R&D to explore MEMS technology.

    Industry structure[edit]

    The global market for micro-electromechanical systems, which includes products such as automobile

    airbag systems, display systems and inkjet cartridges totaled $40 billion in 2006 according to Global

    MEMS/Microsystems Markets and Opportunities, a research report from SEMI and Yole Developpement

    and is forecasted to reach $72 billion by 2011.[20]

    MEMS devices are defined as die-level components of first-level packaging, and include pressure

    sensors, accelerometers, gyroscopes, microphones, digital mirror displays, microfluidic devices, etc. The

    materials and equipment used to manufacture MEMS devices topped $1 billion worldwide in 2006.

    Materials demand is driven by substrates, making up over 70 percent of the market, packaging coatings

    and increasing use of chemical mechanical planarization (CMP). While MEMS manufacturing continues

    to be dominated by used semiconductor equipment, there is a migration to 200 mm lines and selectnew tools, includiMEMS sensor generations

    From Wikipedia, the free encyclopedia

    Jump to: navigation, search

    MEMS sensor generations represent the progress made in micro sensor technology and can be

    categorized as follows:

    1st Generation

    MEMS sensor element mostly based on a silicon structure, sometimes combined with analog

    amplification on a micro chip..[1]

    2nd Generation

  • 7/27/2019 A Brief Introduction to MEMS and NEMS

    15/29

    MEMS sensor element combined with analog amplification and analog-to-digital converter on one micro

    chip.

    3rd Generation

    Fusion of the sensor element with analog amplification, analog-to-digital converter and digital

    intelligence for linearization and temperature compensation on the same micro chip.

    4th Generation

    Memory cells for calibration- and temperature compensation data are added to the elements of the 3rd

    MEMS sensor generatioNanoelectromechanical system

    From Wikipedia, the free encyclopedia

    (Redirected from Nanoelectromechanical systems)

    Jump to: navigation, search Part of a series of articles on

    Nanoelectronics

    Single-molecule electronics

    Molecular scale electronics

    Molecular logic gate

    Molecular wires

    Solid state nanoelectronics

    Nanocircuitry

    Nanowires

    Nanolithography

    NEMS

    Nanosensor

    Related approaches

    Nanoionics

    Nanophotonics

    Nanomechanics

    Nanotechnology portal

  • 7/27/2019 A Brief Introduction to MEMS and NEMS

    16/29

  • 7/27/2019 A Brief Introduction to MEMS and NEMS

    17/29

    technology benefits. Among the expected benefits include greater efficiencies and reduced size,

    decreased power consumption and lower costs of production in electromechanical systems.[1]

    In 2000, the first very-large-scale integration (VLSI) NEMS device was demonstrated by researchers from

    IBM.[2] Its premise was an array of AFM tips which can heat/sense a deformable substrate in order to

    function as a memory device. In 2007, the International Technical Roadmap for Semiconductors

    (ITRS)[3] contains NEMS Memory as a new entry for the Emerging Research Devices section.

    Importance for AFM[edit]

    A key application of NEMS is atomic force microscope tips. The increased sensitivity achieved by NEMS

    leads to smaller and more efficient sensors to detect stresses, vibrations, forces at the atomic level, and

    chemical signals.[4] AFM tips and other detection at the nanoscale rely heavily on NEMS. If

    implementation of better scanning devices becomes available, all of nanoscience could benefit from

    AFM tips.

    Approaches to miniaturization[edit]

    Two complementary approaches to fabrication of NEMS systems can be found. The top-down approach

    uses the traditional microfabrication methods, i.e. optical and electron beam lithography, to

    manufacture devices. While being limited by the resolution of these methods, it allows a large degree of

    control over the resulting structures. Typically, devices are fabricated from metallic thin films or etched

    semiconductor layers.

    Bottom-up approaches, in contrast, use the chemical properties of single molecules to cause single-

    molecule components to (a) self-organize or self-assemble into some useful conformation, or (b) rely on

    positional assembly. These approaches utilize the concepts of molecular self-assembly and/or molecular

    recognition. This allows fabrication of much smaller structures, albeit often at the cost of limited control

    of the fabrication process.

    A combination of these approaches may also be used, in which nanoscale molecules are integrated into

    a top-down framework. One such example is the carbon Nanotube nanomotor.

    Materials[edit]

    Carbon allotropes[edit]

  • 7/27/2019 A Brief Introduction to MEMS and NEMS

    18/29

    Many of the commonly used materials for NEMS technology have been carbon based, specifically

    diamond,[5][6] carbon nanotubes and graphene. This is mainly because of the useful properties of

    carbon based materials which directly meet the needs of NEMS. The mechanical properties of carbon

    (such as large Young's modulus) are fundamental to the stability of NEMS while the metallic andsemiconductor conductivities of carbon based materials allow them to function as transistors.

    Both graphene and diamond exhibit high Young's modulus, low density, low friction, excessively low

    mechanical dissipation,[5] and large surface area.[7][8] The low friction of CNTs, allow practically

    frictionless bearings and has thus been a huge motivation towards practical applications of CNTs as

    constitutive elements in NEMS, such as nanomotors, switches, and high-frequency oscillators[8] Carbon

    nanotubes and graphene's physical strength allows carbon based materials to meet higher stress

    demands, when common materials would normally fail and thus further support their use as a major

    materials in NEMS technological development.[9]

    Along with the mechanical benefits of carbon based materials, the electrical properties of carbon

    nanotubes and graphene allow it to be used in many electrical components of NEMS. Nanotransistors

    have been developed for both carbon nanotubes[10] as well as graphene.[11] Transistors are one of the

    basic building blocks for all electronic devices, so by effectively developing usable transistors, carbon

    nanotubes and graphene are both very crucial to NEMS.

    Metallic Carbon Nanotubes[edit]

    Metallic carbon nanotubes have also been proposed for nanoelectronic interconnects since they can

    carry high current densities.[9] This is a very useful property as wires to transfer current are another

    basic building block of any electrical system. Carbon nanotubes have specifically found so much use in

    NEMS that methods have already been discovered to connect suspended carbon nanotubes to other

    nanostructures.[12] This allows carbon nanotubes to be structurally set up to make complicated

    nanoelectric systems. Because carbon based products can be properly controlled and act as

    interconnects as well as transistors, they serve as a fundamental material in the electrical components

    of NEMS.

    Difficulties[edit]

    Despite all of the useful properties of carbon nanotubes and graphene for NEMS technology, both of

    these products face several hindrances to their implementation. One of the main problems is carbons

  • 7/27/2019 A Brief Introduction to MEMS and NEMS

    19/29

    response to real life environments. Carbon nanotubes exhibit a large change in electronic properties

    when exposed to oxygen.[13] Similarly, other changes to the electronic and mechanical attributes of

    carbon based materials must fully be explored before their implementation, especially because of their

    high surface area which can easily react with surrounding environments. Carbon Nanotubes were also

    found to have varying conductivities, being either metallic or semiconducting depending on their helicity

    when processed.[14] Because of this, very special treatment must be given to the nanotubes during

    processing, in order to assure that all of the nanotubes have appropriate conductivities. Graphene also

    has very complicated electric conductivity properties compared to traditional semiconductors as it lacks

    an energy band gap and essentially changes all the rules for how electrons move through a graphene

    based device.[11] This means that traditional constructions of electronic devices will likely not work and

    completely new architectures must be designed for these new electronic devices.

    Simulations[edit]

    Computer simulations have long been important counterparts to experimental studies of NEMS devices.

    Through continuum mechanics and molecular dynamics (MD), important behaviors of NEMS devices can

    be predicted via computational modeling before engaging in experiments.[15][16][17] Additionally,

    combining continuum and MD techniques enables engineers to efficiently analyze the stability of NEMS

    devices without resorting to ultra-fine meshes and time-intensive simulations.[15] Simulations have

    other advantages as well: they do not require the time and expertise associated with fabricating NEMS

    devices; they can effectively predict the interrelated roles of various electromechanical effects; and

    parametric studies can be conducted fairly readily as compared with experimental approaches. For

    example, computational studies have predicted the charge distributions and pull-in electromechanical

    responses of NEMS devices.[18][19][20] Using simulations to predict mechanical and electrical behavior

    of these devices can help optimize NEMS device design parameters.

    Future of NEMS[edit]

    Key hurdles currently preventing the commercial application of many NMES devices include low-yields

    and high device quality variability. Before NEMS devices can actually be implemented, reasonable

    integrations of carbon based products must be created. A recent step in that direction has been

    demonstrated for diamond, achieving a processing level comparable to that of silicon.[21] The focus is

    currently shifting from experimental work towards practical applications and device structures that will

    implement and profit from of such novel devices.[8] The next challenge to overcome involves

    understanding all of the properties of these carbon-based tools, and using the properties to make

    efficient and durable NEMS with low failure rates.[20]

  • 7/27/2019 A Brief Introduction to MEMS and NEMS

    20/29

    NEMS devices, if implemented into everyday technologies, could further reduce the size of modern

    devices and allow for better performing sensors. Carbon-based materials have served as prime materials

    for NEMS use, because of their exceptional mechanical and electrical properties. Once NEMS

    interactions with outside environments are integrated with effective designs, they will likely become

    useful products to everyday technologies.

    Global Market of NEMS projected to reach $108.88 million by 2022 [22]n.ng etch and bondingfor certain MEMS applicationsprofitability.TheMEMS Industry Group (MIG)Technology Development Process Template is an excellent tool for companies to use to implement the TDP within theirorganization (Marty et al. 2013). The goal of the TDP was to create a simplified frame work that could be easily customized to fit a company's needs. The TDPstructure shown below is a slightly modified version of the TDP developed by MIG. In this version there are four major phases including concept, prototype, pilotand production with three major gates.

    Figure 1TDP Structure

    The concept phase is where ideas are generated and the initial A-samples are developed. It is also where the business case is first generated and the marketneed is defined. It is highly desirable to have market pull at this point. The prototype phase is where the design is developed in detail and B-samples arefabricated to support various levels of validation. The outcome of the prototype phase is to have design that can be manufactured in volume production. Towardsthe end of the prototype phase, production tooling is often released. The pilot phase is where production tooling is built and qualified. In addition, the product ismade on production tooling (C-samples) and revalidated. It is important to note that there should be no change in the product design between the last revision inprototype and the first samples off the production tooling. The production phase is low to high volume production ramp. Often customers will require revalidation ofproducts in production once a year for the life of the product.At each gate, there is a design and process review for the project. In order for the team to be focused and efficient, there needs to be a clear set of deliverablesdefined for completion of each phase. These deliverables range from business and market definition to project technical details to production launch. Thischecklistprovides an in-depth set of deliverables for the design reviews at each gate that can be tailored to the specifi c needs of an organization. It is noted that afourth gate is common 3-6 months after production launch to review project status but is not depicted in Figure 1.This table can be downloaded from the following link inPDF format. Many of the items listed above are self-explanatory. Others are explained in more detail inprevious blogs posts such as DFMEA and tolerance stacks.The Technology Development Process is an essential element of successful MEMS new product launches. The Design Review Checklist can also provide a

    frame work for discussion between management and engineers on required deliverables to pass a particle gate. With improved communication and efficientexecution of technology development, the TDP is a great tool for accelerating innovation and profitable MEMS products. In next month's blog, the necessaryattributes of a MEMS engineer for new product development will be discussed.

    Project Description: Micro-Electro-Mechanical Systems (MEMS) are everywhere, it is estimated that there are around 10 MEMS devices per person in areas from the sensor that

    triggers the airbag during a car crash, through the devices that make the Nintendo Wii, iPhone and other consumer electronics detect orientation and acceleration to the next generation

    filters for mobile phones. MEMS already constitutes a billion dollar market being one of t he few high tech markets to beat the economic downturn.

    Diamond is the hardest material known to man, with many other extreme properties that are unmatched by any other material. For example, heat moves faster t hrough diamond than

    anything and it has the highest Youngs modulus (stiffness) of any material. It is these lesser-known properties that make it attractive as a next generation MEMS material. The

    unrivalled Youngs modulus of diamond means it will yield significantly higher frequency resonators (filters) than other materials. High frequency filters are critical for the next generation

    of mobile phones as more bandwidth is required.

    This project will focus on the growth of nanodiamond and fabrication of MEMS devices targeted at the above markets, especially high frequency devices and devices for harsh

    environments such as on satellites and in nuclear reactors. Novel superconductive devices will also be considered as well as high frequency Surface Acoustic Wave (SAW) devices.

    State of the art and unique diamond growth and chemical mechanical facilities have been installed at the Cardiff School of Physics and Astronomy for the development of nanodiamond.

    The school also operates a comprehensive clean room with the relevant nano-tools such as electron-bean lithography, focused ion beam milling and reactive ion etching.

    Abstract of this mechanical paper-presentation:

    Micromechatronic is the synergistic integration of microelectromechanical systems, electronic technologies and precision mechatronics with

    high added value.

    This field is the study of small mechanical devices and systems .they range in size from a few microns to a few millimeters. This field is called by

    a wide variety of names in different parts of the world: micro electro mechanical systems (MEMS), micromechanics, Microsystems technology

    (MST), micro machines .this field which encompasses all aspects of science and technology, is involved with things one smaller scale. Creative

    people from all technical disciplines have important contributions to make.

    Welcome to the micro domain, a world now occupied by an explosive new technology known as MEMS (Micro Electro Mechanical systems), a

    http://www.electroiq.com/blogs/mems-industry-group-blog/2013/05/who-s-driving-the-mems-evolution-revolution-now-part-3-of-3.htmlhttp://www.electroiq.com/blogs/mems-industry-group-blog/2013/05/who-s-driving-the-mems-evolution-revolution-now-part-3-of-3.htmlhttp://www.electroiq.com/blogs/mems-industry-group-blog/2013/05/who-s-driving-the-mems-evolution-revolution-now-part-3-of-3.htmlhttp://www.dceams.com/Assets/Design%20Requirements%20for%20Product%20to%20Pass%20a%20Phase.pdfhttp://www.dceams.com/Assets/Design%20Requirements%20for%20Product%20to%20Pass%20a%20Phase.pdfhttp://www.dceams.com/Assets/Design%20Requirements%20for%20Product%20to%20Pass%20a%20Phase.pdfhttp://www.dceams.com/Assets/Design%20Requirements%20for%20Product%20to%20Pass%20a%20Phase.pdfhttp://www.dceams.com/Assets/Design%20Requirements%20for%20Product%20to%20Pass%20a%20Phase.pdfhttp://www.dceams.com/Assets/Design%20Requirements%20for%20Product%20to%20Pass%20a%20Phase.pdfhttp://www.dceams.com/Assets/Design%20Requirements%20for%20Product%20to%20Pass%20a%20Phase.pdfhttp://www.dceams.com/Assets/Design%20Requirements%20for%20Product%20to%20Pass%20a%20Phase.pdfhttp://www.dceams.com/Assets/Design%20Requirements%20for%20Product%20to%20Pass%20a%20Phase.pdfhttp://www.dceams.com/Assets/Design%20Requirements%20for%20Product%20to%20Pass%20a%20Phase.pdfhttp://www.electroiq.com/blogs/mems-industry-group-blog/2013/05/who-s-driving-the-mems-evolution-revolution-now-part-3-of-3.html
  • 7/27/2019 A Brief Introduction to MEMS and NEMS

    21/29

    World were gravity and inertia are no longer important, but the effects of atomic forces and surface science dominate.

    MEMS are the next logical step in the silicon revolution. The silicon revolution began over three decades ago; with the introduction of the first

    integrated circuit .the integrated circuit has changed virtually every aspect of our lives. The rapid advance in number of transistors per chip leads

    to integrated circuit with continuously increasing capability and performance. As time has progressed, large, expensive, complex systems have

    been replaced by small, high performance, inexpensive integrated circuits.

    MEMS is a relatively new technology which exploits the existing microelectronics infrastructure to create complex machines with micron feature

    sizes .these machines can have many functions, including sensing, communication and actuation. Extensive application of these devices exists in

    both commercial and defense sThis Microelectro Mechanical Systems (MEMS) MechSeminar Report discusses a general manufacturing process and fabricationsinvolved inMEMS devices, advantages and disadvantages using MEMSdevices and the important applications of MEMS devices in automotiveindustry

    TheMEMS discussed in this project encompasses all aspects of science andtechnology, is involved with things on smaller scale.This field is called by awide variety of names in different parts of the world: Micro ElectroMechanical Systems (MEMS), Micromechanics, Microsystems Technology

    (MST), micro machines .

    Microelectromechanical systems or MEMS,is the next logical step in thesilicon revolution is fabricated using integrated circuit(IC) whose size rangesfrom micrometers to millimeters. These systems can sense,control and actuateon the micro scale and function individually or in arrays to generate effects onthe micro scale.

    There are four main advantages of using MEMS compared to ordinary largescale machinery

    1.Easy to produce. 2.Can be produced in a large number. 3.Provides an Ease ofparts alteration.

    4.Highly reliabile and 5.Low Cost production.

    Due to their size, it is physically impossible for MEMS to transfer anysignificant power and as they are brittle, they cannot be loaded with largeforces.

    Provides service in munitions guidance and personal navigation.

    Electromechanical signal processing for ultra-small and ultra low-power

    wireless communications.

    Asset tracking, environmental monitoring, and security surveillance.

    Weapons safing, arming, and fuzing.

    Embedded sensors and actuators for condition-based maintenance.

    Mass data storage devices for high density and low power.

    CONCLUSION

  • 7/27/2019 A Brief Introduction to MEMS and NEMS

    22/29

    The project haven giving the detailed description concludes that MEMS isgoing to be the future of the modern technical field in the growth of microsensor based applications such as automotive industries, wirelesscommunication, security systems, bio medical instrumentation and in armedforces.

    ystems. Theradio frequencymicroelectromechanicalsystem (RFMEMS)acronymrefers toelectronic componentsof which moving sub-

    millimeter-sized parts provide RF functionality. RF functionality can be implemented using a variety of RF technologies. Besides RF MEMS technology,

    III-Vcompound semiconductor(GaAs,GaN,InP,InSb),ferrite,ferroelectric, silicon-based semiconductor(RF CMOS, SiCandSiGe), andvacuum

    tubetechnology are available to the RF designer. Each of the RF technologies offers a distinct trade-off between cost,frequency, gain,large-scale

    integration, lifetime,linearity,noise figure,packaging,power handling,power consumption,reliability, ruggedness, size,supply voltage,switching

    timeand weight.

    Contents

    [hide]

    1 Components

    o 1.1 Switches, switched capacitors and varactors

    2 Biasing

    3 Packaging

    4 Microfabrication

    5 Reliability

    6 Applications

    o 6.1 Antennas

    o 6.2 Filters

    o

    6.3 Phase shifters

    7 References

    8 Reading

    9 External links

    o 9.1 Conferences

    o 9.2 Journals

    o 9.3 Magazines

    o 9.4 Newsletters

    o

    9.5 R&D

    o 9.6 Software

    o 9.7 Videos

    Components[edit]

    There are various types of RF MEMS components, such as CMOS integrable RF MEMSresonatorsandself-sustainedoscillatorswith small form

    factor and lowphase noise, RF MEMStunableinductors, and RF MEMSswitches, switched capacitorsandvaractors.

    http://en.wikipedia.org/wiki/Radio_frequencyhttp://en.wikipedia.org/wiki/Radio_frequencyhttp://en.wikipedia.org/wiki/Electromechanicalhttp://en.wikipedia.org/wiki/Electromechanicalhttp://en.wikipedia.org/wiki/Electromechanicalhttp://en.wikipedia.org/wiki/Microelectromechanical_systemshttp://en.wikipedia.org/wiki/Microelectromechanical_systemshttp://en.wikipedia.org/wiki/Microelectromechanical_systemshttp://en.wikipedia.org/wiki/Acronymhttp://en.wikipedia.org/wiki/Acronymhttp://en.wikipedia.org/wiki/Acronymhttp://en.wikipedia.org/wiki/Electronic_componenthttp://en.wikipedia.org/wiki/Electronic_componenthttp://en.wikipedia.org/wiki/Electronic_componenthttp://en.wikipedia.org/wiki/Compound_semiconductorhttp://en.wikipedia.org/wiki/Compound_semiconductorhttp://en.wikipedia.org/wiki/Compound_semiconductorhttp://en.wikipedia.org/wiki/GaAshttp://en.wikipedia.org/wiki/GaAshttp://en.wikipedia.org/wiki/GaAshttp://en.wikipedia.org/wiki/GaNhttp://en.wikipedia.org/wiki/GaNhttp://en.wikipedia.org/wiki/GaNhttp://en.wikipedia.org/wiki/Indium_phosphidehttp://en.wikipedia.org/wiki/Indium_phosphidehttp://en.wikipedia.org/wiki/Indium_phosphidehttp://en.wikipedia.org/wiki/InSbhttp://en.wikipedia.org/wiki/InSbhttp://en.wikipedia.org/wiki/InSbhttp://en.wikipedia.org/wiki/Ferrite_(magnet)http://en.wikipedia.org/wiki/Ferrite_(magnet)http://en.wikipedia.org/wiki/Ferroelectrichttp://en.wikipedia.org/wiki/Ferroelectrichttp://en.wikipedia.org/wiki/Siliconhttp://en.wikipedia.org/wiki/Siliconhttp://en.wikipedia.org/wiki/Siliconhttp://en.wikipedia.org/wiki/CMOShttp://en.wikipedia.org/wiki/CMOShttp://en.wikipedia.org/wiki/SiChttp://en.wikipedia.org/wiki/SiChttp://en.wikipedia.org/wiki/SiChttp://en.wikipedia.org/wiki/SiGehttp://en.wikipedia.org/wiki/SiGehttp://en.wikipedia.org/wiki/SiGehttp://en.wikipedia.org/wiki/Vacuum_tubehttp://en.wikipedia.org/wiki/Vacuum_tubehttp://en.wikipedia.org/wiki/Vacuum_tubehttp://en.wikipedia.org/wiki/Vacuum_tubehttp://en.wikipedia.org/wiki/Frequencyhttp://en.wikipedia.org/wiki/Frequencyhttp://en.wikipedia.org/wiki/Gainhttp://en.wikipedia.org/wiki/Gainhttp://en.wikipedia.org/wiki/Gainhttp://en.wikipedia.org/wiki/Large-scale_integration#LSIhttp://en.wikipedia.org/wiki/Large-scale_integration#LSIhttp://en.wikipedia.org/wiki/Large-scale_integration#LSIhttp://en.wikipedia.org/wiki/Large-scale_integration#LSIhttp://en.wikipedia.org/wiki/Linearityhttp://en.wikipedia.org/wiki/Linearityhttp://en.wikipedia.org/wiki/Linearityhttp://en.wikipedia.org/wiki/Noise_figurehttp://en.wikipedia.org/wiki/Noise_figurehttp://en.wikipedia.org/wiki/Noise_figurehttp://en.wikipedia.org/wiki/Electronic_packaginghttp://en.wikipedia.org/wiki/Electronic_packaginghttp://en.wikipedia.org/wiki/Electronic_packaginghttp://en.wikipedia.org/wiki/Power_handlinghttp://en.wikipedia.org/wiki/Power_handlinghttp://en.wikipedia.org/wiki/Power_handlinghttp://en.wikipedia.org/wiki/Power_consumptionhttp://en.wikipedia.org/wiki/Power_consumptionhttp://en.wikipedia.org/wiki/Power_consumptionhttp://en.wikipedia.org/wiki/Circuit_reliabilityhttp://en.wikipedia.org/wiki/Circuit_reliabilityhttp://en.wikipedia.org/wiki/Circuit_reliabilityhttp://en.wikipedia.org/wiki/Power_supplyhttp://en.wikipedia.org/wiki/Power_supplyhttp://en.wikipedia.org/wiki/Power_supplyhttp://en.wikipedia.org/wiki/Switching_timehttp://en.wikipedia.org/wiki/Switching_timehttp://en.wikipedia.org/wiki/Switching_timehttp://en.wikipedia.org/wiki/Switching_timehttp://en.wikipedia.org/wiki/RF_MEMShttp://en.wikipedia.org/wiki/RF_MEMShttp://en.wikipedia.org/wiki/RF_MEMShttp://en.wikipedia.org/wiki/RF_MEMS#Componentshttp://en.wikipedia.org/wiki/RF_MEMS#Componentshttp://en.wikipedia.org/wiki/RF_MEMS#Switches.2C_switched_capacitors_and_varactorshttp://en.wikipedia.org/wiki/RF_MEMS#Switches.2C_switched_capacitors_and_varactorshttp://en.wikipedia.org/wiki/RF_MEMS#Biasinghttp://en.wikipedia.org/wiki/RF_MEMS#Biasinghttp://en.wikipedia.org/wiki/RF_MEMS#Packaginghttp://en.wikipedia.org/wiki/RF_MEMS#Packaginghttp://en.wikipedia.org/wiki/RF_MEMS#Microfabricationhttp://en.wikipedia.org/wiki/RF_MEMS#Microfabricationhttp://en.wikipedia.org/wiki/RF_MEMS#Reliabilityhttp://en.wikipedia.org/wiki/RF_MEMS#Reliabilityhttp://en.wikipedia.org/wiki/RF_MEMS#Applicationshttp://en.wikipedia.org/wiki/RF_MEMS#Applicationshttp://en.wikipedia.org/wiki/RF_MEMS#Antennashttp://en.wikipedia.org/wiki/RF_MEMS#Antennashttp://en.wikipedia.org/wiki/RF_MEMS#Filtershttp://en.wikipedia.org/wiki/RF_MEMS#Filtershttp://en.wikipedia.org/wiki/RF_MEMS#Phase_shiftershttp://en.wikipedia.org/wiki/RF_MEMS#Phase_shiftershttp://en.wikipedia.org/wiki/RF_MEMS#Referenceshttp://en.wikipedia.org/wiki/RF_MEMS#Referenceshttp://en.wikipedia.org/wiki/RF_MEMS#Readinghttp://en.wikipedia.org/wiki/RF_MEMS#Readinghttp://en.wikipedia.org/wiki/RF_MEMS#External_linkshttp://en.wikipedia.org/wiki/RF_MEMS#External_linkshttp://en.wikipedia.org/wiki/RF_MEMS#Conferenceshttp://en.wikipedia.org/wiki/RF_MEMS#Conferenceshttp://en.wikipedia.org/wiki/RF_MEMS#Journalshttp://en.wikipedia.org/wiki/RF_MEMS#Journalshttp://en.wikipedia.org/wiki/RF_MEMS#Magazineshttp://en.wikipedia.org/wiki/RF_MEMS#Magazineshttp://en.wikipedia.org/wiki/RF_MEMS#Newslettershttp://en.wikipedia.org/wiki/RF_MEMS#Newslettershttp://en.wikipedia.org/wiki/RF_MEMS#R.26Dhttp://en.wikipedia.org/wiki/RF_MEMS#R.26Dhttp://en.wikipedia.org/wiki/RF_MEMS#Softwarehttp://en.wikipedia.org/wiki/RF_MEMS#Softwarehttp://en.wikipedia.org/wiki/RF_MEMS#Videoshttp://en.wikipedia.org/wiki/RF_MEMS#Videoshttp://en.wikipedia.org/wiki/RF_MEMS?veaction=edit&vesection=1http://en.wikipedia.org/wiki/RF_MEMS?veaction=edit&vesection=1http://en.wikipedia.org/wiki/RF_MEMS?veaction=edit&vesection=1http://en.wikipedia.org/wiki/Resonatorshttp://en.wikipedia.org/wiki/Resonatorshttp://en.wikipedia.org/wiki/Resonatorshttp://en.wikipedia.org/wiki/Self-sustainabilityhttp://en.wikipedia.org/wiki/Self-sustainabilityhttp://en.wikipedia.org/wiki/Microelectromechanical_system_oscillatorhttp://en.wikipedia.org/wiki/Microelectromechanical_system_oscillatorhttp://en.wikipedia.org/wiki/Microelectromechanical_system_oscillatorhttp://en.wikipedia.org/wiki/Phase_noisehttp://en.wikipedia.org/wiki/Phase_noisehttp://en.wikipedia.org/wiki/Phase_noisehttp://en.wikipedia.org/wiki/Electronic_tunerhttp://en.wikipedia.org/wiki/Electronic_tunerhttp://en.wikipedia.org/wiki/Inductorhttp://en.wikipedia.org/wiki/Inductorhttp://en.wikipedia.org/wiki/Inductorhttp://en.wikipedia.org/wiki/Switcheshttp://en.wikipedia.org/wiki/Switcheshttp://en.wikipedia.org/wiki/Switched_capacitorhttp://en.wikipedia.org/wiki/Switched_capacitorhttp://en.wikipedia.org/wiki/Switched_capacitorhttp://en.wikipedia.org/wiki/Varactorhttp://en.wikipedia.org/wiki/Varactorhttp://en.wikipedia.org/wiki/Varactorhttp://en.wikipedia.org/wiki/Varactorhttp://en.wikipedia.org/wiki/Switched_capacitorhttp://en.wikipedia.org/wiki/Switcheshttp://en.wikipedia.org/wiki/Inductorhttp://en.wikipedia.org/wiki/Electronic_tunerhttp://en.wikipedia.org/wiki/Phase_noisehttp://en.wikipedia.org/wiki/Microelectromechanical_system_oscillatorhttp://en.wikipedia.org/wiki/Self-sustainabilityhttp://en.wikipedia.org/wiki/Resonatorshttp://en.wikipedia.org/wiki/RF_MEMS?veaction=edit&vesection=1http://en.wikipedia.org/wiki/RF_MEMS#Videoshttp://en.wikipedia.org/wiki/RF_MEMS#Softwarehttp://en.wikipedia.org/wiki/RF_MEMS#R.26Dhttp://en.wikipedia.org/wiki/RF_MEMS#Newslettershttp://en.wikipedia.org/wiki/RF_MEMS#Magazineshttp://en.wikipedia.org/wiki/RF_MEMS#Journalshttp://en.wikipedia.org/wiki/RF_MEMS#Conferenceshttp://en.wikipedia.org/wiki/RF_MEMS#External_linkshttp://en.wikipedia.org/wiki/RF_MEMS#Readinghttp://en.wikipedia.org/wiki/RF_MEMS#Referenceshttp://en.wikipedia.org/wiki/RF_MEMS#Phase_shiftershttp://en.wikipedia.org/wiki/RF_MEMS#Filtershttp://en.wikipedia.org/wiki/RF_MEMS#Antennashttp://en.wikipedia.org/wiki/RF_MEMS#Applicationshttp://en.wikipedia.org/wiki/RF_MEMS#Reliabilityhttp://en.wikipedia.org/wiki/RF_MEMS#Microfabricationhttp://en.wikipedia.org/wiki/RF_MEMS#Packaginghttp://en.wikipedia.org/wiki/RF_MEMS#Biasinghttp://en.wikipedia.org/wiki/RF_MEMS#Switches.2C_switched_capacitors_and_varactorshttp://en.wikipedia.org/wiki/RF_MEMS#Componentshttp://en.wikipedia.org/wiki/RF_MEMShttp://en.wikipedia.org/wiki/Switching_timehttp://en.wikipedia.org/wiki/Switching_timehttp://en.wikipedia.org/wiki/Power_supplyhttp://en.wikipedia.org/wiki/Circuit_reliabilityhttp://en.wikipedia.org/wiki/Power_consumptionhttp://en.wikipedia.org/wiki/Power_handlinghttp://en.wikipedia.org/wiki/Electronic_packaginghttp://en.wikipedia.org/wiki/Noise_figurehttp://en.wikipedia.org/wiki/Linearityhttp://en.wikipedia.org/wiki/Large-scale_integration#LSIhttp://en.wikipedia.org/wiki/Large-scale_integration#LSIhttp://en.wikipedia.org/wiki/Gainhttp://en.wikipedia.org/wiki/Frequencyhttp://en.wikipedia.org/wiki/Vacuum_tubehttp://en.wikipedia.org/wiki/Vacuum_tubehttp://en.wikipedia.org/wiki/SiGehttp://en.wikipedia.org/wiki/SiChttp://en.wikipedia.org/wiki/CMOShttp://en.wikipedia.org/wiki/Siliconhttp://en.wikipedia.org/wiki/Ferroelectrichttp://en.wikipedia.org/wiki/Ferrite_(magnet)http://en.wikipedia.org/wiki/InSbhttp://en.wikipedia.org/wiki/Indium_phosphidehttp://en.wikipedia.org/wiki/GaNhttp://en.wikipedia.org/wiki/GaAshttp://en.wikipedia.org/wiki/Compound_semiconductorhttp://en.wikipedia.org/wiki/Electronic_componenthttp://en.wikipedia.org/wiki/Acronymhttp://en.wikipedia.org/wiki/Microelectromechanical_systemshttp://en.wikipedia.org/wiki/Electromechanicalhttp://en.wikipedia.org/wiki/Radio_frequency
  • 7/27/2019 A Brief Introduction to MEMS and NEMS

    23/29

    Switches, switched capacitors and varactors[edit]

    The components discussed in this article are based on RF MEMS switches, switched capacitors and varactors. These components can be used

    instead ofFETandHEMTswitches (FET and HEMT transistors incommon gateconfiguration), andPINdiodes. RF MEMS switches, switched

    capacitors and varactors are classified by actuation method (electrostatic, electrothermal,magnetostatic,piezoelectric), by axis of deflection (lateral,

    vertical), by circuit configuration (series,shunt), byclampconfiguration (cantilever, fixed-fixedbeam), or by contact interface (capacitive, ohmic).

    Electrostatically-actuated RF MEMS components offer lowinsertion lossand high isolation, linearity, power handling andQ factor, do not consume

    power, but require a high control voltage andhermeticsingle-chip packaging (thin filmcapping,LCPorLTCCpackaging) orwafer-level

    packaging(anodicor glassfritwafer bonding).

    RF MEMS switches were pioneered byIBM Research Laboratory, San Jose,CA,[1][2]

    Hughes Research Laboratories,Malibu, CA,[3]

    Northeastern

    Universityin cooperation withAnalog Devices,Boston,MA,[4]

    Raytheon,Dallas, TX,[5][6]

    andRockwellScience, Thousand Oaks, CA.[7]

    A capacitive

    fixed-fixed beam RF MEMS switch, as shown in Fig. 1(a), is in essence a micro-machined capacitor with a moving top electrode, which is the beam. It

    is generally connected in shunt with thetransmission lineand used inX- to W-band (77GHzand 94 GHz) RF MEMS components. An ohmic cantilever

    RF MEMS switch, as shown in Fig. 1(b), is capacitive in the up-state, but makes an ohmic contact in the down-state. It is generally connected in series

    with the transmission line and is used inDCto theKa-bandcomponents.

    Fig. 1: (a) A capacitive fixed-fixed beam RF MEMS switch, connected in shunt to a CPW line. (b) An ohmic cantilever RF MEMS switch, connected in series to a microstrip line.

    From an electromechanical perspective, the components behave like adamped mass-spring system, actuated by anelectrostatic force. Thespringconstantis a function of the dimensions of the beam, as well as theYoung's modulus, theresidual stressand thePoisson ratioof the beam material.

    The electrostatic force is a function of the capacitance and thebiasvoltage. Knowledge of the spring constant allows for hand calculation of the pull-in

    voltage, which is the bias voltage necessary to pull-in the beam, whereas knowledge of the spring constant and the mass allows for hand calculation of

    the switching time.

    From an RF perspective, the components behave like a series RLC circuit with negligible resistance and inductance. The up- and down-state

    capacitance are in the order of 50fFand 1.2 pF, which are functional values formillimeter-wavecircuit design. Switches typically have a capacitance

    ratio of 30 or higher, while switched capacitors and varactors have a capacitance ratio of about 1.2 to 10. The loaded Q factor is between 20 and 50 in

    the X-,Ku- and Ka-band.

    http://en.wikipedia.org/wiki/RF_MEMS?veaction=edit&vesection=2http://en.wikipedia.org/wiki/RF_MEMS?veaction=edit&vesection=2http://en.wikipedia.org/wiki/RF_MEMS?veaction=edit&vesection=2http://en.wikipedia.org/wiki/FEThttp://en.wikipedia.org/wiki/FEThttp://en.wikipedia.org/wiki/FEThttp://en.wikipedia.org/wiki/HEMThttp://en.wikipedia.org/wiki/HEMThttp://en.wikipedia.org/wiki/HEMThttp://en.wikipedia.org/wiki/Common_gatehttp://en.wikipedia.org/wiki/Common_gatehttp://en.wikipedia.org/wiki/Common_gatehttp://en.wikipedia.org/wiki/PIN_diodehttp://en.wikipedia.org/wiki/PIN_diodehttp://en.wikipedia.org/wiki/PIN_diodehttp://en.wikipedia.org/wiki/Electrostatichttp://en.wikipedia.org/wiki/Electrostatichttp://en.wikipedia.org/wiki/Electrostatichttp://en.wikipedia.org/wiki/Magnetostaticshttp://en.wikipedia.org/wiki/Magnetostaticshttp://en.wikipedia.org/wiki/Magnetostaticshttp://en.wikipedia.org/wiki/Piezoelectrichttp://en.wikipedia.org/wiki/Piezoelectrichttp://en.wikipedia.org/wiki/Piezoelectrichttp://en.wikipedia.org/wiki/Series_circuitshttp://en.wikipedia.org/wiki/Series_circuitshttp://en.wikipedia.org/wiki/Series_circuitshttp://en.wikipedia.org/wiki/Shunt_(electrical)http://en.wikipedia.org/wiki/Shunt_(electrical)http://en.wikipedia.org/wiki/Shunt_(electrical)http://en.wikipedia.org/wiki/Clamp_(tool)http://en.wikipedia.org/wiki/Clamp_(tool)http://en.wikipedia.org/wiki/Clamp_(tool)http://en.wikipedia.org/wiki/Cantileverhttp://en.wikipedia.org/wiki/Cantileverhttp://en.wikipedia.org/wiki/Cantileverhttp://en.wikipedia.org/wiki/Beam_(structure)http://en.wikipedia.org/wiki/Beam_(structure)http://en.wikipedia.org/wiki/Beam_(structure)http://en.wikipedia.org/wiki/Capacitivehttp://en.wikipedia.org/wiki/Capacitivehttp://en.wikipedia.org/wiki/Ohmic_contacthttp://en.wikipedia.org/wiki/Ohmic_contacthttp://en.wikipedia.org/wiki/Ohmic_contacthttp://en.wikipedia.org/wiki/Insertion_losshttp://en.wikipedia.org/wiki/Insertion_losshttp://en.wikipedia.org/wiki/Insertion_losshttp://en.wikipedia.org/wiki/Q_factorhttp://en.wikipedia.org/wiki/Q_factorhttp://en.wikipedia.org/wiki/Q_factorhttp://en.wikipedia.org/wiki/Hermetic_sealhttp://en.wikipedia.org/wiki/Hermetic_sealhttp://en.wikipedia.org/wiki/Hermetic_sealhttp://en.wikipedia.org/wiki/Thin_filmhttp://en.wikipedia.org/wiki/Thin_filmhttp://en.wikipedia.org/wiki/Thin_filmhttp://en.wikipedia.org/wiki/Liquid_crystal_polymerhttp://en.wikipedia.org/wiki/Liquid_crystal_polymerhttp://en.wikipedia.org/wiki/Liquid_crystal_polymerhttp://en.wikipedia.org/wiki/Low_temperature_co-fired_ceramichttp://en.wikipedia.org/wiki/Low_temperature_co-fired_ceramichttp://en.wikipedia.org/wiki/Low_temperature_co-fired_ceramichttp://en.wikipedia.org/wiki/Wafer-level_Packaginghttp://en.wikipedia.org/wiki/Wafer-level_Packaginghttp://en.wikipedia.org/wiki/Wafer-level_Packaginghttp://en.wikipedia.org/wiki/Wafer-level_Packaginghttp://en.wikipedia.org/wiki/Anodichttp://en.wikipedia.org/wiki/Anodichttp://en.wikipedia.org/wiki/Anodichttp://en.wikipedia.org/wiki/Frithttp://en.wikipedia.org/wiki/Frithttp://en.wikipedia.org/wiki/Frithttp://en.wikipedia.org/wiki/IBM_Researchhttp://en.wikipedia.org/wiki/IBM_Researchhttp://en.wikipedia.org/wiki/San_Jose,_Californiahttp://en.wikipedia.org/wiki/San_Jose,_Californiahttp://en.wikipedia.org/wiki/San_Jose,_Californiahttp://en.wikipedia.org/wiki/Californiahttp://en.wikipedia.org/wiki/Californiahttp://en.wikipedia.org/wiki/RF_MEMS#cite_note-1http://en.wikipedia.org/wiki/RF_MEMS#cite_note-1http://en.wikipedia.org/wiki/Hughes_Research_Laboratorieshttp://en.wikipedia.org/wiki/Hughes_Research_Laboratorieshttp://en.wikipedia.org/wiki/Hughes_Research_Laboratorieshttp://en.wikipedia.org/wiki/Hughes_Research_Laboratorieshttp://en.wikipedia.org/wiki/Malibu,_Californiahttp://en.wikipedia.org/wiki/Malibu,_Californiahttp://en.wikipedia.org/wiki/Malibu,_Californiahttp://en.wikipedia.org/wiki/RF_MEMS#cite_note-3http://en.wikipedia.org/wiki/RF_MEMS#cite_note-3http://en.wikipedia.org/wiki/Northeastern_Universityhttp://en.wikipedia.org/wiki/Northeastern_Universityhttp://en.wikipedia.org/wiki/Northeastern_Universityhttp://en.wikipedia.org/wiki/Northeastern_Universityhttp://en.wikipedia.org/wiki/Northeastern_Universityhttp://en.wikipedia.org/wiki/Analog_Deviceshttp://en.wikipedia.org/wiki/Analog_Deviceshttp://en.wikipedia.org/wiki/Analog_Deviceshttp://en.wikipedia.org/wiki/Bostonhttp://en.wikipedia.org/wiki/Bostonhttp://en.wikipedia.org/wiki/Bostonhttp://en.wikipedia.org/wiki/Massachusettshttp://en.wikipedia.org/wiki/Massachusettshttp://en.wikipedia.org/wiki/RF_MEMS#cite_note-4http://en.wikipedia.org/wiki/RF_MEMS#cite_note-4http://en.wikipedia.org/wiki/Raytheonhttp://en.wikipedia.org/wiki/Raytheonhttp://en.wikipedia.org/wiki/Raytheonhttp://en.wikipedia.org/wiki/Raytheonhttp://en.wikipedia.org/wiki/Dallashttp://en.wikipedia.org/wiki/Dallashttp://en.wikipedia.org/wiki/Texashttp://en.wikipedia.org/wiki/Texashttp://en.wikipedia.org/wiki/RF_MEMS#cite_note-5http://en.wikipedia.org/wiki/RF_MEMS#cite_note-5http://en.wikipedia.org/wiki/RF_MEMS#cite_note-5http://en.wikipedia.org/wiki/Rockwell_Internationalhttp://en.wikipedia.org/wiki/Rockwell_Internationalhttp://en.wikipedia.org/wiki/Rockwell_Internationalhttp://en.wikipedia.org/wiki/Thousand_Oakshttp://en.wikipedia.org/wiki/Thousand_Oakshttp://en.wikipedia.org/wiki/Thousand_Oakshttp://en.wikipedia.org/wiki/RF_MEMS#cite_note-autogenerated305-7http://en.wikipedia.org/wiki/RF_MEMS#cite_note-autogenerated305-7http://en.wikipedia.org/wiki/RF_MEMS#cite_note-autogenerated305-7http://en.wikipedia.org/wiki/Transmission_linehttp://en.wikipedia.org/wiki/Transmission_linehttp://en.wikipedia.org/wiki/Transmission_linehttp://en.wikipedia.org/wiki/X-bandhttp://en.wikipedia.org/wiki/X-bandhttp://en.wikipedia.org/wiki/X-bandhttp://en.wikipedia.org/wiki/GHzhttp://en.wikipedia.org/wiki/GHzhttp://en.wikipedia.org/wiki/GHzhttp://en.wikipedia.org/wiki/Direct_currenthttp://en.wikipedia.org/wiki/Direct_currenthttp://en.wikipedia.org/wiki/Direct_currenthttp://en.wikipedia.org/wiki/Ka_bandhttp://en.wikipedia.org/wiki/Ka_bandhttp://en.wikipedia.org/wiki/Ka_bandhttp://en.wikipedia.org/wiki/Damped_spring-mass_systemhttp://en.wikipedia.org/wiki/Damped_spring-mass_systemhttp://en.wikipedia.org/wiki/Damped_spring-mass_systemhttp://en.wikipedia.org/wiki/Electrostatic_forcehttp://en.wikipedia.org/wiki/Electrostatic_forcehttp://en.wikipedia.org/wiki/Electrostatic_forcehttp://en.wikipedia.org/wiki/Spring_constanthttp://en.wikipedia.org/wiki/Spring_constanthttp://en.wikipedia.org/wiki/Spring_constanthttp://en.wikipedia.org/wiki/Spring_constanthttp://en.wikipedia.org/wiki/Young%27s_modulushttp://en.wikipedia.org/wiki/Young%27s_modulushttp://en.wikipedia.org/wiki/Young%27s_modulushttp://en.wikipedia.org/wiki/Residual_stresshttp://en.wikipedia.org/wiki/Residual_stresshttp://en.wikipedia.org/wiki/Residual_stresshttp://en.wikipedia.org/wiki/Poisson_ratiohttp://en.wikipedia.org/wiki/Poisson_ratiohttp://en.wikipedia.org/wiki/Poisson_ratiohttp://en.wikipedia.org/wiki/Biasinghttp://en.wikipedia.org/wiki/Biasinghttp://en.wikipedia.org/wiki/Biasinghttp://en.wikipedia.org/wiki/Femtofaradhttp://en.wikipedia.org/wiki/Femtofaradhttp://en.wikipedia.org/wiki/Femtofaradhttp://en.wikipedia.org/wiki/Millimeter-wavehttp://en.wikipedia.org/wiki/Millimeter-wavehttp://en.wikipedia.org/wiki/Millimeter-wavehttp://en.wikipedia.org/wiki/Ku_bandhttp://en.wikipedia.org/wiki/Ku_bandhttp://en.wikipedia.org/wiki/Ku_bandhttp://en.wikipedia.org/wiki/File:RF_MEMS.pnghttp://en.wikipedia.org/wiki/File:RF_MEMS.pnghttp://en.wikipedia.org/wiki/File:RF_MEMS.pnghttp://en.wikipedia.org/wiki/File:RF_MEMS.pnghttp://en.wikipedia.org/wiki/Ku_bandhttp://en.wikipedia.org/wiki/Millimeter-wavehttp://en.wikipedia.org/wiki/Femtofaradhttp://en.wikipedia.org/wiki/Biasinghttp://en.wikipedia.org/wiki/Poisson_ratiohttp://en.wikipedia.org/wiki/Residual_stresshttp://en.wikipedia.org/wiki/Young%27s_modulushttp://en.wikipedia.org/wiki/Spring_constanthttp://en.wikipedia.org/wiki/Spring_constanthttp://en.wikipedia.org/wiki/Electrostatic_forcehttp://en.wikipedia.org/wiki/Damped_spring-mass_systemhttp://en.wikipedia.org/wiki/Ka_bandhttp://en.wikipedia.org/wiki/Direct_currenthttp://en.wikipedia.org/wiki/GHzhttp://en.wikipedia.org/wiki/X-bandhttp://en.wikipedia.org/wiki/Transmission_linehttp://en.wikipedia.org/wiki/RF_MEMS#cite_note-autogenerated305-7http://en.wikipedia.org/wiki/Thousand_Oakshttp://en.wikipedia.org/wiki/Rockwell_Internationalhttp://en.wikipedia.org/wiki/RF_MEMS#cite_note-5http://en.wikipedia.org/wiki/RF_MEMS#cite_note-5http://en.wikipedia.org/wiki/Texashttp://en.wikipedia.org/wiki/Dallashttp://en.wikipedia.org/wiki/Raytheonhttp://en.wikipedia.org/wiki/RF_MEMS#cite_note-4http://en.wikipedia.org/wiki/Massachusettshttp://en.wikipedia.org/wiki/Bostonhttp://en.wikipedia.org/wiki/Analog_Deviceshttp://en.wikipedia.org/wiki/Northeastern_Universityhttp://en.wikipedia.org/wiki/Northeastern_Universityhttp://en.wikipedia.org/wiki/RF_MEMS#cite_note-3http://en.wikipedia.org/wiki/Malibu,_Californiahttp://en.wikipedia.org/wiki/Hughes_Research_Laboratorieshttp://en.wikipedia.org/wiki/RF_MEMS#cite_note-1http://en.wikipedia.org/wiki/RF_MEMS#cite_note-1http://en.wikipedia.org/wiki/Californiahttp://en.wikipedia.org/wiki/San_Jose,_Californiahttp://en.wikipedia.org/wiki/IBM_Researchhttp://en.wikipedia.org/wiki/Frithttp://en.wikipedia.org/wiki/Anodichttp://en.wikipedia.org/wiki/Wafer-level_Packaginghttp://en.wikipedia.org/wiki/Wafer-level_Packaginghttp://en.wikipedia.org/wiki/Low_temperature_co-fired_ceramichttp://en.wikipedia.org/wiki/Liquid_crystal_polymerhttp://en.wikipedia.org/wiki/Thin_filmhttp://en.wikipedia.org/wiki/Hermetic_sealhttp://en.wikipedia.org/wiki/Q_factorhttp://en.wikipedia.org/wiki/Insertion_losshttp://en.wikipedia.org/wiki/Ohmic_contacthttp://en.wikipedia.org/wiki/Capacitivehttp://en.wikipedia.org/wiki/Beam_(structure)http://en.wikipedia.org/wiki/Cantileverhttp://en.wikipedia.org/wiki/Clamp_(tool)http://en.wikipedia.org/wiki/Shunt_(electrical)http://en.wikipedia.org/wiki/Series_circuitshttp://en.wikipedia.org/wiki/Piezoelectrichttp://en.wikipedia.org/wiki/Magnetostaticshttp://en.wikipedia.org/wiki/Electrostatichttp://en.wikipedia.org/wiki/PIN_diodehttp://en.wikipedia.org/wiki/Common_gatehttp://en.wikipedia.org/wiki/HEMThttp://en.wikipedia.org/wiki/FEThttp://en.wikipedia.org/wiki/RF_MEMS?veaction=edit&vesection=2
  • 7/27/2019 A Brief Introduction to MEMS and NEMS

    24/29

    RF MEMS switched capacitors are capacitive fixed-fixed beam switches with a low capacitance ratio. RF MEMS varactors are capacitive fixed-fixed

    beam switches which are biased below pull-in voltage. Other examples of RF MEMS switches are ohmic cantilever switches, and capacitive single pole

    N throw (SPNT) switches based on the axial gapwobblemotor.[8]

    Biasing[edit]

    RF MEMS components are biased electrostatically using a bipolarNRZdrive voltage, as shown in Fig. 2, in order to avoiddielectric charging[9]

    and to

    increase the lifetime of the device. Dielectric charges exert a permanent electrostatic force on the beam. The use of a bipolar NRZ drive voltage

    instead of a DC drive voltage avoids dielectric charging whereas the electrostatic force exerted on the beam is maintained, because the electrostatic

    force varies quadratically with the DC drive voltage. Electrostatic biasing implies no current flow, allowing high-resistivity bias lines to be used instead

    of RFchokes.

    Fig. 2: Electrostatic biasing of a capacitive fixed-fixed beam RF MEMS switch, switched capacitor or varactor.

    Packaging[edit]

    RF MEMS components are fragile and require wafer level packaging or single chip packaging which allow for hermeticcavitysealing. A cavity isrequired to allow movement, whereas hermeticity is required to prevent cancellation of the spring force by theVan der Waals forceexerted

    bywaterdropletsand othercontaminantson the beam. RF MEMS switches, switched capacitors and varactors can be packaged using wafer level

    packaging. Large monolithic RF MEMS filters, phase shifters, and tunablematchingnetworks require single chip packaging.

    Wafer-level packaging is implemented before waferdicing, as shown in Fig. 3(a), and is based on anodic, metal diffusion, metal eutectic, glass

    frit,polymeradhesive, and silicon fusion wafer bonding. The selection of a wafer-level packaging technique is based on balancing thethermal

    expansion coefficientsof the material layers of the RF MEMS component and those of the substrates to minimize the waferbowand the residual

    stress, as well as on alignment and hermeticity requirements. Figures of merit for wafer-level packaging techniques are chip size, hermeticity,

    processingtemperature, (in)tolerance to alignment errors andsurface roughness.Anodic and silicon fusion bonding do not require an intermediate

    layer, but do not tolerate surface roughness. Wafer-level packaging techniques based on a bonding technique with aconductiveintermediate layer

    http://en.wiktionary.org/wiki/wobblehttp://en.wiktionary.org/wiki/wobblehttp://en.wikipedia.org/wiki/Enginehttp://en.wikipedia.org/wiki/Enginehttp://en.wikipedia.org/wiki/RF_MEMS#cite_note-8http://en.wikipedia.org/wiki/RF_MEMS#cite_note-8http://en.wikipedia.org/wiki/RF_MEMS#cite_note-8http://en.wikipedia.org/wiki/RF_MEMS?veaction=edit&vesection=3http://en.wikipedia.org/wiki/RF_MEMS?veaction=edit&vesection=3http://en.wikipedia.org/wiki/RF_MEMS?veaction=edit&vesection=3http://en.wikipedia.org/wiki/Non-return-to-zerohttp://en.wikipedia.org/wiki/Non-return-to-zerohttp://en.wikipedia.org/wiki/Non-return-to-zerohttp://en.wikipedia.org/wiki/Failure_modes_of_electronicshttp://en.wikipedia.org/wiki/Failure_modes_of_electronicshttp://en.wikipedia.org/wiki/Failure_modes_of_electronicshttp://en.wikipedia.org/wiki/Failure_modes_of_electronicshttp://en.wikipedia.org/wiki/Chokinghttp://en.wikipedia.org/wiki/Chokinghttp://en.wikipedia.org/wiki/Chokinghttp://en.wikipedia.org/wiki/RF_MEMS?veaction=edit&vesection=4http://en.wikipedia.org/wiki/RF_MEMS?veaction=edit&vesection=4http://en.wikipedia.org/wiki/RF_MEMS?veaction=edit&vesection=4http://en.wikipedia.org/wiki/Microwave_cavityhttp://en.wikipedia.org/wiki/Microwave_cavityhttp://en.wikipedia.org/wiki/Microwave_cavityhttp://en.wikipedia.org/wiki/Van_der_Waals_forcehttp://en.wikipedia.org/wiki/Van_der_Waals_forcehttp://en.wikipedia.org/wiki/Van_der_Waals_forcehttp://en.wikipedia.org/wiki/Waterhttp://en.wikipedia.org/wiki/Waterhttp://en.wikipedia.org/wiki/Droplethttp://en.wikipedia.org/wiki/Droplethttp://en.wikipedia.org/wiki/Droplethttp://en.wikipedia.org/wiki/Contaminanthttp://en.wikipedia.org/wiki/Contaminanthttp://en.wikipedia.org/wiki/Contaminanthttp://en.wikipedia.org/wiki/Impedance_matchinghttp://en.wikipedia.org/wiki/Impedance_matchinghttp://en.wikipedia.org/wiki/Impedance_matchinghttp://en.wikipedia.org/wiki/Dicinghttp://en.wikipedia.org/wiki/Dicinghttp://en.wikipedia.org/wiki/Dicinghttp://en.wikipedia.org/wiki/Eutectichttp://en.wikipedia.org/wiki/Eutectichttp://en.wikipedia.org/wiki/Eutectichttp://en.wikipedia.org/wiki/Polymerhttp://en.wikipedia.org/wiki/Polymerhttp://en.wikipedia.org/wiki/Adhesivehttp://en.wikipedia.org/wiki/Adhesivehttp://en.wikipedia.org/wiki/Adhesivehttp://en.wikipedia.org/wiki/Thermal_expansion_coefficienthttp://en.wikipedia.org/wiki/Thermal_expansion_coefficienthttp://en.wikipedia.org/wiki/Thermal_expansion_coefficienthttp://en.wikipedia.org/wiki/Thermal_expansion_coefficienthttp://en.wikipedia.org/wiki/Bendinghttp://en.wikipedia.org/wiki/Bendinghttp://en.wikipedia.org/wiki/Bendinghttp://en.wikipedia.org/wiki/Temperaturehttp://en.wikipedia.org/wiki/Temperaturehttp://en.wikipedia.org/wiki/Temperaturehttp://en.wikipedia.org/wiki/Surface_roughnesshttp://en.wikipedia.org/wiki/Surface_roughnesshttp://en.wikipedia.org/wiki/Surface_roughnesshttp://en.wikipedia.org/wiki/Conductivehttp://en.wikipedia.org/wiki/Conductivehttp://en.wikipedia.org/wiki/Conductivehttp://en.wikipedia.org/wiki/File:RF_MEMS_BIASING.pnghttp://en.wikipedia.org/wiki/File:RF_MEMS_BIASING.pnghttp://en.wikipedia.org/wiki/File:RF_MEMS_BIASING.pnghttp://en.wikipedia.org/wiki/File:RF_MEMS_BIASING.pnghttp://en.wikipedia.org/wiki/Conductivehttp://en.wikipedia.org/wiki/Surface_roughnesshttp://en.wikipedia.org/wiki/Temperaturehttp://en.wikipedia.org/wiki/Bendinghttp://en.wikipedia.org/wiki/Thermal_expansion_coefficienthttp://en.wikipedia.org/wiki/Thermal_expansion_coefficienthttp://en.wikipedia.org/wiki/Adhesivehttp://en.wikipedia.org/wiki/Polymerhttp://en.wikipedia.org/wiki/Eutectichttp://en.wikipedia.org/wiki/Dicinghttp://en.wikipedia.org/wiki/Impedance_matchinghttp://en.wikipedia.org/wiki/Contaminanthttp://en.wikipedia.org/wiki/Droplethttp://en.wikipedia.org/wiki/Waterhttp://en.wikipedia.org/wiki/Van_der_Waals_forcehttp://en.wikipedia.org/wiki/Microwave_cavityhttp://en.wikipedia.org/wiki/RF_MEMS?veaction=edit&vesection=4http://en.wikipedia.org/wiki/Chokinghttp://en.wikipedia.org/wiki/Failure_modes_of_electronicshttp://en.wikipedia.org/wiki/Failure_modes_of_electronicshttp://en.wikipedia.org/wiki/Non-return-to-zerohttp://en.wikipedia.org/wiki/RF_MEMS?veaction=edit&vesection=3http://en.wikipedia.org/wiki/RF_MEMS#cite_note-8http://en.wikipedia.org/wiki/Enginehttp://en.wiktionary.org/wiki/wobble
  • 7/27/2019 A Brief Introduction to MEMS and NEMS

    25/29

    (conductive split ring) restrict thebandwidthand isolation of the RF MEMS component. The most common wafer-level packaging techniques are based

    on anodic and glass frit wafer bonding. Wafer-level packaging techniques, enhanced with vertical interconnects, offer the opportunity of three-

    dimensional integration.

    Single-chip packaging, as shown in Fig. 3(b), is implemented after wafer dicing, using pre-fabricatedceramicororganicpackages, such as LCP

    injection molded packages or LTCC packages. Pre-fabricated packages require hermetic cavity sealing through

    clogging, shedding,solderingorwelding. Figures of merit for single-chip packaging techniques are chip size, hermeticity, and processing temperature.

    Fig. 3: (a) Wafer-level packaging. (b) Single chip packaging of an ohmic cantilever RF MEMS switch.

    Microfabrication[edit]

    An RF MEMS fabrication process is based on surface micromachining techniques, and allows for integration of SiCr orTaNthin filmresistors (TFR),

    metal-air-metal (MAM) capacitors, metal-insulator-metal (MIM) capacitors, and RF MEMS components. An RF MEMS fabrication process can be

    realized on a variety of wafers:III-V compound semi-insulating, borosilicate glass,fused silica(quartz), LCP,sapphire, andpassivatedsilicon wafers.

    As shown in Fig. 4, RF MEMS components can be fabricated in class 100clean roomsusing 6 to 8optical lithographysteps with a 5 m contact

    alignment error, whereas state-of-the-art MMICandRFIC fabrication processes require 13 to 25 lithography steps.

    http://en.wikipedia.org/wiki/Bandwidth_(signal_processing)http://en.wikipedia.org/wiki/Bandwidth_(signal_processing)http://en.wikipedia.org/wiki/Bandwidth_(signal_processing)http://en.wikipedia.org/wiki/Ceramichttp://en.wikipedia.org/wiki/Ceramichttp://en.wikipedia.org/wiki/Ceramichttp://en.wikipedia.org/wiki/Organic_compoundhttp://en.wikipedia.org/wiki/Organic_compoundhttp://en.wikipedia.org/wiki/Organic_compoundhttp://en.wikipedia.org/wiki/Moulthttp://en.wikipedia.org/wiki/Moulthttp://en.wikipedia.org/wiki/Moulthttp://en.wikipedia.org/wiki/Solderinghttp://en.wikipedia.org/wiki/Solderinghttp://en.wikipedia.org/wiki/Solderinghttp://en.wikipedia.org/wiki/Weldinghttp://en.wikipedia.org/wiki/Weldinghttp://en.wikipedia.org/wiki/Weldinghttp://en.wikipedia.org/wiki/RF_MEMS?veaction=edit&vesection=5http://en.wikipedia.org/wiki/RF_MEMS?veaction=edit&vesection=5http://en.wikipedia.org/wiki/RF_MEMS?veaction=edit&vesection=5http://en.wikipedia.org/wiki/Tantalum_nitridehttp://en.wikipedia.org/wiki/Tantalum_nitridehttp://en.wikipedia.org/wiki/Thin_filmhttp://en.wikipedia.org/wiki/Thin_filmhttp://en.wikipedia.org/wiki/Thin_filmhttp://en.wikipedia.org/wiki/Compound_semiconductorhttp://en.wikipedia.org/wiki/Compound_semiconductorhttp://en.wikipedia.org/wiki/Compound_semiconductorhttp://en.wikipedia.org/wiki/Fused_silicahttp://en.wikipedia.org/wiki/Fused_silicahttp://en.wikipedia.org/wiki/Fused_silicahttp://en.wikipedia.org/wiki/Quartzhttp://en.wikipedia.org/wiki/Quartzhttp://en.wikipedia.org/wiki/Quartzhttp://en.wikipedia.org/wiki/Sapphirehttp://en.wikipedia.org/wiki/Sapphirehttp://en.wikipedia.org/wiki/Sapphirehttp://en.wikipedia.org/wiki/Passivation_(chemistry)http://en.wikipedia.org/wiki/Passivation_(chemistry)http://en.wikipedia.org/wiki/Passivation_(chemistry)http://en.wikipedia.org/wiki/Clean_roomshttp://en.wikipedia.org/wiki/Clean_roomshttp://en.wikipedia.org/wiki/Clean_roomshttp://en.wikipedia.org/wiki/Optical_lithographyhttp://en.wikipedia.org/wiki/Optical_lithographyhttp://en.wikipedia.org/wiki/Optical_lithographyhttp://en.wikipedia.org/wiki/Monolithic_microwave_integrated_circuithttp://en.wikipedia.org/wiki/Monolithic_microwave_integrated_circuithttp://en.wikipedia.org/wiki/Monolithic_microwave_integrated_circuithttp://en.wikipedia.org/wiki/Radio_Frequency_Integrated_Circuithttp://en.wikipedia.org/wiki/Radio_Frequency_Integrated_Circuithttp://en.wikipedia.org/wiki/Radio_Frequency_Integrated_Circuithttp://en.wikipedia.org/wiki/File:RF_MEMS_PACKAGING.pnghttp://en.wikipedia.org/wiki/File:RF_MEMS_PACKAGING.pnghttp://en.wikipedia.org/wiki/File:RF_MEMS_PACKAGING.pnghttp://en.wikipedia.org/wiki/File:RF_MEMS_PACKAGING.pnghttp://en.wikipedia.org/wiki/Radio_Frequency_Integrated_Circuithttp://en.wikipedia.org/wiki/Monolithic_microwave_integrated_circuithttp://en.wikipedia.org/wiki/Optical_lithographyhttp://en.wikipedia.org/wiki/Clean_roomshttp://en.wikipedia.org/wiki/Passivation_(chemistry)http://en.wikipedia.org/wiki/Sapphirehttp://en.wikipedia.org/wiki/Quartzhttp://en.wikipedia.org/wiki/Fused_silicahttp://en.wikipedia.org/wiki/Compound_semiconductorhttp://en.wikipedia.org/wiki/Thin_filmhttp://en.wikipedia.org/wiki/Tantalum_nitridehttp://en.wikipedia.org/wiki/RF_MEMS?veaction=edit&vesection=5http://en.wikipedia.org/wiki/Weldinghttp://en.wikipedia.org/wiki/Solderinghttp://en.wikipedia.org/wiki/Moulthttp://en.wikipedia.org/wiki/Organic_compoundhttp://en.wikipedia.org/wiki/Ceramichttp://en.wikipedia.org/wiki/Bandwidth_(signal_processing)
  • 7/27/2019 A Brief Introduction to MEMS and NEMS

    26/29

    Fig. 4: RF MEMS switch, switched capacitor, or varactor fabrication process

    As outlined in Fig. 4, the essentialmicrofabricationsteps are:

    Deposition of the bias lines (Fig. 4, step 1)

    Deposition of the electrode layer (Fig. 4, step 2)

    Deposition of thedielectric layer (Fig. 4, step 3)

    Deposition of thesacrificialspacer (Fig. 4, step 4)

    Deposition of seed layer and subsequent electroplating(Fig. 4, step 5)

    Beampatterning, release andcritical point drying(Fig. 4, step 6)

    With the exception of the removal of the sacrificial spacer, which requires critical point drying, the fabrication steps are similar to CMOS fabrication

    process steps. RF MEMS fabrication processes, unlikeBSTorPZTferroelectric and MMIC fabrication processes, do not requireelectron beam

    lithography, MBE, orMOCVD.

    Reliability[edit]

    Contact interface degradation poses a reliability issue for ohmic cantilever RF MEMS switches, whereas dielectric charging beam stiction, as shown in

    Fig. 5(a), and humidity induced beam stiction, as shown in Fig. 5(b), pose a reliability issue for capacitive fixed-fixed beam RF MEMS switches. Stiction

    is the inability of the beam to release after removal of the drive voltage. A high contact pressure assures a low-ohmic contact or alleviates dielectric

    charging induced beam stiction. Commercially-available ohmic cantilever RF MEMS switches and capacitive fixed-fixed beam RF MEMS switches

    have demonstrated lifetimes in excess of 100 billion cycles at 100mWof RF input power.[10][11]

    Reliability issues pertaining to high-power operation are

    discussed in the limiter section.

    http://en.wikipedia.org/wiki/File:RF_MEMS_FABRICATION_PROCESS.pnghttp://en.wikipedia.org/wiki/Microfabricationhttp://en.wikipedia.org/wiki/Microfabricationhttp://en.wikipedia.org/wiki/Microfabricationhttp://en.wikipedia.org/wiki/Dielectrichttp://en.wikipedia.org/wiki/Dielectrichttp://en.wikipedia.org/wiki/Dielectrichttp://en.wikipedia.org/wiki/Sacrificialhttp://en.wikipedia.org/wiki/Sacrificialhttp://en.wikipedia.org/wiki/Sacrificialhttp://en.wikipedia.org/wiki/Electroplatinghttp://en.wikipedia.org/wiki/Electroplatinghttp://en.wikipedia.org/wiki/Electroplatinghttp://en.wikipedia.org/wiki/Photolithographyhttp://en.wikipedia.org/wiki/Photolithographyhttp://en.wikipedia.org/wiki/Photolithographyhttp://en.wikipedia.org/wiki/Critical_point_dryinghttp://en.wikipedia.org/wiki/Critical_point_dryinghttp://en.wikipedia.org/wiki/Critical_point_dryinghttp://en.wikipedia.org/wiki/Barium_strontium_titanatehttp://en.wikipedia.org/wiki/Barium_strontium_titanatehttp://en.wikipedia.org/wiki/Barium_strontium_titanatehttp://en.wikipedia.org/wiki/Lead_zirconate_titanatehttp://en.wikipedia.org/wiki/Lead_zirconate_titanatehttp://en.wikipedia.org/wiki/Lead_zirconate_titanatehttp://en.wikipedia.org/wiki/Electron_beam_lithographyhttp://en.wikipedia.org/wiki/Electron_beam_lithographyhttp://en.wikipedia.org/wiki/Electron_beam_lithographyhttp://en.wikipedia.org/wiki/Molecular_beam_epitaxyhttp://en.wikipedia.org/wiki/Molecular_beam_epitaxyhttp://en.wikipedia.org/wiki/Molecular_beam_epitaxyhttp://en.wikipedia.org/wiki/Metal_organic_chemical_vapor_depositionhttp://en.wikipedia.org/wiki/Metal_organic_chemical_vapor_depositionhttp://en.wikipedia.org/wiki/Metal_organic_chemical_vapor_depositionhttp://en.wikipedia.org/wiki/RF_MEMS?veaction=edit&vesection=6http://en.wikipedia.org/wiki/RF_MEMS?veaction=edit&vesection=6http://en.wikipedia.org/wiki/RF_MEMS?veaction=edit&vesection=6http://en.wikipedia.org/wiki/Milliwatthttp://en.wikipedia.org/wiki/Milliwatthttp://en.wikipedia.org/wiki/Milliwatthttp://en.wikipedia.org/wiki/RF_MEMS#cite_note-10http://en.wikipedia.org/wiki/RF_MEMS#cite_note-10http://en.wikipedia.org/wiki/RF_MEMS#cite_note-10http://en.wikipedia.org/wiki/File:RF_MEMS_FABRICATION_PROCESS.pnghttp://en.wikipedia.org/wiki/File:RF_MEMS_FABRICATION_PROCESS.pnghttp://en.wikipedia.org/wiki/File:RF_MEMS_FABRICATION_PROCESS.pnghttp://en.wikipedia.org/wiki/File:RF_MEMS_FABRICATION_PROCESS.pnghttp://en.wikipedia.org/wiki/RF_MEMS#cite_note-10http://en.wikipedia.org/wiki/RF_MEMS#cite_note-10http://en.wikipedia.org/wiki/Milliwatthttp://en.wikipedia.org/wiki/RF_MEMS?veaction=edit&vesection=6http://en.wikipedia.org/wiki/Metal_organic_chemical_vapor_depositionhttp://en.wikipedia.org/wiki/Molecular_beam_epitaxyhttp://en.wikipedia.org/wiki/Electron_beam_lithographyhttp://en.wikipedia.org/wiki/Electron_beam_lithographyhttp://en.wikipedia.org/wiki/Lead_zirconate_titanatehttp://en.wikipedia.org/wiki/Barium_strontium_titanatehttp://en.wikipedia.org/wiki/Critical_point_dryinghttp://en.wikipedia.org/wiki/Photolithographyhttp://en.wikipedia.org/wiki/Electroplatinghttp://en.wikipedia.org/wiki/Sacrificialhttp://en.wikipedia.org/wiki/Dielectrichttp://en.wikipedia.org/wiki/Microfabrication
  • 7/27/2019 A Brief Introduction to MEMS and NEMS

    27/29

    Fig. 5: (a) Dielectric charging induced beam stiction. (b) Humidity induced beam sticti on.

    Applications[edit]

    RF MEMS resonators are applied in filters and reference oscillators.[12]

    RF MEMS switches, switched capacitors and varactors are applied

    inelectronically scanned (sub)arrays(phase shifters) andsoftware-defined radi