9.2 High Performance UTBB FDSOI Devices Featuring … · 1E-9 Fig. 1 A simplified UTBB FDSOI...

4
High Performance UTBB FDSOI Devices Featuring 20nm Gate Length for 14nm Node and Beyond Q. Liu 1 , M. Vinet 2 , J. Gimbert 1 , N. Loubet 1 , R. Wacquez 2 , L. Grenouillet 2 , Y. Le Tiec 2 , A. Khakifirooz 3 , T. Nagumo 4 , K. Cheng 3 , H. Kothari 1 , D. Chanemougame 1 , F. Chafik 1 , S. Guillaumet 1 , J. Kuss 3 , F. Allibert 5 , G. Tsutsui 3 , J. Li 3 , P. Morin 1 , S. Mehta 3 , R. Johnson 3 , L.F. Edge 3 , S. Ponoth 3 , T. Levin 3 , S. Kanakasabapathy 3 , B. Haran 3 , H. Bu 3 , J.-L. Bataillon 1 , O. Weber 6 , O. Faynot 6 , E. Josse 7 , M. Haond 7 , W. Kleemeier 1 , M. Khare 3 , T. Skotnicki 7 , S. Luning 8 , B. Doris 3 , M. Celik 1 , R. Sampson 1 1 STMicroelectronics, 2 CEA-LETI, 3 IBM, 4 Renesas, 5 SOITEC, 8 GLOBALFOUNDRIES, Albany NanoTech, NY 12203, U.S.A.; 6 CEA-LETI, 7 STMicroelectronics, 850, rue Jean Monnet, 38920 Crolles, France. Phone: 1-518-292-7218. Email: [email protected] ([email protected] ) Abstract We report, for the first time, high performance Ultra-thin Body and Box (UTBB) FDSOI devices with a gate length (L G ) of 20nm and BOX thickness (T BOX ) of 25nm, featuring dual channel FETs (Si channel NFET and compressively strained SiGe channel PFET). Competitive effective current (I eff ) reaches 630μA/μm and 670μA/μm for NFET and PFET, respectively, at off current (I off ) of 100nA/μm and V dd of 0.9V. Excellent electrostatics is obtained, demonstrating the scalability of these devices to14nm and beyond. Very low A Vt (1.3mV•μm) of channel SiGe (cSiGe) PFET devices is reported for the first time. BTI was improved >20% vs a comparable bulk device and evidence of continued scalability beyond 14nm is provided. Introduction The UTBB FDSOI device is a key enabler for continued aggressive CMOS scaling at 28nm node and beyond. [1-4] Its advantages include superior short channel control, small V t variation, flexible & dynamic multi-V t options [4], a simplified planar manufacturing process, and ease of porting designs from existing conventional bulk technologies. It was recently reported that a 28nm FDSOI ARM-based chip operated at record fast 3GHz [5]. By incorporating strain into the channel and optimizing the RSD epitaxy and junction design, high-performing 2 nd generation UTBB devices were developed which enable continued scaling to 14nm and beyond. Experimental A simplified UTBB integration flow is shown in Fig. 1. The PFET cSiGe channel used in this study was formed by epitaxy & condensation before STI formation. [6, 7] A thin SiN liner was deposited inside trench cavity to isolate the following epitaxial S/D structure from the substrate. After high-k/metal gate formation, a dual in-situ doped RSD process was applied to form NFET and PFET, respectively. Here, the NFET RSD is in-situ Phosphorus doped (ISPD) SiC, and the PFET RSD is in-situ Boron doped (ISBD) SiGe. Both doping levels are >5E20cm -3 to achieve low external resistance (R ext ). A combination of laser annealing & thermal treatment was applied to fully activate the dopants and minimize R ext . Conventional MOL and BEOL process steps completed the device fabrication. Fig. 2 shows the final NFET and PFET devices featuring a channel thickness (T Si ) of 6nm, a BOX thickness (T BOX ) of 25nm, and 20nm gate lengths (L G ). Device Characteristics Fig. 3 shows the drive current (I on ) as a function of off current (I off ), with V dd =0.9V. Here, the PFET cSiGe has a Ge content of 25%. NFET/PFET I on of 1120/1220μA/μm, respectively, at I off =100nA/μm are achieved. The effective current (I eff ), as a function of I off , is shown in Fig. 4. At V dd =0.9V, NFET/PFET I eff reach 630/670μA/μm at I off =100nA/μm, respectively. They are the best performing FDSOI CMOS devices reported so far, featuring non-strained Si channel NFET and strained SiGe channel PFET. At a nominal L G of 20nm, the transfer (I D /V G ) characteristic is shown in Fig. 5. A DIBL = 80/100mV and SS=90/110mV/dec for NFET/PFET, respectively, are obtained. The R on as a function of 1/DIBL is shown in Fig. 7. Low R on was achieved through a combination of optimized in-situ doped RSD epitaxy, contact resistance reduction, and additionally for the PFET, a strained cSiGe channel. The extrapolated R ext reaches 190/140 •μm for NFET/PFET, respectively. Fig. 7 shows the I D /V G curves at V dd =0.75V. A DIBL = 73/85mV, and SS = 90/97mV/dec for NFET/PFET, respectively, are achieved. The I on /I off and I eff /I off , as a function of back bias from -2V to 2V, are shown in Figs. 8 and 9. The cSiGe PFET sensitivity to back bias is shown here for the first time. With a back gate doping level at ~1E18cm -3 , the body factor is ~60/70 IEDM13-228 9.2.1 978-1-4799-2306-9/13/$31.00 ©2013 IEEE

Transcript of 9.2 High Performance UTBB FDSOI Devices Featuring … · 1E-9 Fig. 1 A simplified UTBB FDSOI...

Page 1: 9.2 High Performance UTBB FDSOI Devices Featuring … · 1E-9 Fig. 1 A simplified UTBB FDSOI integration flow, featuring cSiGe PFET, gate first high-k / metal gate and dual in-situ

High Performance UTBB FDSOI Devices Featuring 20nm Gate Length for 14nm Node and Beyond

Q. Liu1, M. Vinet2, J. Gimbert1, N. Loubet1, R. Wacquez2, L. Grenouillet2, Y. Le Tiec2, A. Khakifirooz3, T. Nagumo4, K. Cheng3, H. Kothari1, D. Chanemougame1, F. Chafik1, S. Guillaumet1, J. Kuss3, F. Allibert5, G.

Tsutsui3, J. Li3, P. Morin1, S. Mehta3, R. Johnson3, L.F. Edge3, S. Ponoth3, T. Levin3, S. Kanakasabapathy3, B. Haran3, H. Bu3, J.-L. Bataillon1, O. Weber6, O. Faynot6, E. Josse7, M. Haond7, W. Kleemeier1, M. Khare3, T.

Skotnicki7, S. Luning8, B. Doris3, M. Celik1, R. Sampson1

1STMicroelectronics, 2CEA-LETI, 3IBM, 4Renesas, 5SOITEC, 8GLOBALFOUNDRIES, Albany NanoTech, NY 12203, U.S.A.; 6CEA-LETI, 7STMicroelectronics, 850, rue Jean Monnet, 38920 Crolles, France.

Phone: 1-518-292-7218. Email: [email protected] ([email protected])

Abstract We report, for the first time, high performance

Ultra-thin Body and Box (UTBB) FDSOI devices with a gate length (LG) of 20nm and BOX thickness (TBOX) of 25nm, featuring dual channel FETs (Si channel NFET and compressively strained SiGe channel PFET). Competitive effective current (Ieff) reaches 630µA/µm and 670µA/µm for NFET and PFET, respectively, at off current (Ioff) of 100nA/µm and Vdd of 0.9V. Excellent electrostatics is obtained, demonstrating the scalability of these devices to14nm and beyond. Very low AVt (1.3mV•µm) of channel SiGe (cSiGe) PFET devices is reported for the first time. BTI was improved >20% vs a comparable bulk device and evidence of continued scalability beyond 14nm is provided.

Introduction

The UTBB FDSOI device is a key enabler for continued aggressive CMOS scaling at 28nm node and beyond. [1-4] Its advantages include superior short channel control, small Vt variation, flexible & dynamic multi-Vt options [4], a simplified planar manufacturing process, and ease of porting designs from existing conventional bulk technologies. It was recently reported that a 28nm FDSOI ARM-based chip operated at record fast 3GHz [5]. By incorporating strain into the channel and optimizing the RSD epitaxy and junction design, high-performing 2nd generation UTBB devices were developed which enable continued scaling to 14nm and beyond.

Experimental

A simplified UTBB integration flow is shown in Fig. 1. The PFET cSiGe channel used in this study was formed by epitaxy & condensation before STI formation. [6, 7] A thin SiN liner was deposited inside trench cavity to isolate the following epitaxial S/D structure from the substrate. After high-k/metal gate formation, a dual in-situ doped RSD process was

applied to form NFET and PFET, respectively. Here, the NFET RSD is in-situ Phosphorus doped (ISPD) SiC, and the PFET RSD is in-situ Boron doped (ISBD) SiGe. Both doping levels are >5E20cm-3 to achieve low external resistance (Rext). A combination of laser annealing & thermal treatment was applied to fully activate the dopants and minimize Rext. Conventional MOL and BEOL process steps completed the device fabrication. Fig. 2 shows the final NFET and PFET devices featuring a channel thickness (TSi) of 6nm, a BOX thickness (TBOX) of 25nm, and 20nm gate lengths (LG).

Device Characteristics

Fig. 3 shows the drive current (Ion) as a function of off current (Ioff), with Vdd=0.9V. Here, the PFET cSiGe has a Ge content of 25%. NFET/PFET Ion of 1120/1220µA/µm, respectively, at Ioff =100nA/μm are achieved. The effective current (Ieff), as a function of Ioff, is shown in Fig. 4. At Vdd=0.9V, NFET/PFET Ieff reach 630/670µA/µm at Ioff=100nA/µm, respectively. They are the best performing FDSOI CMOS devices reported so far, featuring non-strained Si channel NFET and strained SiGe channel PFET.

At a nominal LG of 20nm, the transfer (ID/VG) characteristic is shown in Fig. 5. A DIBL = 80/100mV and SS=90/110mV/dec for NFET/PFET, respectively, are obtained. The Ron as a function of 1/DIBL is shown in Fig. 7. Low Ron was achieved through a combination of optimized in-situ doped RSD epitaxy, contact resistance reduction, and additionally for the PFET, a strained cSiGe channel. The extrapolated Rext reaches 190/140 Ω•µm for NFET/PFET, respectively. Fig. 7 shows the ID/VG curves at Vdd=0.75V. A DIBL = 73/85mV, and SS = 90/97mV/dec for NFET/PFET, respectively, are achieved. The Ion/Ioff and Ieff/Ioff, as a function of back bias from -2V to 2V, are shown in Figs. 8 and 9. The cSiGe PFET sensitivity to back bias is shown here for the first time. With a back gate doping level at ~1E18cm-3, the body factor is ~60/70

IEDM13-2289.2.1978-1-4799-2306-9/13/$31.00 ©2013 IEEE

Page 2: 9.2 High Performance UTBB FDSOI Devices Featuring … · 1E-9 Fig. 1 A simplified UTBB FDSOI integration flow, featuring cSiGe PFET, gate first high-k / metal gate and dual in-situ

mV/V for NFET/PFET. The difference is mainly from the inversion gate dielectric (Tinv) delta between NFET and PFET, with PFET Tinv slightly thicker. Additionally, no performance degradation is seen with back bias, demonstrating the feasibility of multi-Vt and the dynamic power management capability of UTBB FDSOI.

Table I shows the benchmark of device characteristics of this work and state-of-the-art bulk FinFET devices. FDSOI NFET drive current and effective current are comparable to FinFET NFET, while FDSOI PFET outperforms FinFET PFET by ~10%, at Vdd of both 0.75 and 0.8V. Thanks to a much shorter gate length at 20nm vs 30nm in FinFET devices, and a planar device architecture, the total capacitance of FDSOI is estimated to be 20% lower than that of FinFET, which is critical to faster operation and lower power consumption.

Due to enhanced band-to-band tunneling resulting from a narrower bandgap, the cSiGe PFET GIDL was found to be higher than that of Si based PFET. Fig. 10 shows the ID/VG curve of cSiGe PFET with back bias. The GIDL is improved with increasing positive (reverse) back bias leading to increased Vt. GIDL is reduced from 0.5nA/µm to 0.2nA/µm when applying Vbb from -2V to 2V. A similar phenomenon was also reported previously in Si channel NFET devices with reverse back bias [4], due to the reduction of peak electric field at the drain side under negative bias. Excellent UTBB FDSOI cSiGe PFET AVt at 1.3mV•µm is shown for the first time in Fig. 11, evidence of well-controlled SiGe epitaxy and condensation processes used to form the undoped channel. By varying the amount of Ge in the cSiGe, different Vts are obtained as shown in Fig. 12. The higher the Ge content, the lower the Vt. Vt is reduced approximately 10mV with 1% higher Ge in cSiGe channel. However, if not optimized with an anneal, elevated Dit may appear at these higher Ge levels, as indicated by the kink at lower VG for the non-annealed case. Hole mobility was also measured as a function of Ge level in cSiGe as shown in Fig. 13, where 30% higher mobility was measured at 25%-Ge vs 15%-Ge, due to the higher channel strain. Further improvement was limited at 35%-Ge due to increasing Dit, unless annealed. With the anneal, the Dit is cured and the mobility is further improved by another 25% with 35%-Ge cSiGe.

Reliability

Bias temperature instability (BTI) and breakdown voltage (VBD) tests based on voltage ramp stress [10] were performed. A 20mV step was applied starting

from 1V, with a stress time of 100ms and sense time of 10ms. The BTI value is given as the gate voltage needed to shift Vt by 50mV. Fig. 14 shows BTI of both UTBB NFET and PFET as a function of Tinv vs a comparable 20nm bulk device. [11] The UTBB NFET/PFET BTI is improved 33%/20% where Tinv is 20%/12% thinner, respectively. Fig. 15 shows VBD as a function of Toxgl, where Toxgl is the equivalent oxide thickness at the same gate leakage. Versus comparable 20nm bulk devices, UTBB NFET VBD improves 11% at 24% thinner Toxgl, while PFET VBD degrades 12% at 28% thinner Toxgl, which has generally a linear correlation to VBD. UTBB devices show superior reliability to bulk devices. This improvement is attributed to the un-doped channel, and the lower electric fields for the UTBB devices.

Further Scaling

Scaling to 10nm node and below will likely require further LG reduction. To maintain electrostatic performance, a thinner channel thickness (TSi) will also be needed as indicated in Fig. 16, which shows TCAD simulations of DIBL & short channel Vt vs. TSi at 20nm LG. Thinner TSi also results in lower Cov, as shown experimentally in Fig. 17. It is believed to be due to lower inner fringe capacitance. However, at very thin TSi (< 3nm), quantum confinement starts to dominate Vt. Fortunately, UTBB devices have another scaling enabler: TBOX. Fig. 18 shows DIBL & SS as a function of TBOX. A DIBL reduction of 20mV is seen when scaling TBOX from 25nm to 10nm, while SS remains well controlled.

Finally, scaling also requires further performance improvement. It was demonstrated that, by incorporating more strain into the channel, such as tensile strained-SOI NFET [6] and higher Ge cSiGe PFET, higher Ge SiGe RSD, and combining with layout optimization [7], which utilizes striped widths to achieve uni-axial strain in channel and higher current, DC performance can be improved efficiently (Fig. 19). Lower gate height, more faceted RSD and low K spacer, etc., are effective enablers to reduce parasitic capacitances and improve AC performance.

Conclusions

In this paper, we reported high performance UTBB FDSOI devices with LG at 20nm. Competitive drive current and excellent electrostatics are achieved. Very low cSiGe PFET AVt is presented for the first time. It is also demonstrated that BTI and VBD reliability are superior to bulk devices. UTBB FDSOI is planar and capable for 14nm & beyond.

IEDM13-229 9.2.2

Page 3: 9.2 High Performance UTBB FDSOI Devices Featuring … · 1E-9 Fig. 1 A simplified UTBB FDSOI integration flow, featuring cSiGe PFET, gate first high-k / metal gate and dual in-situ

Fig. 1 A simplified UTBB FDSOI integration flow, featuring cSiGe PFET, gate first high-k / metal gate and dual in-situ doped raised source/drain epitaxy process.

(a)

(a)

(a)

(b)

Fig. 2 TEM cross-section of (a) NFET with Si channel and in-situ P doped (ISPD) SiC RSD and (b) PFET with SiGe channel and ISBD SiGe RSD, with gate length of 20nm and Box thickness of 25nm.

(b)

Fig. 3 Ion/Ioff of (a) NFET with Si channel and (b) PFET with 25% cSiGe strained channel at Vdd=0.9V. At Ioff of 100nA/µm, the drive current reaches 1120µA/µm and 1220µA/µm for NFET and PFET, respectively.

(b) Fig. 4 At Vdd=0.9V, and an off current of 100nA/µ m, (a) NFET effective current is 630µA/µm, while (b) PFET effective current reaches 670µA/µm. The slope of PFET Ieff/Ioff differs from NFET, due to the strain in the cSiGe channel.

Fig. 5 ID/VG curves of N/PFET with gate length at 20nm, showing good SCE control.

(a)

(b)

Fig. 7 ID/VG curves of N/PFET with LG at 20nm, and Vdd at 0.75V, again, showing excellent electrostatics Fig. 6 The Ron vs. 1/DIBL of (a) NFET and (b) PFET. The extrapolated Rext is as low

as 190/140 Ω•µm for NFET/PFET, respectively.

(a)

(b)

(a)

(b)

Fig 8 Ion/Ioff of (a) NFET and (b) PFET, at Vdd=0.75V, with back bias from -2V to 2V. Fig 9 Ieff/Ioff of (a) NFET and (b) PFET, at Vdd=0.75V, with back bias, showing the body factor is 60/70 mV/V.

cSiGe formation at PFET area

STI RIE and liner deposition

STI fill and CMP

Ground plane (GP) implantation and annealing

High-k / metal gate patterning

SiN spacer deposition

NFET spacer formation and ISPD SiCRSD EPI

Hard mask deposition

PFET spacer formation and ISBD SiGe RSD EPI

2nd spacer formation

Rapid thermal annealing (RTA) + laser annealing

Salicide

MOL and BEOL

25nm TBOX

20nm LG ISPD SiCRSD

Si channel

800 1000 1200 1400 16001E-9

1E-8

1E-7

1E-6

1E-5

1E-4

Vdd=0.9V

NFET

Ioff

(A/μ

m)

Ion (μA/μm)400 600 800 1000

1E-9

1E-8

1E-7

1E-6

1E-5

1E-4

NFETI off (A

/μm

)

Ieff (μΑ/μm)

Vdd=0.9V

25nm TBOX

ISBD SiGeRSD

Si Ge channel

20nm LG

800 1000 1200 14001E-9

1E-8

1E-7

1E-6

Vdd=0.9V

PFET

Ioff

(A/μ

m)

Ion (μA/μm)400 500 600 700

1E-9

1E-8

1E-7

1E-6

Vdd

=0.9V

PFETI off (A

/μm

)

Ieff (μΑ/μm)

-1.0 -0.5 0.0 0.5 1.01E-9

1E-7

1E-5

1E-3

DIBL=80mVSS=90mV/dec

I D (A

/μm

)

VG (V)

Vdd=0.9VL

G=20nm

TBOX

=25nmVdd=0.05V

DIBL=100mVSS=110mV/dec

0.000 0.005 0.010 0.015 0.020180

200

220

240

260

280

Ron

(Ω•μ

m)

1/DIBL (mV-1)

NFET

0.000 0.005 0.010 0.015 0.020140

160

180

200

220

240

1/DIBL (mV-1)

Ron

(Ω•μ

m)

PFET

-1.0 -0.5 0.0 0.5 1.01E-9

1E-7

1E-5

1E-3 Vdd=0.75VLG=20nmT

BOX=25nm

DIBL=85mVSS=97mV/dec

DIBL=73mVSS=90mV/dec

Vdd=0.05V

VG (V)

I D (A

/μm

)

200 400 600 800 1000 1200 14001E-11

1E-10

1E-9

1E-8

1E-7

1E-6

1E-5

1E-4 Vbb=-2V Vbb=-1V Vbb=0V Vbb=1V Vbb=2V

I off (A

/μm

)

Ion (μA/μm)

Vdd=0.75V

NFET

200 400 600 800 1000 1200 14001E-11

1E-10

1E-9

1E-8

1E-7

1E-6

1E-5

1E-4

PFET

Ion (μA/μm)

I off (A

/μm

)

Vbb=-2V Vbb=-1V Vbb=0V Vbb=1V Vbb=2V

Vdd=0.75V

0 200 400 600 8001E-11

1E-10

1E-9

1E-8

1E-7

1E-6

1E-5

1E-4

NFET

Vdd=0.75V Vbb

=-2V Vbb=-1V Vbb=0V Vbb=1V V

bb=2V

I off (A

/μm

)

Ieff

(μA/μm)0 200 400 600 800

1E-11

1E-10

1E-9

1E-8

1E-7

1E-6

1E-5

1E-4

PFET

Ieff (μA/μm)

I off (

A/μ

m)

Vdd=0.75V Vbb=-2V Vbb=-1V Vbb=0V V

bb=1V

Vbb

=2V

IEDM13-2309.2.3

Page 4: 9.2 High Performance UTBB FDSOI Devices Featuring … · 1E-9 Fig. 1 A simplified UTBB FDSOI integration flow, featuring cSiGe PFET, gate first high-k / metal gate and dual in-situ

Table 1 Comparison of the devices in this work with state-of-art 22nm Bulk FinFET devices. The drive current is normalized to the effective device channel width. At much shorter gate length, FDSOI shows competitive performance.

Auth et al [8]

Jan et al [9] This work

CGP (nm) 90 90 100 LG (nm) 30 30 20 Vdd (V) 0.8 0.75 0.75 0.8 0.9 N/P DIBL (mV/V) 46/50 30/35 73/85 78/90 80/100 N/P SS (mV/dec) 69/72 71/72 90/97 90/101 90/110 Ioff (nA/µm) 100 100 100 100 100 N/P Ion (mA/µm) 1.02/0.9 0.88/0.74 0.86/0.82 0.99/0.98 1.12/1.22 N/P Ieff (mA/µm) 0.53/0.46 0.47/0.45 0.51/0.53 0.63/0.67

Fig. 10 ID/VG of PFET with back bias from -2V to 2V, at Vdd=0.75V, showing GIDL floor improvement by positive bias. A zoom in view at the GIDL floor is shown on the right. GIDL floor improves from 0.5nA/µm to 0.2nA/ µm when applying Vbb from -2V to 2V.

Fig. 11 Excellent AVt of 1.3mV•µm is obtained with cSiGe PFET pair transistors, showing well controlled processes.

Fig. 12 Long channel C/V measurements showing Vt shift from Ge content. A kink shows up at low VG, showing effect of Dit, which was eliminated by the anneal.

Fig. 13 Hole mobility increases by 30% from 15%-Ge channel to 25%-Ge channel. The anneal improves the mobility by 25% on cSiGe PFET with 35% -Ge.

Fig. 14 FDSOI BTI shows superior capability compared with 20nm bulk devices. Even with thinner Tinv, the BTI improves on both NFET and PFET.

Fig. 15 FDSOI VBD is better than that of 20nm bulk devices, by taking account of Toxgl difference, thanks to un-doped channel, and lower electric field.

Fig. 16 TCAD simulations show that by thinning TSi, DIBL improves, while the short channel Vt (normalized) increases.

Fig. 17 Experimental data shows that Cov decreases with thinner TSi, which may relate to the inner fringe capacitance reduction.

Fig. 18 TCAD simulations show that by thinning TBOX, DIBL is improved while SS remains well controlled. Here, LG=20nm and Tinv=10A.

Table 2 cSiGe strain/stress as a function of Ge content on FDSOI

Ge Bi-axial strain

Bi-axial stress

(%) (GPa) 15% -0.6 -1.09 25% -1.03 -1.76 35% -1.44 -2.4

Fig. 19 To further improve FDSOI NFET and PFET performance to meet next generation requirement, different strain elements and layout optimization can be applied.

Acknowledgement

We would like to thank Joel

Hartmann (STMicroelectronics)

for managerial support. This

work is performed by the

research alliance teams at various

IBM facilities.

References

[1] Q. Liu, et al, VLSI, p.61, 2010. [2] O. Weber, et al, IEDM, p.58, 2010. [3] O. Faynot, et al, IEDM, p.50, 2010. [4] Q. Liu, et al, VLSI, p.160, 2011. [5] Press release, EETimes, Feb. 2013 [6] A. Khakifirooz, et al, VLSI, p.117,

2012. [7] K. Cheng, et al, IEDM, p.419, 2012 [8] C. Auth, et al, VLSI, p.131, 2012 [9] C.-H. Jan, et al, IEDM, p.44, 2012 [10] A.Kerber, et al, EDL, p.1347, 2009 [11] H. Shang, et al, VLSI p.129, 2012

-0.9 -0.6 -0.3 0.0 0.3 0.61E-10

1E-8

1E-6

1E-4

Vbb=2V

Vbb

=-2V Vbb=-1V Vbb=0V Vbb=1V V

bb=2V

I D (A

/μm

)

VG (V)

Vdd=0.75VLG=20nmTBOX=25nm

Vbb=-2V

0.1 0.2 0.3 0.4 0.51E-10

1E-9

1E-8

1E-7

1E-6

Vbb=2V

Vbb

=-2V Vbb=-1V Vbb=0V Vbb=1V Vbb=2V

I D (A

/μm

)

VG (V)

Vdd

=0.75VL

G=20nm

TBOX

=25nm

Vbb=-2V

0 5 10 15 20 250

5

10

15

20

25

σΔV

t (mV

)

(W•L)-1/2 (μm-1)

AVt=1.3mV•μm

-1.0 -0.5 0.0 0.50.0

0.4

0.8

1.2

1.6 15% Ge 25% Ge 35% Ge 35% Ge after anneal

Inve

rsio

n C

apac

itanc

e (a

.u.)

VG (V)0.0 0.4 0.8 1.2 1.6 2.0 2.4

0.0

0.5

1.0

1.5

2.0

25%

15% Ge 25% Ge 35% Ge 35% Ge after annealH

ole

Mob

ility

(a.u

.)NINV(1E13cm-2)

30%

0.6 0.8 1.0 1.20.5

1.0

1.5

2.0

12%20%

NFET (20LP Bulk) NFET (this work) PFET (20LP Bulk) PFET (this work)

BTI (

a.u.

)

Tinv (a.u.)

20%33%

0.6 0.9 1.2 1.50.75

1.00

1.25

1.50

1.75

NFET (20LP Bulk) NFET (this work) PFET (20LP Bulk) PFET (this work)

VBD

(a.u

.)

Toxgl (a.u.)

11%24%

-12%

28%

0.8

1

1.2

1.4

40

80

120

160

2 4 6 8TSi (nm)

DIB

L (m

V/V

)

Short Channel Vt (a.u.)

2 4 6 80.2

0.4

0.6

0.8

1.0

1.2

Cov

(a.u

.)

TSi (nm)

40

60

80

100

120

40

60

80

100

120

0 50 100 150

TBOX (nm)

DIB

L (m

V/V

) SS (mV/dec)

NFET

PFET

Tensile strained SOI

channel

Higher Gestrained SGOI

channel

Higher GeSiGe RSD

Layout optimization with striped width leading to

uni-axial strain in channel, and higher drive current.

IEDM13-231 9.2.4