제 02 장 Chemical Vapor Deposition -...

download 제 02 장 Chemical Vapor Deposition - contents.kocw.netcontents.kocw.net/KOCW/document/2014/Chungbuk/... · 제 2 장 Chemical Vapor Deposition (CVD, 화학기상증착) 1. Introduction

If you can't read please download the document

Transcript of 제 02 장 Chemical Vapor Deposition -...

  • - 1 -

    2 Chemical Vapor

    Deposition (CVD, )

    1. Introduction

    (1)

    (SiO2)Oxidation

    Isolation

    CVD-SiO2 APCVD, LPCVDILD, Passivation

    Mask

    PSG APCVD, LPCVD, PECVD ILD,

    source BPSG APCVD, LPCVD, PECVD ILD

    Plasma-

    SiO PECVD ILD, Passivation

    SOG ILD

    Si3N4 LPCVD , MNOS Plasma-

    SiNPECVD Passivation

    Epitaxy Epitaxy LPCVD ,

    Al, Mo, W, Cu, Ti

    TiSi2, MoSi2, WSi2Sputtering, CVD ,

  • - 2 -

    (2) PVD vs CVD

    PVD (physical vapor deposition) - chamber (mean free

    path) chamber dimension

    Ar

    (evaporation,

    sputtering)

    CVD (chemical vapor deposition) -

    . PVD

    .

    - : SiH4 + O2 -> SiO2 + 2H2

    (3) CVD - ( vs. Oxidation, Nitridation) -

    - / : ~ mm

    -

    - gas

    -

    -

    - Step coverage

    - in-situ etching

    -

    -

    -

  • - 3 -

    2. CVD

    (1) CVD

    film film (2) Grove Film Growth Model

    CVD ---> +

    2AX(g) + H2(g) ---> 2A(s) + 2HX(g)

    reactor

    ,

    reactor gas stream

  • - 4 -

    Film Growth Kinetics

    F1 = hg (Cg-Cs)

    F2 = ksCs , hg: gas-phase mass transfer coefficient

    ks: chemical surface reaction rate constant

    At steady state: F = F1 = F2 hg(Cg - Cs) = ksCs

    F = ksCs. =

    GR = F/ N1 =

    , N1: silicon atomic density(/cm3)

    Y: mole fraction of reactant gas

    CT: total # of gas molecules/cm3

  • - 5 -

    Growth rate =

    : hg >> ks, (reaction rate limited)

    , ks = koe

    -Ea/kT, Ea = 1.9eV

    : ks >> hg, (mass transport limited)

    , hg T

    1.5 - 2.0

  • - 6 -

    (3) Gas Phase Mass Transfer

    Stagnant Film Model CVD chamber : laminar flow

    flow zero -> stagnant

    layer (, boundary layer)

    gas stream stagnant layer

    . , gas stream

  • - 7 -

    Boundary Layer Theory

    F (friction) =

    = ma

    , m = (x), a =

    = (x)

    ,

    : gas viscosity, : gas density

    U: gas velocity at laminar flow

  • - 8 -

    Average Boundary Layer thickness

    ,

    : Reynold number of the reactor

    * When Re is small (< 2000), gas flow is viscous. When Re is

    large (>2000), gas flow is turbulent which is undesirable.

    Growth Rate vs Flow VelocityIf growth rate is mass transport limited,

    , hg = D/

    U1/2

    GR U1/2

  • - 9 -

    CVD chamber boundary layer , ,

  • - 10 -

    (4)

    Atomistic model of Epitaxial Growth

    .

    Homogeneous Nucleation gas phase chamber

    quality : dirty epi

    Heterogeneous Nucleation : cold-walled system

  • - 11 -

    (5) CVD reaction Chemistry

    free energy

    Film , volatile

    Activation energy

    Reaction typeType Reaction Example

    Pyrolysis AB A + B SiH4(g) Si(s) + 2H2(g)

    Reduction AX + H2 A + HX SiCl4(g) + 2H2(g) Si(s) + 4HCl(g)

    Oxidation AX + O2 AO + XO SiH4(g) + 2O2(g) SiO2(s) + 2H2O(g)

    Hydrolysis AX + H2O AO + HXAl2Cl6(g) + 3CO2(g) + 3H2O(g)

    Al2O3(s) + 6HCl(g) + 3CO2(g)

    Nitridation AX + NH3 AN + HX3SiCiH2 + 10NH3

    Si3N4 + 6NH4Cl + 6H2

  • - 12 -

    (6) Step Coverage

    : step coverage ?

  • - 13 -

    Sticking Coefficient

    Effects of arrival angle on step coverage

    Step coverage Surface migration

    . 500 conformal film PECVD APCVD surface migraion

    mean free path () : = 12

    kTP 2

    LPCVD, PECVD: = 10-100m. Gas arrival unisotropic: shadow effect

    APCVD: = 0.01-0.1m. Gas arrival isotropic: arrival angle

  • - 14 -

    (7) Film Stress

  • - 15 -

    3. CVD System

    (1) CVD

    reactor

    APCVD atmospheric pressure (1, 760 Torr)LPCVD

    PECVD low pressure Torr - m Torr

    Thermal

    CVD

    coil type

    susceptor

    lamp typelamp

    susceptor

    Plasma

    CVD

    plasma enhancedRF

    high densityRF ,

    bias physical etching

    Oxide CVDSiO2 gap fill, SiON ARL, etch stopping layer

    Nitride CVD SiN protection layerMetal CVD W, Ti, TiN, Cu step coverage metal

    heating Hot wall (radiant heating) Cold wall (rf induction heating)

  • - 16 -

    (2) CVD

    APCVD (atmospheric pressure CVD) - : 760 torr

    - : 400 - 500

    - : mass transport limited =>

    loading => , particle

    - reactor (, rf )

    -

    -

    - poor step coverage

    - large particle contamination

    - large gas consumption

    - need frequent cleaning

    Reaction Gas ()

    (nm/min)

    (wafer/hr)

    Epitaxial Si

    SiCl4, H2 1125-1120 500-1500 - SiHCl3, H2 1100-1150 500-1500 - SiH2Cl2, H2 1050-1100 500-1000 -

    SiH4,H2 1000-1075 100-300 -

    Si3N4 SiH4, NH3 900-1000 20 40(LOCOS)

    UDOSiH4,O2 450-500 100 160 ILD

    TEOS,O2 400 - -sidewallspacing

    PSG SiH4,O2,PH3 370-400 - - passivation

    BPSG SiH4,O2,PH3B2H6400-450 - - ILD

    * TEOS: Si(OC2H5)4

  • - 17 -

    Reactors Horizontal tube reactor: ,

    Gas injector type continuous processing reactor - Cooled nitrogen shrouded nozzle

    - mm => gas phase reaction

    - , ,

    Plenum-type continuous processing reactor - plenum

    - , ,

  • - 18 -

    LPCVD (low pressure CVD) - : 0.1 - 10 torr

    - : 400 - 900

    - : reaction rate limited

    Why reaction rate limited?- 1,000 ( 1 ->1 Torr)

    - (flow velocity) 100

    -

    D 1,000

    ,

    () 1,000 , U 100

    3 (

    )

    hg 300 (

    )

    LPCVD reaction rate limited !!

    * Growth rate =

  • - 19 -

    - excellent purity/ uniformity

    - conformal step coverage (/ atomic gas flow)

    - low pin-hole density

    - large wafer capacity (vertical wafer loading)

    - Less dependence on gas flow dynamics

    - (due to low gas phase reaction)

    - (APCVD 1/5 - 1/3 )

    -

    -

    Reactors

  • - 20 -

    End feed horizontal tube reactor: hot wall

    - Depletion effect tube

    (by 25-40).

    - Tube

    . polysilicon doped

    oxide (~400) .

    silicon nitride oxynitride

    (800-850) undoped polysilicon (~600

    .

    Mass depletion effect

  • - 21 -

    Solutions for mass depletion problem

    (i) Temperature ramping along reactor length

    - For reaction rate limited regime

    R(x) = A exp[-Ea/kT]C(x) where C(x) = SiH4 Conc.

    => Creating a temperature gradient of 20 - 40C

    along the tube will give better uniformity.

    (ii) Distributed Feed Reactors

    Distributed feed horizontal reactor(): hot wall

  • - 22 -

    - : depletion effect

    - Tube .

    - , SiO2, PSG, BPSG (

  • - 23 -

    - : 0.1 - 5.0 torr

    - : 200 - 500

    - rf frequency: 13.56 MHz

    - : reaction rate limited

    low temperature- (< Torr) Gas ,

    -

    , stress, moisture

  • - 24 -

    resistance, density control

    - Energetic ion bombardment ( :

    eV ~ eV)

    . Ion bombardment

    compressive .

    compressive stress

    . , chamber geometry, or

    excitation ,

    .

    High throughput

    Good Mechanical strength

    Moisture blocking

    Reactor

    - CF4 plasma

    chamber silicon, silicon nitride,

    or silicon dioxide

    Poor gap fill capability

    Plasma damage

    Chemical (H2, N2, O2) and particle contamination

    Complex equipment

  • - 25 -

    - activation

    energy

    Plasma Sequence RF power on the electrodes E-field Free e- accelerated by the field

    High E e- atoms and molecules ionization + more e-

    chamber fills with e- and ions Plasma stabilization

  • - 26 -

    - chemical reactivity

    ion/radical

    Ionization: A + e- A+ + e- + e- - /

    -

    Excitation: A + e- A* + e- - /

    - ->

    - / CVD

    Dissociation: A2 + e- A* + A* + e- -

    -

    CVD

    Reaction Gas (Carrier)

    ()

    SiNSiH4, NH3 250-300 passivation

    SiH2Cl2, NH3 250-300 passivation

    SiO TEOS, O2/O3 380-400 ILD

    SiH4, N2O 380-400 ILD

    PSG SiH4, N2O, PH3 380-400 passivation

    BPSG SiH4, O2, PH3, B2H6 380-400 ILD

    Reactors

  • - 27 -

    Parallel plate reactor: cold wall

    -

    depletion

    - reactor particle

    - low throughput

    Horizontal tube reactor: hot wall

    - ramping pulsed plasma

    depletion

    - low particle

    - large throughput

    CVD

  • - 28 -

    System

    APCVD LPCVD PECVD PVD ALD SOD

    ()

    370

    - 500

    400

    - 900

    200

    - 500

    -

    300

    100

    - 600

    400

    - 450

    (Torr)760 0.1-10 0.2-5.0 0.1-1.0 0.5-1.5 760

    Fine THK

    Controlgood good bad bad excellent good

    Step

    Coveragebad

    very

    goodbad bad excellent excellent

    Throughput low low high highvery

    lowhigh

    Pin Hole

    Density

    not

    bad

    not

    bad

    not

    badhigh low high

    Film

    Si

    SiO2SiN

    PSG

    BPSG

    Si

    SiO2SiN

    PSG

    BPSG

    W

    WSix

    SiO2SiN

    SiC

    SiOC

    -C

    Ti

    TiN

    Al

    Cu

    TaN

    W

    SiN

    TiN

    Al2O3

    TaO

    HfO

    SiO2SiOC

    -C:H

    4.

  • - 29 -

    (1) Polysilicon

    , As-deposited films:

    < 560 C : amorphous

    > 580 C : polycrystalline

    grain boundary 100-1000

    - grain boundary segregation:

    As, P; , B: ,

    (2) CVD of Polysilicon: commonly use LPCVD

  • - 30 -

    ReactionSiH4 (g) = Si(s) + 2H2(g)

    Polysilicon

    Polysilicon grain

  • - 31 -

    Polysilicon As-deposited amorphous silicon As-deposited polysilicon dark-field dark-field

    TEM micrograph TEM micrograph

    (3) Doping of Polysilicon

  • - 32 -

    (Ex: PoCl3 doping) : 800 - 900 & anneal ( 10) in-situ doping doping uniformity

    (4) Polysilicon Oxidation

    5. CVD SiO2

  • - 33 -

    (1) CVD Oxide (undoped)

    ()Step Coverage

    APCVD SiH4 + O2 400-450 poor

    LPCVD

    SiH4 + O2 400-450 good

    TEOS

    (Si(OC2H5)4) 650-750 conformal

    SiH4 + 2N2O 750-850 conformal

    SiCl2H2 + 2N2O 850-900 conformal

    PECVDSiH4 + O2 200-350 good

    SiH4 + N2O 200-350 good

    (2) Typical CVD Oxide

    * T: tensile, C: compressive

    (3) Step Coverage of As-Deposited CVD Oxide Films

  • - 34 -

    Sticking Coefficient Step coverage can also be improved by changing

  • - 35 -

    the slope of the walls.

    But this may result in an area penalty. Different lines show time evolution of the profile as simulated by the deposition and etch simulator

    SPEEDIE.

    Sticking Coefficient

    (i) TEOS Oxide, LPCVD, 650-850,

    rapid surface migration

  • - 36 -

    (ii) SiH4 Oxide, PECVD, 350-400,

    low pressure, no surface migration

    (iii) SiH4 Oxide, APCVD, 400-450

    high pressure, no surface migration

    (4) Doped Oxide (Reflow glass)

  • - 37 -

    Reflow glass (PSG, BPSG) Reflow glass metal poly/diffusion isolation thermal oxide

    CVD oxide/nitride , sharp step

    re-entrant angle metal shorting

    Reflow glass P, B ,

    (1700) (1000

    ), reflow smooth step

    Reflow glass - Smooth surface topology

  • - 38 -

    - Gettering and diffusion barrier to Na+ ions,

    moisture

    - Diffusion barrier to moisture

    PSG (PhosphoSilicate Glass)

    SiO2 P2O5 binary glass

    ()

    APCVD, PECVD SiH4 + 6O2 + 4PH3 ->

    SiO2 + 2P2O5 + 8H2300-500

    P 6 8 wt% P 6 wt% reflow 8 wt% PSG => H2PO4() => metal

    reflow 1000 1100 , 10 30 , H2O, N2, O2 Step angle: 25 - 55

    BPSG (BoroPhosphoSilicate Glass)

  • - 39 -

    : SiO2, P2O5, B2O3 ternary glass Chemistry : Si(OC2H5)4 + O3/O2 + B(OC2H5)3 +

    PO(OC2H5)3) SiO2 (B,P) + H2O + CO2

    Void-free gap filling with reflow (>800 )

    -

    High Wet Etch Rate (100:1 HF : 200 /min) Liner SiN consume Low Temp.(

    SiO2 + 2P2O5 + 2B2O3 + 14H2300-500

    B, P B: 5 wt%, P: 5wt% H2PO4()

    BPSG crystal

    reflow 800 - 900 , 30 - 60 min., H2O, N2

  • - 40 -

  • - 41 -

    6. CVD Si3N4

    (1) CVD Nitride

    ()

    LPCVDSiCl2H2 + NH3 650-750

    LOCOS, MNOSSiH4 + NH3 700-900

    PECVDSiH4 + NH3 200-350

    PassivationSiH4 + N2 200-350

    (2) CVD Nitride

    Good barrier to diffusion of Na, H2O : scratch (r = 6 - 9, 4.2 for CVD Oxide). , ILD

    high stress of film: 2000 crack LPCVD nitride: 1.2 - 1.8 x1010 dyne/cm2 (tensile)

    PECVD nitride: 1- 8 x109 dyne/cm2 (compressive)

    LPCVD nitride: 8 wt%

    PECVD nitride: 18 - 22 wt% with use of NH3 * MOS ,

    nitride ,

    nitride H2 passivation

    LPCVD nitride is stoichiometric and a good barrier against oxidation and sodium diffusion.

    PECVD nitride contains a large amount of hydrogen and can be etched with HF.

  • - 42 -

    7. CVD Oxynitride and SIPOS

    (1) Oxynitride

    - Source gas: SiH4 + N2O + NH3- Good barrier to moisture and Na

    - Good for Passivation

    (2) SIPOS (Semi-insulating polycrystalline silicon)

    - silicon-rich oxide film (SiOx: 0.48 < x < 2)

    - good passivation layer for high field transistor

  • - 43 -

    8. HDP CVD (High Density Plasma CVD)

    PE-CVD ICP type high density plasma source

    Plasma density Ion density > 1% (std PE-CVD < 0.01%)

    Mean free path Back bias depo & sputtering ratio - Better Mechanical strength than PE-CVD - High Quality SiO2 - Dopant control (ex. PSG, FSG)

    - Plasma damage

    - STI, ILD, IMD filling

  • - 44 -

    Inductive Coupled Plasma

  • - 45 -

    HDP CVD - continued Chemistry : SiH4 + 2O2 + Ar(or He) SiO2 + 2H2O+ Ar(or He)

    Stress: -1.5E9 dyne/cm2(STI, ILD), -1.0E9 dyne/cm2 (IMD) Wet Etch Rate : 50/min @ 100:1 HF Bias Power : Sputter rate , wafer temp , Plasma damage

    point ; DS ratio (D/R, Sputter rate)

    D/S ratio profile

    *

  • - 46 -

  • - 47 -

    9.

    bake process .

    SOG polymide .

    (1) SOG (Spin-On-Glass)

    (a) SOG

    - Siloxane or Silicate

    - Alcohol-based solvent (, )

    - binder {methyl(CH3) or phenyl(C6H5)}:

    crack

    - (P2O5): gettering, crack

    (b)

    - ILD without no void -

    - -

    - - no

    (c)

    - Out-gassing : VIA contact

    (SOG )

    - high tensile stress: crack

    - metal, resist layer

  • - 48 -

    (d) SOG

    Dispense & Spin

    - , /

    Cure

    - bake (150-250, 1-15 min) + bake

    (400-425, 30-60 min)

    - Solvent, moisture silanol (SiOH) group

    polymerization SiO2 =>

    mass loss shrink => tensile

    stress

    (e) SOG Process Integration

    - SOG sandwich-type: ILD1/SOG/ILD2- SOG crack

    - SOG ILD2 metal/resist

  • - 49 -

    (i) SOG Etchback

    : no poisoned VIA

    : complex process, thick ILD1 film

  • - 50 -

    (ii) SOG Non-etchback process

    - Process flow

    Metal 1 deposition/ pattern/ etching/ PR strip

    ILD1 deposition: 2000 oxynitride

    Spin 1: 2200 SOG

    Spin 2: 2200 SOG

    SOG Cure 1: 425, 60 min

    ILD2 deposition: 4000 LTO, 425

    VIA pattern/ etching/ PR strip

    SOG Cure 2: 425, 60 min, O2 Metal 2 deposition/ pattern/ etching/ PR strip

    - :

    : poisoned VIA

  • - 51 -

    10. Atomic Layer Deposition (ALD)

    CVD Step Coverage ( Thin Layer)

    CVD Chamber Volume ALD Hardware , Traveling Wave Shower Head Type

    ALD Source Thermal ALD PE ALD (Plasma Enhanced ALD)

    Throughput , Pseudo-ALD

  • - 52 -

  • - 53 -

    ALD SiO2- Si O source film

    - : , step coverage ,

    - : High wet etch rate, low dep. Rate

    - : Oxide spacer, sacrificial oxide