Report - Introduction to VHDL Registers File & ALU · 8 16-bit registers: r0, r1, r2, r3, r4, r5, r6, r7 Read operation: the register file gets rd_index1 and rd_index2 to deliver the corresponding

Please pass captcha verification before submit form