Report - AXI4-Stream Verification IP v1 - Xilinx · AXI4-Stream Verification IP v1.0 ... • SystemVerilog class-based API ... Table 2-3 lists the interface signals for the AXI4-Stream VIP

Please pass captcha verification before submit form