Report - Avoiding Asssign Statement in Verilog Netlist

Please pass captcha verification before submit form