enabling advanced chip manufacturing with new materials

35
ASM International Analyst and Investor Technology Seminar Semicon West July 9, 2014 ENABLING ADVANCED CHIP MANUFACTURING WITH NEW MATERIALS

Transcript of enabling advanced chip manufacturing with new materials

Page 1: enabling advanced chip manufacturing with new materials

ASM InternationalAnalyst and Investor Technology Seminar Semicon West July 9, 2014

ENABLING ADVANCED CHIP MANUFACTURING WITH NEW MATERIALS

Page 2: enabling advanced chip manufacturing with new materials

| 2

SAFE HARBOR STATEMENTS

Safe Harbor Statement under the U.S. Private Securities Litigation Reform Act of 1995: All

matters discussed in this business and strategy update, except for any historical data, are

forward-looking statements. Forward-looking statements involve risks and uncertainties that

could cause actual results to differ materially from those in the forward-looking statements.

These include, but are not limited to, economic conditions and trends in the semiconductor

industry generally and the timing of the industry cycles specifically, currency fluctuations,

corporate transactions, financing and liquidity matters, the success of restructurings, the timing

of significant orders, market acceptance of new products, competitive factors, litigation involving

intellectual property, shareholder and other issues, commercial and economic disruption due to

natural disasters, terrorist activity, armed conflict or political instability, epidemics and other

risks indicated in the Company's filings from time to time with the U.S. Securities and Exchange

Commission, including, but not limited to, the Company’s reports on Form 20-F and Form 6-K.

The company assumes no obligation to update or revise any forward-looking statements to

reflect future developments or circumstances.

Page 3: enabling advanced chip manufacturing with new materials

| 3

OUTLINE

› New Materials: Moore’s law enablers

› ALD as enabler of new materials

• What is Atomic Layer Deposition (ALD)?

• Key strengths of ALD

› ASM and ALD

› ASM Products and selected applications

› Summary and Conclusions

Page 4: enabling advanced chip manufacturing with new materials

| 4

OUTLINE

› New Materials: Moore’s law enablers

› ALD as enabler of new materials

• What is Atomic Layer Deposition (ALD)?

• Key strengths of ALD

› ASM and ALD

› ASM Products and selected applications

› Summary and Conclusions

Page 5: enabling advanced chip manufacturing with new materials

| 5

SCALING IS INCREASINGLY ENABLED

BY NEW MATERIALS AND 3D TECHNOLOGIES

Scaling enabled by Litho

Scaling enabled by Materials

Scaling enabled by 3D

1995 2000 2005 2010 20151990 20252020

Strained Si

High-k

3D Memory

IEDM 2002

IEDM 2003

IEDM 2007

3D SIC

Chipworks 2012

Confidential and Proprietary Information

Double / QuadPatterning

Low-k

FinFET

GAA

High-mobility channel materials

Page 6: enabling advanced chip manufacturing with new materials

| 6

INCREASING INTRODUCTION RATE OF NEW MATERIALS

1960 1970 1980 1990 2000 2010

SOI

Cu

SiOF

SiGe

NiSi

Si(O)N

W

Ti/TiN

(B)PSG

Si,epi

SiO,N

Al-Cu

SOP

SiOC

AlO

Hf(Si)O

Ta/TaN

TaO

ZrO

LaO

SiC

Cu

SiOF

CoSi

Si(O)N

W

Ti/TiN

(B)PSG

Si,epi

SiO,N

Al-Cu

SOP

SiOC

Ta/TaN

TaO

TiSi

Si(O)N

WSi, PtSi

Ti/TiN

(B)PSG

Si,epi

SiO,N

Al-Cu

Si(O)N

WSi, MoSi

TiW

(B)PSG

Si,epi

SiO,N

Al-Cu

(B)PSG

Si,epi

SiO, SiN

Al-Cu

Si

SiO, SiN

Al-Cu

Starting Mat’l

FEOL

BEOL

SOI

Cu

SiOF

SiGe

NiSi

Si(O)N

W

Ti/TiN

(B)PSG

Si,epi

SiO,N

Al-Cu

SOP

SiOC

AlO

Hf(Si)O

Ta/TaN

TaO

ZrO

LaO

SiC

SiP

SiCP

CoWP

Co

2014

Porous SiOC

Page 7: enabling advanced chip manufacturing with new materials

| 7

NEW MATERIALS AND PROCESSES: MOORE’S LAW ENABLERS

Higher Capacitance, Lower Leakage

High-k and

Metal Gates

DRAM, RF,

decoupling

capacitors

Less Cross Talk, Faster Interconnect

(Porous)

Low-k Materials

Improved

Metals

Higher Mobility, Lower Resistance

Strain and new Channel Materials

New metal contacts

Smaller Feature Sizes

Sub-Rayleigh limit

patterning using

SDDP

Page 8: enabling advanced chip manufacturing with new materials

| 8

SEMICONDUCTOR GROWTH DRIVERS

SEMICONDUCTOR SALES BY KEY APPLICATION

Semiconductor growth drivers are mobile devicesPerformance per Watt becoming key metric factor in chip designDriving further innovation in materials

0

20

40

60

80

100

120

140

160

2011 2012 2013 2014 2015 2016 2017 2018

(USD billion)

Premium Smartphone

Utility/Basic Smartphone

Traditional Mobile Phone

Media Tablet, Utility/Basic

Media Tablet, Premium

PC, Ultramobile

PC, Notebook

PC, Desk-based

Source: Gartner, April 2014

CAGR‘13-’18

-7%

-20%

-8%

+22%

+9%

+18%

+14%

+6%

Page 9: enabling advanced chip manufacturing with new materials

| 9

OUTLINE

› New Materials: Moore’s law enablers

› ALD as enabler of new materials

• What is Atomic Layer Deposition (ALD)?

• Key strengths of ALD

› ASM and ALD

› ASM Products and selected applications

› Summary and Conclusions

Page 10: enabling advanced chip manufacturing with new materials

| 10

ALD AS AN ENABLER OF NEW MATERIALS

› New materials and 3D applications require more precise and

controlled thin film deposition

› Compared to conventional deposition techniques ALD offers

superior:

� Uniformity

� Conformality

� Interface control

Page 11: enabling advanced chip manufacturing with new materials

| 11

WHAT IS ATOMIC LAYER DEPOSITION (ALD)?

Step 1: (Metal) Precursor Chemi-sorption Step 2: Purge

Step 3: Reaction to Oxide/Nitride

with O2, H2O, NH3 co-reactant

Step 4: Purge

and repeat…

Page 12: enabling advanced chip manufacturing with new materials

| 12

KEY STRENGTHS OF ALD RELATIVE TO

CONVENTIONAL DEPOSITION

Step Coverage

SEM’s Courtesy of Philips Research Labs

TiN

Uniformity

Max

Min

<1% 3σ

<0.7% M-m

29 nm SiO2

Interface Control

Atomically

engineered

interfaces to

optimize leakage

current, reliability

and work-functions

Composition Control

Excellent

composition

control for

ternary alloys;

all ALD

solution

demonstrated

for GSTRitala, E/Pcos 2012;

Page 13: enabling advanced chip manufacturing with new materials

| 13

OUTLINE

› New Materials: Moore’s law enablers

› ALD as enabler of new materials

• What is Atomic Layer Deposition (ALD)?

• Key strengths of ALD

› ASM and ALD

› ASM Products and selected applications

› Summary and Conclusions

Page 14: enabling advanced chip manufacturing with new materials

| 14

ASM AND ALD

› ASM is a leading player in the ALD market

� ASM introduced ALD into the semiconductor market in 1999

� Developing ALD technology since then

� Strong IP position

� Number 1 in high-k gate and strong position in spacer defined double patterning (SDDP)

› The ALD market offers strong growth opportunities

� High-k metal gate

� Spacer defined double patterning

� Other emerging applications

Page 15: enabling advanced chip manufacturing with new materials

| 15

Process Integration

ASM NEW MATERIALS DEVELOPMENT STRATEGY

N+≥3 New Materials Screening

N+2,3

Product DevelopmentN+1,2

ASM MicroChemistry, Finland

• Basic materials R&D

• Chemical synthesis

• Pre-cursor screening

ASMB @IMEC, Belgium

• Process development

• Integration

• Device characterization

Product Line Site

• Engineering

• Product development

• Product marketing

Custo

mer

join

t develo

pm

ent

Page 16: enabling advanced chip manufacturing with new materials

| 16

CRITICAL ALD SUPPLY CHAIN COMPONENTS

Fundamental

Capability

Process

PerformanceProductivity

Integrated

Process

Final Product

Capability

Pre-cursors

Pre-cursor

Delivery, Valves and Vessels

Reactors

Fab facilities,pumps & abatement

High productivity tools

Page 17: enabling advanced chip manufacturing with new materials

| 17

EXTENDIBILITY OF HAFNIUM BASED OXIDES

chipworks chipworks

45nm HK first RPMG

Planar FET 32 nm HK last RPMG

Planar FET 28nm HK first RPMG

Planar FET

22nm HK last RPMG

FinFET

chipworks chipworks

Fra

ctio

n o

f H

K A

do

pte

rs

Top: TEM’s reproduced with permission of ChipworksBottom: ASM estimates 2012

Page 18: enabling advanced chip manufacturing with new materials

| 18

OUTLINE

› New Materials: Moore’s law enablers

› ALD as enabler of new materials

• What is Atomic Layer Deposition (ALD)?

• Key strengths of ALD

› ASM and ALD

› ASM products and selected applications

› Summary and Conclusions

Page 19: enabling advanced chip manufacturing with new materials

| 19

FINFET CHALLENGES:

ALD ENABLES FURTHER SCALING IN 3D

• Materials properties and channel length must be uniform over fin height

• Conformal coverage required

• � ALD technology has become critical for HK and MG layers

Page 20: enabling advanced chip manufacturing with new materials

| 20

ASM PRODUCTS

ALD

20

EmerALD® XP

Pulsar® XP

›Pulsar® XP

� ALD for high-k

� Cross-flow reactor

� Solid source delivery system

›EmerALD® XP

� ALD for metal gates

� Showerhead reactor

Page 21: enabling advanced chip manufacturing with new materials

| 21

WHAT IS PLASMA ENHANCED ATOMIC LAYER DEPOSITION (PEALD)?

Step 1: (Metal) Precursor Chemi-sorption Step 2: Purge

Step 4: Purge

and repeat…

Plasma

Step 3: Reaction to Oxide/Nitride

or metal with O,N,H Radicals

Page 22: enabling advanced chip manufacturing with new materials

| 22

ALD IS ENABLING SUB-RAYLEIGH LIMIT LITHOGRAPHY WITH SPACER DEFINED DOUBLE PATTERNING

Litho-formed Template

ALD SiO2 Spacer

Anisotropic Etch

Pitch: P

Pitch: ½ P

90nm

45nm

PEALD SiO2 on resist

Spacer Defined Double Patterning with PEALD in production since 3x nm DRAM and Flash

Key enablers brought by PEALD• Uniformity: CD control

• Low temperatures (50C!!)

• Good step coverage

• Dense films

• Extendible to other materials

Page 23: enabling advanced chip manufacturing with new materials

| 23

LINERS AND SPACERS FOR 15 AND 10 nm

FinFET’S

PEALD SiO2 and Si3N4 permanent spacers

� Low temperature (300 – 500 °C)

� High conformality

� High quality (low WER, low leakage current)

40nm pitch

PEALD SiO PEALD SiN

Page 24: enabling advanced chip manufacturing with new materials

| 24

ASM PRODUCTS

PEALD AND PECVD

›XP8

� High productivity single wafer tool for both

PEALD and PECVD applications

� Accommodates up to 8 chambers for PEALD

or PECVD

� PEALD and PECVD can be integrated on the

same platform

24

RC1

RC2

RC4

RC3

RC5

RC6

RC7

RC8

Eagle® XP8

Page 25: enabling advanced chip manufacturing with new materials

| 2525

ASM PRODUCTS

Strong IP protected portfolio

ALD and PEALD

• ALD solution (Hafnium oxide)

• PEALD Low temp dielectrics

Market Requirements: 22nm→14nm →10nm and beyond

Process Application

Diffusion Furnace

• Unique “dual reactor dual boat” design

Epitaxy

• Epi films for Analog /power devices and for nMOS & pMOStransistors (logic & memory)

PECVD

• Extreme low-k films

• Smallest footprint per reactor

• Low Cost of Ownership

• Thick Epi layers for power devices

• Strained & relaxed Epi films for planar & FinFET devices

• Advanced intermetal dielectric film

ASM Relative Positioning

� #1 in the served ALD market

� Qualified by nearly all Logic and Foundry manufacturers

� Strengthening inroads with PEALD

� Leading IC manufacturers are customers

� ASM one of only two top vendors

� ASM one of only two top vendors in PE-CVD low-k

• ALD key for High-k Metal Gate technology

• 3D FinFET, GAA require more conformal layers, strength of ALD

• SDDP-application of PE-ALD

• Traditional materials, such as SiO2, Si3N4, and others are transitioning to ALD and PEALD

Page 26: enabling advanced chip manufacturing with new materials

| 26

ASM PRODUCTS

ADVANCED EPITAXY

26

Intrepid® XP

› Advanced transistors enabled with Intrepid® XP� Strained epitaxial films for planar logic devices

� Relaxed & strained epitaxy for Si, SiGe & Ge based FinFETs

through 7nm• Channel (SRBs), S/D stressor, contact & passivation cap layer

› Integrated, low thermal budget pre-clean module � High quality surface with low interface contamination

› High productivity & lowest CoO

� Platform capability with 4 process modules• Flexible configuration with pre-clean (3+1& 2+2)

� Differentiated film growth processes enabling devices with

high drive currents & best-in-class productivity

� High throughput with pulsed Epi processes & high doping

levels

Page 27: enabling advanced chip manufacturing with new materials

| 27

EPI LAYERS FOR POWER DEVICES

MULTI-LAYER EPI TECHNOLOGY

› Power devices require multiple & thick Epitaxial films to withstand high breakdown voltages (600V ~ 800V)

› Breakdown voltage of the device dictates number of Epi layers needed

› In HVM by several power device manufacturers enabled by:

27

N+ Drain

1st layer Epi

PR mask

B implant B implant

N+ Drain

1st layer Epi

2nd layer Epi

N+ Drain

1st layer Epi

2nd layer Epi

3rd layer Epi

B implant B implant

PR mask

4th layer EpiMultiple epi steps

ASM Product: Epsilon® 3200

Page 28: enabling advanced chip manufacturing with new materials

| 2828

ASM PRODUCTS

Strong IP protected portfolio

ALD and PEALD

• ALD solution (Hafnium oxide)

• PEALD Low temp dielectrics

Market Requirements: 22nm→14nm →10nm and beyond

Process Application

Diffusion Furnace

• Unique “dual reactor dual boat” design

Epitaxy

PECVD

• Extreme low-k films

• Smallest footprint per reactor

• Low Cost of Ownership

• Advanced intermetal dielectric film

ASM Relative Positioning

� #1 in the served ALD market

� Qualified by nearly all Logic and Foundry manufacturers

� Strengthening inroads with PEALD

� Leading IC manufacturers are customers

� ASM one of only two top vendors

� ASM one of only two top vendors in PE-CVD low-k

• ALD key for High-k Metal Gate

technology

• 3D FinFET, GAA require more conformal layers, strength of ALD

• SDDP-application of PE-ALD

• Traditional materials, such as SiO2, Si3N4, and others are transitioning to ALD and PEALD

• Epi films for Analog /power devices and for nMOS & pMOStransistors (logic & memory)

• Thick Epi layers for power devices

• Strained & relaxed Epi films for planar & FinFET devices

Page 29: enabling advanced chip manufacturing with new materials

| 29

EXTENDIBILITY OF ASM’S LOW-K SOLUTION

1.6

1.8

2

2.2

2.4

2.6

2.8

3

3.2

90~45nm 32nm 22nm 15nm 11nm 8nm

ILD

k-v

alu

e Aurora® low-k (k=2.6)

Aurora® ELK (2.3-2.5)

UV-assisted process k<2.0?

Aurora® ELK (2.0)

Po

re S

ea

l / R

est

ora

tio

n

Aurora® low-k (k=2.8~3.1)

29

Page 30: enabling advanced chip manufacturing with new materials

| 3030

ASM PRODUCTS

Strong IP protected portfolio

ALD and PEALD

• ALD solution (Hafnium oxide)

• PEALD Low temp dielectrics

Market Requirements: 22nm→14nm →10nm and beyond

Process Application

Diffusion Furnace

• Unique “dual reactor dual boat” design

Epitaxy

PECVD

• Extreme low-k films

• Smallest footprint per reactor

• Low Cost of Ownership

• Advanced intermetal dielectric film

ASM Relative Positioning

� #1 in the served ALD market

� Qualified by nearly all Logic and Foundry manufacturers

� Strengthening inroads with PEALD

� Leading IC manufacturers are customers

� ASM one of only two top vendors

� ASM one of only two top vendors in PE-CVD low-k

• ALD key for High-k Metal Gate technology

• 3D FinFET, GAA require more conformal layers, strength of ALD

• SDDP-application of PE-ALD

• Traditional materials, such as SiO2, Si3N4, and others are transitioning to ALD and PEALD

• Epi films for Analog /power devices and for nMOS & pMOStransistors (logic & memory)

• Thick Epi layers for power devices

• Strained & relaxed Epi films for planar & FinFET devices

Page 31: enabling advanced chip manufacturing with new materials

| 31

PRODUCTIVITY AND INNOVATION

Innovation: novel processes› Example 1: Novel hard mask

materials – e.g. for fabrication of

high aspect ratio structures in

silicon (with IMEC)

› Example 2: Low temp reactive

curing of dielectric film (WER 5A/s)

AB

Productivity› One A412 PLUS = > 80

kwpm (example: 2.5 hr

process, 95% available, 150

product wafer load)

› Dual boat/dual reactor system

› Clustering between reactors

possible – only vertical

furnace in the market with this

capability

24 hrs

Wa

fers

Ou

t in

on

e D

ay

04/11 0:00 04/12 0:00

LogisticsWHR Load/Unload

Processing (2.5 hr)

Tube A

Tube B

Tube A

Tube B

150

300

900

600

1200

1500

1800

2100

24 hrs

Lo

gg

ing

, re

al d

ata

:W

afe

rs O

ut

in o

ne

Da

y

04/11 0:00 04/12 0:00

LogisticsWHR Load/Unload

Processing (2.5 hr)

Tube A

Tube B

Tube A

Tube B

150

300

900

600

1200

1500

1800

2100

04/11 0:00 04/12 0:00

LogisticsWHR Load/Unload

Processing (2.5 hr)

Tube A

Tube B

Tube A

Tube B

150

300

900

600

1200

1500

1800

2100

• No defects• No pattern collapse• No line wiggling

Flat and low WER of dielectric film afer 1 hr of curing at 300°C

Page 32: enabling advanced chip manufacturing with new materials

| 32

0.0

5.0

10.0

15.0

20.0

25.0

30.0

35.0

40.0

45.0

2012 2013 2014 2015 2016 2017 2018

$B

Wafer Fab Equipment by Node7 nm and below

10 nm

14 nm

22 nm

32 nm

45 nm

65nm & above

32

WAFER FAB EQUIPMENT FORECAST

Share of 22nm and 14nm of total Equipment spending increasing in 2014-2015

Gartner April, 2014

Key customer ALD and PEALD penetrations in 22nm and 14nm: market segments with high expected growth

Page 33: enabling advanced chip manufacturing with new materials

| 33

OUTLINE

› New Materials: Moore’s law enablers

› ALD as enabler of new materials

• What is Atomic Layer Deposition (ALD)?

• Key strengths of ALD

› ASM and ALD

› ASM Products and selected applications

› Summary and Conclusions

Page 34: enabling advanced chip manufacturing with new materials

| 34

SUMMARY AND CONCLUSIONS

› Scaling is increasingly enabled by new materials and 3D technologies

› ALD and PEALD enable new materials and 3D

› The ALD market offers strong growth opportunities

› Intrepid® XP, system with 4 Epi reactors, targeting strained Epi layers

for CMOS, and Epsilon® 3200 for analog/power

› ASM’s low-k technology continues to be extendible

› ASM’s Vertical Furnace is providing high productivity, in combination

with continued process innovation

Page 35: enabling advanced chip manufacturing with new materials

| 35