WORKSHOP SUMMARY - EUV Litho 2010.pdf · Workshop Summary: Thursday, June 24, 2010 • 8:10 AM...

26
2010 International Workshop on EUV Lithography Vivek Bakshi June 24, 2010 Makena Beach Golf Resort Makena, Maui, Hawaii WORKSHOP SUMMARY Please refer to individual presentations for details

Transcript of WORKSHOP SUMMARY - EUV Litho 2010.pdf · Workshop Summary: Thursday, June 24, 2010 • 8:10 AM...

Page 1: WORKSHOP SUMMARY - EUV Litho 2010.pdf · Workshop Summary: Thursday, June 24, 2010 • 8:10 AM Session 8: Keynote Session– 2 • EUVL Development in Japan (Keynote-3) Iwao Nishiyama,

2010 International Workshop on EUV Lithography

Vivek Bakshi

June 24, 2010

Makena Beach Golf Resort

Makena, Maui, Hawaii

WORKSHOP SUMMARYPlease refer to individual presentations for details

Page 2: WORKSHOP SUMMARY - EUV Litho 2010.pdf · Workshop Summary: Thursday, June 24, 2010 • 8:10 AM Session 8: Keynote Session– 2 • EUVL Development in Japan (Keynote-3) Iwao Nishiyama,

Workshop Summary: Wednesday, June 23, 2010• 8:10 AM Session 1: Keynote Session -1• EUV: Status and Challenges Ahead (Keynote-1) Jos Benschop, ASML

– EUV considered as the only cost effective way to extend Moore’s law

– DRAM, NAND and Logic Roadmap expect to use EUV starting 2012 to pattern at 20 nm HP resolution (Based on actual roadmap of all customers)

– EUV is the only feasible litho technology for foundries due to cost and design restrictions

– Source power, resist sensitivity, transmission and stages need to continue to improve to increase the productivity

– Six beta scanner being assembled today (movie) – first system shipped this year and sixth one will be shipped next year

– LPP and DPP technology provided by multiple suppliers are to support beta level scanners

– Mask cleaning challenges – need vacuum compatible technology– CE improvement is preferred way to increase the power – expect to

approach 100 W goal this year– Extendibility of EUV down to below 5 nm is possible– 78% reflectivity ML with 1.8 % CE and 3-5 % CE possible for 6.7 nm

sources

Page 3: WORKSHOP SUMMARY - EUV Litho 2010.pdf · Workshop Summary: Thursday, June 24, 2010 • 8:10 AM Session 8: Keynote Session– 2 • EUVL Development in Japan (Keynote-3) Iwao Nishiyama,

Workshop Summary: Wednesday, June 23, 2010

• EUV Lithography: Approaching Pilot Production (Keynote-2) Obert Wood, GlobalFoundries

– Outlined of benefit of EUVL (return to higher k1, conventional OPC, single exposure, no forbidden pitches and relaxation of restricted design rules)

– At 45 nm node only 5% defects printed and only few among them caused device failure

– Comparison of 193i with EUV at 22 nm node – SRAM Fly cells (0.074 μm2) with nearly 100 % yield

– 16 nm contact level printing o 0.042 μm2 SRAM fly cells demonstrated– EUVL Scanner Tool Status – all advertised specs met except

throughput – NXE 3100 specs can be met, except throughout– Progress on improving mask defectivity (beyond Dec 2007 8 at 53

nm) has been very slow – however last year Intel’s mask group has succeeded in fabricating a zero defect by repairing absorber defects

– Need to pay attention to how power at IF is estimated– Non CA resist can go up to 15 nm resolution with high dosage and thin

resist layer– List of remaining challenges (beside source): mask pellicles, mask

defect mitigation, Mask flatness, LER (need to know what LER is acceptable in reality – not the ITRS specs)

Page 4: WORKSHOP SUMMARY - EUV Litho 2010.pdf · Workshop Summary: Thursday, June 24, 2010 • 8:10 AM Session 8: Keynote Session– 2 • EUVL Development in Japan (Keynote-3) Iwao Nishiyama,

Workshop Summary: Wednesday, June 23, 2010

• 9: 20 AM Award Ceremony

• Student Awards

• Outstanding Contribution Award– ASML (Received by Dr. Jos Benschop)

• Lifetime Achievement Award– Dr. Obert Wood

Page 5: WORKSHOP SUMMARY - EUV Litho 2010.pdf · Workshop Summary: Thursday, June 24, 2010 • 8:10 AM Session 8: Keynote Session– 2 • EUVL Development in Japan (Keynote-3) Iwao Nishiyama,

Workshop Summary: Wednesday, June 23, 2010

• 9:55 AM Session 2: High Power EUV Sources• Future of High Power EUV Sources (Source-10, Invited)

Katsuhiko Wakana, Gigaphoton– GL200E will be delivered to scanner manufacturer in

Mid 2011• 2.5 % CE and 7.9 kW laser operation, 104 W• 100 K Hz, 75% duty cycle, 2.5 mJ pulse energy at IF (250 W), 1 year

chamber life• 11x electrical power than ArF immersion double patterning system

– Achieved 104 W at IF • Will increase lifetime via addressing thermal load

Page 6: WORKSHOP SUMMARY - EUV Litho 2010.pdf · Workshop Summary: Thursday, June 24, 2010 • 8:10 AM Session 8: Keynote Session– 2 • EUVL Development in Japan (Keynote-3) Iwao Nishiyama,

Workshop Summary: Wednesday, June 23, 2010

• CO2 Laser-produced Tin Plasmas as EUVL Sources (Source-3) Padraig Dunne, University College Dublin

– Pure tin slab targets– CE of 1.3 -1.42 % and via elimination of laser reflection and tail end of

laser pulse we can increase CE from 2.5 – 5%– Power density of laser 1E11, 45 eV electron temperature

• Improving Efficiency of CO2 Laser System for LPP Sn EUV Source (Source-1, Invited), Krzysztof M. Nowak, EUVA

– 13 kW, 20 ns, M2<1.2, wall plug efficiency < 5%– 21.2 kW beam M2 1.57 from simulation and verified – >40% extraction efficiency of pre-amplifies predicted– Can achieve 20 kW operation at 100% duty cycle – Plans to present back-reflection of CO2 beam

Page 7: WORKSHOP SUMMARY - EUV Litho 2010.pdf · Workshop Summary: Thursday, June 24, 2010 • 8:10 AM Session 8: Keynote Session– 2 • EUVL Development in Japan (Keynote-3) Iwao Nishiyama,

Workshop Summary: Wednesday, June 23, 2010

• Modelling of High Intensity EUV Light Sources Based on Laser & Discharge Produced Plasmas (Source-6)S. V. Zakharov, NANO-UV

– Higher ionization stages of Xe (80 eV), obtained via high energy electrons, can deliver 2 % CE as compared to 0.5 % from Xe+10 (30 eV)

– Modeling results of DPP and LPP– Next Generation Source Design presented

• Status and Future of High Power EUV Source Technology(Source-11), Vivek Bakshi, EUVL Litho, Inc.

– Continued R&D needed to address remaining technical challenges and for the development of next generation EUV sources

Page 8: WORKSHOP SUMMARY - EUV Litho 2010.pdf · Workshop Summary: Thursday, June 24, 2010 • 8:10 AM Session 8: Keynote Session– 2 • EUVL Development in Japan (Keynote-3) Iwao Nishiyama,

Workshop Summary: Wednesday, June 23, 2010

• 11:20 AM Session 3: Next Generation EUV Sources• Laser-produced terbium & gadolinium Plasmas as EUVL

Sources at 6.5 – 6.7 nm (Source-7, Invited)Padraig Dunne, University College Dublin

– With increasing Z, UTA shifts to lower wavelength– Ions with open 4d contributions contribute most to UTA emission– Hottest plasma is brightest – Need 10-20 time power density for Z =64-65 than Z=50– ML Reflectivity at 6.5-6.7 nm is lower than 13.5 nm– 8.8 nm may be a better choice of wavelength

• Atomic Processes in Plasma EUV Sources at λ=6.5nm (Source-2) Akira Sasaki, Japan Atomic Energy Agency– Calculation shows EUV sources based on 4d-4f + 4p-4d transition

array can be scalable to l=65Å, because of the similar atomic structure of 4d open shell ions.

– As expected from Planck’s law, half the emission wavelength results in 10 times increase of pumping power from Sn sources.

Page 9: WORKSHOP SUMMARY - EUV Litho 2010.pdf · Workshop Summary: Thursday, June 24, 2010 • 8:10 AM Session 8: Keynote Session– 2 • EUVL Development in Japan (Keynote-3) Iwao Nishiyama,

Workshop Summary: Wednesday, June 23, 2010

• 1:00 PM Session 4: EUV Sources for Metrology• EUV Source Development for AIMS and Blank Inspection (Source-4)

Deborah Gustafson, Energetiq Technology– Size < 400 μ, P (max) = 25.7 W, Brightness of ~ 10 W/mm2 sr– List of applications for metrology sources– Possible (on roadmap) to achieve 100 W/mm2 sr with R&D

• High Brightness EUV Light Source System Development for Actinic Mask Metrology (Source-5), Sergey V. Zakharov, NANO-UV

– Hydra-ABI- 0.6 W with etendue of 2E-2 mm2-sr, Brightness of 30 W mm-2sr-1– Hydra-AIMS- 0.79 W with etendue of 2.4E-2 mm2-sr, Brightness of 33 W mm-2sr-1– Hydra-APMI- 2.4 W with etendue of 2.0E-3 mm2-sr, Brightness of 1200 W mm-2sr-1

• High Brightness EUV Source Using a DC High Voltage Generator (Source-8) John Madey, University of Hawai’i at Manoa

– Projected power of 1.5 mW and brightness of 4E8 W mm-2 sr-1• Status of EUV Sources for Mask Metrology (Source-12)

Vivek Bakshi, EUV Litho, Inc.

Page 10: WORKSHOP SUMMARY - EUV Litho 2010.pdf · Workshop Summary: Thursday, June 24, 2010 • 8:10 AM Session 8: Keynote Session– 2 • EUVL Development in Japan (Keynote-3) Iwao Nishiyama,

Workshop Summary: Wednesday, June 23, 2010

• 2:00 PM Session 5: EUV Optics • Virtual Sputter Chamber - Multiphysics Simulation of

Magnetron Sputter & Deposition of EUV/X-ray Thin Films (Optics-1, Invited), Chris Walton, LLNL

– Can simulate polycrystalline films and larger size scales with Kinetic Monte Carlo. Results show grain growth, grooving, and doming.

• Growth, Microstructure and Stress Evolution of Sputtered Thin Films for EUV/X-ray Applications (Optics-2, Invited), Regina Soufli, LLNL

– Developed highly reflective B4C and SiC coatings for the LCLS x-ray mirror systems that preserve the LCLS wavefront

– Damage experiments are being performed on B4C and SiC thin films and bulk samples

Page 11: WORKSHOP SUMMARY - EUV Litho 2010.pdf · Workshop Summary: Thursday, June 24, 2010 • 8:10 AM Session 8: Keynote Session– 2 • EUVL Development in Japan (Keynote-3) Iwao Nishiyama,

Workshop Summary: Wednesday, June 23, 2010

• 3:00 PM Session 6: ContaminationNovel Ozone-based Cleaning Technique for EUV Optics Carbon Contamination (Contamination-2, Invited), Iwao Nishiyama, SELETE

– Gas assisted ozone cleaning with the rate of 90 nm / min– Recovered reflectivity for Si capped ML but ~2% down for Ru-alloy

cap• Analysis of Carbon Contamination on EUV Mask using CSM / ICS (Mask-

1), Jae Uk Lee, Hanyang University– 12.5 nm node actinic CD measurements– CD repeatability < 1 A (3 σ)

• Carbon Contamination of EUV Masks and its Effect on Imaging (Contamination- 4), Greg Denbeaux, University at Albany

– Use carbon containing gases and EUV photons (Energetiq source) to generate carbon contamination

– Carbon contamination causes increased dose requirements– Mask roughness causes increase in LER

Page 12: WORKSHOP SUMMARY - EUV Litho 2010.pdf · Workshop Summary: Thursday, June 24, 2010 • 8:10 AM Session 8: Keynote Session– 2 • EUVL Development in Japan (Keynote-3) Iwao Nishiyama,

Workshop Summary: Wednesday, June 23, 2010

• Outgassing and Extreme-ultraviolet Photochemistry of Photoresist and Underlayer Materials (Contaminaion-1) Grace H. Ho, National University of Kaohsiung

– New EUV photochemistry mechanism proposed.

• Nanoparticle Contamination Control and Metrology for the Extreme Ultraviolet Lithography (EUVL) Systems(Contamination-3)David Y.H. Pui, University of Minnesota– Face down mounting and thermopherasis helped in reduce

contamination– Standard particles (NIST certified) available for

contamination studies

Page 13: WORKSHOP SUMMARY - EUV Litho 2010.pdf · Workshop Summary: Thursday, June 24, 2010 • 8:10 AM Session 8: Keynote Session– 2 • EUVL Development in Japan (Keynote-3) Iwao Nishiyama,

Workshop Summary: Thursday, June 24, 2010

• 8:10 AM Session 8: Keynote Session– 2• EUVL Development in Japan (Keynote-3)

Iwao Nishiyama, SELETE– ASET started development work in 1998– First Proposal of Tin Target by Tomie in EUVL Workshop (2000)– First demonstration of CO2 laser driven LPP – Current source power approaching 100 W– New concepts developed for λ/50 projection optics metrology (point-

diffraction interferometer) visible and at-wavelength– MET Tools: 1986 – NTT (Kinoshita) , 1994-SOERTEC (Oizumi),

2003-HiNA (ASET-Nikon), 2007 SFET(Selete-Canon)– Full field tool- 1997 HIT (ETS), EUV1 (Nikon)– Mask Infrastructure (Actinic inspection, Pattern inspection by 199 nm,

Pattern repair by FIB), Mask defect printability, mask handling, contamination control

– Resist development – CA and molecular resist– Full field exposure using EUV1

Page 14: WORKSHOP SUMMARY - EUV Litho 2010.pdf · Workshop Summary: Thursday, June 24, 2010 • 8:10 AM Session 8: Keynote Session– 2 • EUVL Development in Japan (Keynote-3) Iwao Nishiyama,

Workshop Summary: Thursday, June 24, 2010

• 8:45 AM Session 9: EUVL R&D Status• R&D - USA (R&D-1)

Greg Denbeaux, University of Albany – Resist evaluation at MET tool (SEMATECH and LBNL)

• Plan to move to NA =0.5, resolution of 8 nm to rest resist

– Actinic inspection at LBNL– IF diagnostics and plasma cleaning at UIUC– Resist outgassing facility-NIST– U Albany- Resist and mask contamination

• R&D – Japan (R&D-2) Hiroo Kinoshita, Hyogo University

– Reflectometer and interference lithography at New SUBARU– Carbon contamination rate monitor– Coherent scatterometry microscope and EUV microscope– Transmission grating manufactured for IL to print 25 nm HP– Coherent EUV Scatterometry Microscope (CSM)

Page 15: WORKSHOP SUMMARY - EUV Litho 2010.pdf · Workshop Summary: Thursday, June 24, 2010 • 8:10 AM Session 8: Keynote Session– 2 • EUVL Development in Japan (Keynote-3) Iwao Nishiyama,

Workshop Summary: Thursday, June 24, 2010

• 8:45 AM Session 9: EUVL R&D Status• R&D – Europe (R&D-3)

Padraig Dunne, University College Dublin– Ireland, Switzerland, Italy, France, Germany, The Netherlands, Russia,

Sweden, Czech Rep– UCD – 30 researchers and 12 PhD students (including Dublin

collaboration)– Trend of leveraging EUVL research to explore other applications

• R&D – Taiwan (R&D-4) Chun-Hung Lin, National Cheng Kung University

– Resist Outgassing, photochemistry work on beamline– Fundamental work on EUV photochemistry– Exposure platform with EUVL IL– EUVL related Modeling and simulation– Facility open for collaboration

Page 16: WORKSHOP SUMMARY - EUV Litho 2010.pdf · Workshop Summary: Thursday, June 24, 2010 • 8:10 AM Session 8: Keynote Session– 2 • EUVL Development in Japan (Keynote-3) Iwao Nishiyama,

Workshop Summary: Thursday, June 24, 2010

• R&D – Korea (R&D-5)Jinho Ahn, Hanyang University

– Synchrotron Facilities– Mask Inspection (CSM) tool – uses ellipsometry to measure carbon

contamination system– Mask Cleaning– Pit smoothing deposition– E-beam mask writing– Laser Shock Wave Cleaning– Need more funding from industries and public support for infrastructure

technologies

Page 17: WORKSHOP SUMMARY - EUV Litho 2010.pdf · Workshop Summary: Thursday, June 24, 2010 • 8:10 AM Session 8: Keynote Session– 2 • EUVL Development in Japan (Keynote-3) Iwao Nishiyama,

Workshop Summary: Thursday, June 24, 2010

• 10:15 AM Session 10: EUVL Mask• Development Status of EUVL Blank and Substrate (Mask-

6, Invited) Toshiyuki Uno, Asahi Glass Co. Ltd.– Metrology capable to measure 34 nm SEVD detection– 0 pits at 54 SEVD, 7 pits at 34 nm SEVD – Can now remove “unremovable by ordinary cleaning” from substrate with 0

defects at 56 nm, .02 defects at 36 nm– Mask blank defects reduction - 0.05 cm2 at 54 SEVD (single defect

count) and 0.12 cm2 at 34 nm SEVD– Flatness front 38 nm , backside 48 nm– Developed new Ru film

• Looses only 0.6% reflectivity after cleaning (as compared to 3% from previous Ru films)

• Lesser loss under thermal annealing for new Ru film

– Developed new 193 nm ARC

Page 18: WORKSHOP SUMMARY - EUV Litho 2010.pdf · Workshop Summary: Thursday, June 24, 2010 • 8:10 AM Session 8: Keynote Session– 2 • EUVL Development in Japan (Keynote-3) Iwao Nishiyama,

Workshop Summary: Thursday, June 24, 2010

• Defect Detection and Inspection Unmasked: The current state of EUV mask defects (Mask-2, Invited)Kenneth A. Goldberg, Lawrence Berkeley National Laboratory

– EUV wavelength goes up to 31 ML pairs and is the reason that buried defects are important in EUVL

– Max power for non-actinic inspection needs to stay below 250 mW to reduce damage

– 1 x 70 nm ML bump at 80% can be detected via non-actinic inspection– Pit smoothing model needs experimental feedback– We need 13 x improvement at 30 nm detection capability– At 22 nm 45% blank defects will print on wafer– Embedded ML blank defect repairs are difficult to repair– Commented on potential of actinic inspection technologies – all

best solutions are all EUV

Page 19: WORKSHOP SUMMARY - EUV Litho 2010.pdf · Workshop Summary: Thursday, June 24, 2010 • 8:10 AM Session 8: Keynote Session– 2 • EUVL Development in Japan (Keynote-3) Iwao Nishiyama,

Workshop Summary: Thursday, June 24, 2010

• Study of the Minimum Phase Defect Affecting the Exposure Result (Mask-5, Invited)Hiroo Kinoshita, University of Hyogo

– Roadmap of the mask requirements (known and to be developed solution)– Largest number of defects are pit type defects on the substrate– New process for the fabrication of programmed defects– Experimental results on defect printability:

• Critical dimension of phase defect is less than 100 nm2

Page 20: WORKSHOP SUMMARY - EUV Litho 2010.pdf · Workshop Summary: Thursday, June 24, 2010 • 8:10 AM Session 8: Keynote Session– 2 • EUVL Development in Japan (Keynote-3) Iwao Nishiyama,

Workshop Summary: Thursday, June 24, 2010

• 11:15 AM Session 11: LER• Mask Metrology and Pattern Profile Analysis Using the AIT: Down

to 64 nm (Mask-4), Iacopo Mochi, LBNL– Review of AIT Measurement capabilities

• Stochastic Resist Simulation at EUV (LER-2, Invited)John J. Biafore, Mark D. Smith, KLA-Tencor

– Good agreement between models and experiment for ArF resists– Recommend review paper by Kozawa and Tagawa , JJAP (2010)– Extension of ArF model to EUV via addition of EUV ionization mechanism and scattering of

secondary electrons. – Good fit between theory and experiment for Average CD and LWR for both cases

• The Influence of Photoresist Development on Line Edge Roughness (LER-1, Invited), Chris Mack, Lithoguru.com

– Overview of LER modeling – LER is the ultimate limiter for EUV and 193i– Outline of what is missing in the present models –EUV resist exposure

mechanism, base quencher, development rate uncertainty, other things-speckle- correlate photons, PAG and quencher aggregation

Page 21: WORKSHOP SUMMARY - EUV Litho 2010.pdf · Workshop Summary: Thursday, June 24, 2010 • 8:10 AM Session 8: Keynote Session– 2 • EUVL Development in Japan (Keynote-3) Iwao Nishiyama,

Workshop Summary: Thursday, June 24, 2010

• 1:10 PM Session 12: EUV Resist• Contact Size Variations: Dissecting the Sources (Resist-8,

Invited) Patrick Naulleau, LBNL– Mask and condenser roughness drives contact CDU– Resist blur drives dose requirements

Page 22: WORKSHOP SUMMARY - EUV Litho 2010.pdf · Workshop Summary: Thursday, June 24, 2010 • 8:10 AM Session 8: Keynote Session– 2 • EUVL Development in Japan (Keynote-3) Iwao Nishiyama,

Workshop Summary: Thursday, June 24, 2010

• Nanoscale Chemical Reaction Induced in Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation (Resist-1, Invited), Takahiro Kozawa, Osaka University

– Enhancement of chemical gradient is essential to reduction of LER. By increasing this radius, LER may be reduced

– Effective reaction radius is important to determine chemical gradient

• Novel molecular Materials based on Noria and Double Calixarene for EB and EUV Resist Systems (Resist -2, Invited), Tadatomi Nishikubo, Kanagawa University

– Noria evaluation at SFET: 24-26 nm res at 16 mJ dose– Beryllus evaluation: 25-26 nm resolution at 21 mJ dose and performance is

expected to improve

Page 23: WORKSHOP SUMMARY - EUV Litho 2010.pdf · Workshop Summary: Thursday, June 24, 2010 • 8:10 AM Session 8: Keynote Session– 2 • EUVL Development in Japan (Keynote-3) Iwao Nishiyama,

Workshop Summary: Thursday, June 24, 2010

• Effects of Acid Amplifiers and Polymer Bound Photoacid Generators on EUV Resist Performance: Fundamental Studies and Lithographic Results (Resist-3, Invited)Gregory M Wallraff, IBM Almaden Research Center

– Best litho results with bound PAG + base– Acid amplifier helping the photospeed but degrades the resolution

• Radiation Chemistry of EUV and EB Resists (Resist-6)Seiichi Tagawa, Osaka University

– Origin of RLS Trade-off problem – as EUV resist needed less than <40 nm so it appeared in EUV resist first but it is not EUV specific

– For EUV resists reactions changed from photochemistry to radiation chemistry

Page 24: WORKSHOP SUMMARY - EUV Litho 2010.pdf · Workshop Summary: Thursday, June 24, 2010 • 8:10 AM Session 8: Keynote Session– 2 • EUVL Development in Japan (Keynote-3) Iwao Nishiyama,

Announcements• EUV Source Technical Working Group (TWG) Meeting

planned for Nov 13-15, 2010 in UCD, Dublin– Our goal is to bring together researchers and suppliers

from Europe and rest of the world to address remaining EUV source technology challenges and start R&D work on next generation EUV sources

– Organized by EUV Litho, Inc. and UCD• Contact Vivek Bakshi or Padraig Dunne for additional

information and submit abstracts• Additional information will be announced in coming month

on www.euvlitho.com– Workshop will focus on 13.5 nm and shorter wavelength

for the Next Generation EUV Sources. Experts from EUVL and soft-X ray source area. Great opportunity for the exposure of student research

Page 25: WORKSHOP SUMMARY - EUV Litho 2010.pdf · Workshop Summary: Thursday, June 24, 2010 • 8:10 AM Session 8: Keynote Session– 2 • EUVL Development in Japan (Keynote-3) Iwao Nishiyama,

Announcements• 2011 International Workshop on EUVL is planned

for June, 2011 in Maui, Hawaii!!– Tentative plans for the Week of June 13th or 27th

• We hope to see you next year in Maui!

Page 26: WORKSHOP SUMMARY - EUV Litho 2010.pdf · Workshop Summary: Thursday, June 24, 2010 • 8:10 AM Session 8: Keynote Session– 2 • EUVL Development in Japan (Keynote-3) Iwao Nishiyama,

Thank you• Thanks for making 2010 EUVL Workshop a

success! Special thanks to – Steering Committee– Session Chairs– Presenters– Makena Beach and Golf Resort Staff– Donna and Emily