VLSI Testing Lecture 10: Memory Test

26
Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test 1 VLSI Testing VLSI Testing Lecture 10: Memory Test Lecture 10: Memory Test Dr. Vishwani D. Agrawal James J. Danaher Professor of Electrical and Computer Engineering Auburn University, Alabama 36849, USA [email protected] http://www.eng.auburn.edu/~vagrawal IIT Delhi, Aug 25, 2013, 3:30-4:30PM

description

VLSI Testing Lecture 10: Memory Test. Dr. Vishwani D. Agrawal James J. Danaher Professor of Electrical and Computer Engineering Auburn University, Alabama 36849, USA [email protected] http://www.eng.auburn.edu/~vagrawal IIT Delhi, Aug 25, 2013, 3:30-4:30PM. Contents. - PowerPoint PPT Presentation

Transcript of VLSI Testing Lecture 10: Memory Test

Page 1: VLSI Testing Lecture 10: Memory Test

Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test 1

VLSI TestingVLSI Testing

Lecture 10: Memory TestLecture 10: Memory Test

VLSI TestingVLSI Testing

Lecture 10: Memory TestLecture 10: Memory Test

Dr. Vishwani D. AgrawalJames J. Danaher Professor of Electrical and

Computer EngineeringAuburn University, Alabama 36849, USA

[email protected]://www.eng.auburn.edu/~vagrawal

IIT Delhi, Aug 25, 2013, 3:30-4:30PM

Page 2: VLSI Testing Lecture 10: Memory Test

Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test 2

Memory organization Memory test complexity Faults and fault models MATS+ march test Address Decoder faults Summary References

ContentsContentsContentsContents

Page 3: VLSI Testing Lecture 10: Memory Test

Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test 3

RAM OrganizationRAM OrganizationRAM OrganizationRAM Organization

Page 4: VLSI Testing Lecture 10: Memory Test

Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test 4

Test Time in SecondsTest Time in Seconds(Memory Cycle Time (Memory Cycle Time

60ns)60ns)

Test Time in SecondsTest Time in Seconds(Memory Cycle Time (Memory Cycle Time

60ns)60ns)

n bits

1 Mb4 Mb

16 Mb64 Mb

256 Mb1 Gb2 Gb

n

0.060.251.014.03

16.1164.43128.9

n × log2n

1.265.54

24.16104.7451.0

1932.83994.4

n3/2

64.5515.41.2 hr9.2 hr

73.3 hr586.4 hr

1658.6 hr

n2

18.3 hr293.2 hr

4691.3 hr75060.0 hr

1200959.9 hr19215358.4 hr76861433.7 hr

Size Number of Test Algorithm Operations

Page 5: VLSI Testing Lecture 10: Memory Test

Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test 5

SRAM Fault Modeling SRAM Fault Modeling ExamplesExamples

SRAM Fault Modeling SRAM Fault Modeling ExamplesExamples

SA0

AF+

SA

F

SAF

SCF<0;0>

SCF<1;1>

SA0SA0 TF

<↑/1>TF

<↓/0>

Page 6: VLSI Testing Lecture 10: Memory Test

Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test 6

DRAM Fault ModelingDRAM Fault ModelingDRAM Fault ModelingDRAM Fault Modeling

ANDBridging

Fault (ABF)

SA1+SCFSA1

ABF

SCFSA0

ABF

Page 7: VLSI Testing Lecture 10: Memory Test

Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test 7

SRAM Only Fault ModelsSRAM Only Fault ModelsSRAM Only Fault ModelsSRAM Only Fault Models

Faults found only in SRAMOpen-circuited pull-up deviceExcessive bit line coupling capacitance

ModelDRFCF

Page 8: VLSI Testing Lecture 10: Memory Test

Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test 8

DRAM Only Fault ModelsDRAM Only Fault ModelsDRAM Only Fault ModelsDRAM Only Fault Models

Faults only in DRAMData retention fault (sleeping sickness)Refresh line stuck-at faultBit-line voltage imbalance faultCoupling between word and bit lineSingle-ended bit-line voltage shiftPrecharge and decoder clock overlap

ModelDRFSAFPSFCFPSFAF

Page 9: VLSI Testing Lecture 10: Memory Test

Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test 9

Reduced Functional Reduced Functional FaultsFaults

Reduced Functional Reduced Functional FaultsFaults

SAFTFCFNPSF

FaultStuck-at faultTransition faultCoupling faultNeighborhood Pattern Sensitive fault*

* M. L. Bushnell and V. D. Agrawal, Essentials of Electronic Testing for Digital, Memory and Mixed-Signal VLSI Circuits, Springer, 2000, Chapter 9.

Page 10: VLSI Testing Lecture 10: Memory Test

Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test 10

Stuck-at FaultsStuck-at FaultsStuck-at FaultsStuck-at Faults Test Condition: For each cell, read a 0 and a 1.

< /0> (< /1>)

A A

Page 11: VLSI Testing Lecture 10: Memory Test

Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test 11

Transition FaultsTransition FaultsTransition FaultsTransition Faults Cell fails to make a 0 → 1 or 1 → 0 transition.

Test Condition: Each cell must have an ↑ transition

and a ↓ transition, and be read each time before

making any further transitions.

<↑/0>, <↓/1>

<↑/0> transition fault

Page 12: VLSI Testing Lecture 10: Memory Test

Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test 12

Coupling FaultsCoupling FaultsCoupling FaultsCoupling Faults Coupling Fault (CF): Transition in bit j (aggressor)

causes unwanted change in bit i (victim) 2-Coupling Fault: Involves 2 cells, special case of

k-Coupling Fault Must restrict k cells for practicality

Inversion (CFin) and Idempotent (CFid) Coupling Faults – special cases of 2-Coupling Faults

Bridging and State Coupling Faults involve any number of cells

Dynamic Coupling Fault (CFdyn) – Read or write on j forces i to 0 or 1

Page 13: VLSI Testing Lecture 10: Memory Test

Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test 13

State Transition Diagram State Transition Diagram of Two Good Cells, of Two Good Cells, ii and and

jj

State Transition Diagram State Transition Diagram of Two Good Cells, of Two Good Cells, ii and and

jj

Page 14: VLSI Testing Lecture 10: Memory Test

Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test 14

State Transition Diagram State Transition Diagram for CFin < ↑ ; ↕ >for CFin < ↑ ; ↕ >

State Transition Diagram State Transition Diagram for CFin < ↑ ; ↕ >for CFin < ↑ ; ↕ >

Page 15: VLSI Testing Lecture 10: Memory Test

Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test 15

State Coupling Faults State Coupling Faults (SCF)(SCF)

State Coupling Faults State Coupling Faults (SCF)(SCF)

Aggressor cell or line j is in a given state y and that forces victim cell or line i into state x

< 0;0 >, < 0;1 >, < 1;0 >, < 1;1 >

Page 16: VLSI Testing Lecture 10: Memory Test

Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test 16

March Test ElementsMarch Test ElementsMarch Test ElementsMarch Test ElementsM0: { March element (w0) }

for cell := 0 to n - 1 (or any other order) dowrite 0 to A [cell];

M1: { March element (r0, w1) }for cell := 0 to n - 1 do

read A [cell]; { Expected value = 0}write 1 to A [cell];

M2: { March element (r1, w0) }for cell := n – 1 down to 0 do

read A [cell]; { Expected value = 1 }write 0 to A [cell];

Page 17: VLSI Testing Lecture 10: Memory Test

Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test 17

March TestsMarch TestsMarch TestsMarch TestsAlgorithm

MATSMATS+

MATS++MARCH X

MARCH C-

MARCH AMARCH Y

MARCH B

Description{ (w0); (r0, w1); (r1) }

{ (w0); (r0, w1); (r1, w0) }{ (w0); (r0, w1); (r1, w0, r0) }

{ (w0); (r0, w1); (r1, w0); (r0) }{ (w0); (r0, w1); (r1, w0); (r0, w1); (r1, w0); (r0) }

{ (w0); (r0, w1, w0, w1); (r1, w0, w1); (r1, w0, w1, w0); (r0, w1, w0) }

{ (w0); (r0, w1, r1); (r1, w0, r0); (r0) }{ (w0); (r0, w1, r1, w0, r0, w1);

(r1, w0, w1); (r1, w0, w1, w0);(r0, w1, w0) }

Page 18: VLSI Testing Lecture 10: Memory Test

Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test 18

Address Decoder Faults Address Decoder Faults (ADFs)(ADFs)

Address Decoder Faults Address Decoder Faults (ADFs)(ADFs)

Address decoding error assumptions: Decoder does not become sequential Same behavior during both read and write

Multiple ADFs must be tested for Decoders can have CMOS stuck-open faults

Page 19: VLSI Testing Lecture 10: Memory Test

Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test 19

TheoremTheoremTheoremTheorem A March test satisfying conditions 1 & 2 detects all

address decoder faults. ... Means any # of read or write operations Before condition 1, must have wx element

x can be 0 or 1, but must be consistent in test

Condition

1

2

March element

(rx, …, w x )

(r x , …, wx)

Page 20: VLSI Testing Lecture 10: Memory Test

Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test 20

March Test Fault CoverageMarch Test Fault CoverageMarch Test Fault CoverageMarch Test Fault Coverage

Algorithm

MATSMATS+MATS++MARCH XMARCH C-MARCH AMARCH YMARCH B

SAF

AllAllAllAllAllAllAllAll

ADF

SomeAllAllAllAllAllAllAll

TF

AllAllAllAllAllAll

CFin

AllAllAllAllAll

CFid

All

CFdyn

All

SCF

All

Page 21: VLSI Testing Lecture 10: Memory Test

Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test 21

March Test ComplexityMarch Test ComplexityMarch Test ComplexityMarch Test Complexity

AlgorithmMATS

MATS+MATS++

MARCH XMARCH C-MARCH AMARCH YMARCH B

Complexity4n5n6n6n

10n15n8n

17n

Page 22: VLSI Testing Lecture 10: Memory Test

Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test 22

MATS+ ExampleMATS+ ExampleCell (2,1) SA0 FaultCell (2,1) SA0 Fault

MATS+ ExampleMATS+ ExampleCell (2,1) SA0 FaultCell (2,1) SA0 Fault

MATS+: { M0: (w0); M1: (r0, w1); M2: (r1, w0) }

Page 23: VLSI Testing Lecture 10: Memory Test

Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test 23

MATS+ ExampleMATS+ ExampleCell (2, 1) SA1 FaultCell (2, 1) SA1 Fault

MATS+ ExampleMATS+ ExampleCell (2, 1) SA1 FaultCell (2, 1) SA1 Fault

MATS+: { M0: (w0); M1: (r0, w1); M2: (r1, w0) }

Page 24: VLSI Testing Lecture 10: Memory Test

Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test 24

MATS+ ExampleMATS+ ExampleMultiple AF: Addressed Cell Not Multiple AF: Addressed Cell Not

Accessed; Data Written to Wrong Accessed; Data Written to Wrong CellCell

MATS+ ExampleMATS+ ExampleMultiple AF: Addressed Cell Not Multiple AF: Addressed Cell Not

Accessed; Data Written to Wrong Accessed; Data Written to Wrong CellCell

Cell (2,1) is not addressable Address (2,1) maps onto (3,1), and vice versa Cannot write (2,1), read (2,1) gives random data

MATS+: { M0: (w0); M1: (r0, w1); M2: (r1), w0 }

Page 25: VLSI Testing Lecture 10: Memory Test

Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test 25

Memory Test SummaryMemory Test SummaryMemory Test SummaryMemory Test Summary

Multiple fault models are essential Combination of tests is essential:

March test – SRAM and DRAM Other tests (see references on

following slide): NPSF – DRAM DC parametric – SRAM and DRAM AC parametric – SRAM and DRAM

Page 26: VLSI Testing Lecture 10: Memory Test

Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test 26

References on Memory TestReferences on Memory TestReferences on Memory TestReferences on Memory Test R. D. Adams, High Performance Memory Testing, Boston: Springer,

2002. M. L. Bushnell and V. D. Agrawal, Essentials of Electronic Testing for

Digital, Memory and Mixed-Signal VLSI Circuits, Boston: Springer, 2000.

K. Chakraborty and P. Mazumder, Fault Tolerance and Reliability Techniques for High-Density Random-Access Memories, Upper Saddle River, New Jersey: Prentice Hall PTR, 2002.

K. Chakraborty and P. Mazumder, Testing and Testable Design of High-Density Random-Access Memories, Boston: Springer, 1996.

B. Prince, High Performance Memories, Revised Edition, Wiley, 1999.

A. K. Sharma, Semiconductor Memories: Testing Technology, and Reliability, Piscataway, New Jersey: IEEE Press, 1997.

A. J. van de Goor, Testing Semiconductor Memories, Chichester, UK: Wiley Interscience, 1991, reprinted by ComTex, Gouda, The Netherlands (http://ce.et.tudelft.nl/vdgoor/).