VLSI Design Lecture PPTs PPT...JFET (Junction Field-Effect Transistor) Finds application especially...

475
VLSI Design Lecture PPTs INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad -500 043 1 6/3/2015 Department : ELECTRONICS AND COMMUNICATION ENGINEERING Course Code : 57035 Course Title : VLSI DESIGN Course Coordinator : VR. Sheshagiri Rao, Professor Team of Instructors B. Kiran Kumar, Assistant Professor Course Structure : Lectures Tutorials Practicals Credits 4 1 - 4

Transcript of VLSI Design Lecture PPTs PPT...JFET (Junction Field-Effect Transistor) Finds application especially...

  • VLSI Design Lecture PPTs

    INSTITUTE OF AERONAUTICAL ENGINEERING

    Dundigal, Hyderabad -500 043

    1 6/3/2015

    Department : ELECTRONICS AND COMMUNICATION ENGINEERING

    Course Code : 57035

    Course Title : VLSI DESIGN

    Course Coordinator : VR. Sheshagiri Rao, Professor

    Team of Instructors B. Kiran Kumar, Assistant Professor

    Course Structure : Lectures Tutorials Practicals Credits

    4 1 - 4

  • Unit I Introduction to IC technology

    Topics

    • MOS, PMOS, NMOS, CMOS and BiCMOS Technologies:

    • Oxidation • Lithography • Diffusion • Ion implantation • Metallization • Encapsulation • Probe testing • Integrated Resistors and Capacitors

    6/3/2015 2

  • Acronym of VLSI

    • V -> Very • L -> Large • S -> Scale • I -> Integration

    3 6/3/2015

  • Types of Field Effect Transistors (The Classification)

    » JFET

    MOSFET (IGFET)

    6/3/2015 4

    n-Channel JFET

    p-Channel JFET

    n-Channel EMOSFET

    p-Channel EMOSFET

    Enhancement MOSFET

    Depletion MOSFET

    n-Channel DMOSFET

    p-Channel DMOSFET

    FET

    MOSFET (Metal-Oxide Semiconductor Field-Effect Transistor) Primary component in high-density VLSI chips such as memories and microprocessors

    JFET (Junction Field-Effect Transistor) Finds application especially in analog and RF circuit design

  • Metal Oxide Semiconductor(MOS)

    • Advantages of FET over conventional Transistors

    • Unipolar device i. e. operation depends on only one type of charge carriers (h or e)

    • Voltage controlled Device (gate voltage controls drain current)

    • Very high input impedance (109-1012 )

    • Source and drain are interchangeable in most Low-frequency applications

    • Low Voltage Low Current Operation is possible (Low-power consumption)

    • Less Noisy as Compared to BJT

    • No minority carrier storage (Turn off is faster)

    • Very small in size, occupies very small space in ICs

    6/3/2015 5

  • Switch Model of NMOS Transistor

    6/3/2015 6

    Gate

    Source (of carriers)

    Drain (of carriers)

    | VGS |

    | VGS | < | VT | | VGS | > | VT |

    Open (off) (Gate = Closed (on) (Gate = )

    Ron

  • Switch Model of PMOS Transistor

    6/3/2015 7

    Gate

    Source (of carriers)

    Drain (of carriers)

    | VGS |

    | VGS | > | VDD – | VT | | | VGS | < | VDD – |VT| |

    Open (off) (Gate = ) Closed (on) (Gate = ) Ron

  • 6/3/2015 8

    MOS transistors Symbols

    D

    S

    G

    D

    S

    G

    G

    S

    D D

    S

    G

    NMOS Enhancement NMOS

    PMOS

    Depletion

    Enhancement

    B

    NMOS with Bulk Contact

    Channel

  • MOSFET Circuit Symbols

    • (g) and (i) are the most commonly used symbols in VLSI logic design.

    • MOS devices are symmetric.

    • In NMOS, n+ region at higher voltage is the drain.

    • In PMOS p+ region at lower voltage is the drain

    6/3/2015 9

  • The NMOS Transistor Cross Section

    6/3/2015 11

    n areas have been doped with donor ions (arsenic) of concentration ND - electrons are the majority carriers

    p areas have been doped with acceptor ions (boron) of concentration NA - holes are the majority carriers

    Gate oxide

    n+

    Source Drain

    p substrate

    Bulk (Body)

    p+ stopper

    Field-Oxide (SiO2) n+

    Polysilicon Gate

    L

    W

  • Carriers and Current

    • Carriers always flow from the Source to Drain • NMOS: Free electrons move from Source to

    Drain.

    – Current direction is from Drain to Source. • PMOS: Free holes move from Source to Drain.

    – Current direction is from Source to Drain. –

    6/3/2015 12

  • The MOSFET Channel

    • Under certain conditions, a thin channel can be formed right underneath the Silicon-Dioxide insulating layer, electrically connecting the Drain to the Source. The depth of the channel (and hence its resistance) can be o t olled the Gate s oltage. The le gth of

    the channel (shown in the figures above as L) a d the ha el s idth W, a e i po ta t design parameters.

    6/3/2015 14

  • REGION OF OPERATION CASE-1 (No Gate Voltage)

    • Two diodes back to back exist in series. • One diode is formed by the pn junction

    between the n+ drain region and the p-type substrate

    • Second is formed by the pn junction between the n+ source region and the p-type substrate

    • These diodes prevent any flow of the current. • There exist a very high resistance.

    6/3/2015 15

  • NMos Cut View

    6/3/2015 16

  • 6/3/2015 17

  • REGION OF OPERATION Creating a channel

    • Apply some positive voltage on the gate terminal.

    • This positive voltage pushes the holes downward in the substrate region.

    • This causes the electrons to accumulate under the gate terminal.

    • At the same time the positive voltage on the gate also attracts the electrons from the n+ region to accumulate under the gate terminal.

    6/3/2015 18

  • 6/3/2015 19

  • 6/3/2015 20

  • 6/3/2015 21

  • REGION OF OPERATION Creating a channel

    • When sufficient electrons are accumulated under the gate an n-region is created, connecting the drain and the source

    • This causes the current to flow from the drain to source

    • The channel is formed by inverting the substrate surface from p to n, thus induced channel is also called as the inversion layer.

    • The voltage between gate and source called vgs at which there are sufficient electron under the gate to form a conducting channel is called threshold voltage Vth.

    6/3/2015 22

  • Formation of Channel

    • First, the holes are repelled by the positive gate voltage, leaving behind negative ions and forming a depletion region. Next, electrons are attracted to the interface, creating a channel

    i e sio la e .

    6/3/2015 23

  • MOS Transistor Current direction

    • The source terminal of an n-channel(p-channel) transistor is defined as whichever of the two terminals has a lower(higher) voltage.

    • When a transistor is turned ON, current flows from the drain to source in an n-channel device and from source to drain in a p-channel transistor.

    • In both cases, the actual carriers travel from the source to drain.

    • The current directions are different because n-channel carriers are negative, whereas p-channel carriers are positive.

    6/3/2015 24

  • MOS I/V

    6/3/2015 25

    For a NMOS, a necessary condition for the channel to exist is:

    THGS VV

  • REGION OF OPERATION Applying small Vds

    • Now we applying some small voltage between source and drain

    • The voltage Vds causes a current to flow from drain to gate.

    • Now as we increase the gate voltage, more current will flow.

    • Increasing the gate voltage above the threshold voltage enhances the channel, hence this mode is called as enhancement mode operation.

    6/3/2015 26

  • Operation – nMOS Transistor

    • Accumulation Mode - If Vgs < 0, then an electric field is established across the substrate.

    • Depletion Mode -If 0 Vtn, the region below the gate will be inverted.

    6/3/2015 27

  • Operation – nMOS Transistor

    6/3/2015 28

  • V =0

    Operation – nMOS Transistor

    6/3/2015 29

  • Operation – nMOS Transistor

    6/3/2015 30

  • Operation – nMOS Transistor

    6/3/2015 31

  • Operation – nMOS Transistor

    6/3/2015 32

  • Operation – nMOS Transistor

    6/3/2015 33

  • 6/3/2015 34

    Voltage-Dependent Resistor

    • The inversion channel of a MOSFET can be seen as a resistor.

    • Since the charge density inside the channel depends on the gate voltage, this resistance is also voltage-dependent.

  • 6/3/2015 35

    Channel Potential Variation

    • “i e the e s a channel resistance between drain and source, and if drain is biased higher than the source, then the potential between gate and channel will decrease from source to drain.

  • 6/3/2015 36

    Channel Pinch-Off

    • As the potential difference between drain and gate becomes more positive, the inversion layer beneath the interface starts to pinch off around drain.

    • When VD s> VGs - Vth, the channel at drain totally pinches off, and when VD s< VGs - Vth, the channel length starts to decrease.

  • 6/3/2015 37

  • 6/3/2015 38

  • 6/3/2015 39

  • Transistor in Saturation Mode

    6/3/2015 40

    S

    D

    B

    G VGS VDS > VGS - VT

    ID

    VGS - VT - + n+ n+

    Pinch-off

    Assuming VGS > VT

    VDS

    The current remains constant (saturates).

  • Du i g pi hoff

    6/3/2015 41

    Does this mean that the current i =0 ? Actually, it does not. A MOSFET that is pinched off at the drain end of the channel still conducts current:

    The large E in the depletion region surrounding the drain will sweep electrons across the end of the pinched off channel to the drain.

    This is very similar to the operation of the BJT. For an npn BJT, the electric field of the reversed biased CBJ swept electrons from the base to the

    collector regions.

  • N-Channel MOSFET characteristics

    6/3/2015 42

  • 6/3/2015 43

  • 6/3/2015 44

  • Enhancement-Mode PMOS Transistors: Structure

    • p-type source and drain regions in n-type substrate.

    • vGS < 0 required to create p-type inversion layer in channel region

    • For current flow, vGS < vTP • To maintain reverse bias on

    source-substrate and drain-substrate junctions, vSB < 0 and vDB < 0

    • Positive bulk-source potential causes VTP to become more negative

    6/3/2015 45

  • 6/3/2015 46

    P-channel MOSFET characteristics

    linear saturation

    p transistor

  • 6/3/2015 47

    Depletion-Mode MOSFETS

    • NMOS transistors with • Ion implantation process

    is used to form a built-in n-type channel in the device to connect source and drain by a resistive channel

    • Non-zero drain current for vGS = 0; negative vGS required to turn device off.

    VTN0

  • 6/3/2015 48

  • 6/3/2015 49

  • 6/3/2015 50

    pMOS are 2.5 time slower than

    nMOS due to electron and hole

    mobilities

  • 6/3/2015 51

    Basic processes involved in fabricating Monolithic ICs

    1. Silicon wafer (substrate) preparation

    2. Epitaxial growth

    3. Oxidation

    4. Photolithography

    5. Diffusion

    6. Ion implantation

    7. Metallization

    8. Testing

    9. Assembly processing & packaging

  • 6/3/2015 52

    Oxidation

    1. SiO2 is an extremely hard protective coating & is unaffected by almost all reagents except by hydrochloric acid. Thus it stands against any contamination.

    2. By selective etching of SiO2, diffusion of impurities through carefully defined through windows in the SiO2 can be accomplished to fabricate various components.

    Formation of silicon dioxide layer on the surface of Si wafer 1. protects surface from contaminants 2. forms insulating layer between conductors 3. form barrier to dopants during diffusion or ion implantation 4. grows above and into silicon surface

    Dry oxidation: lower rate and higher quality Wet oxidation: higher rate and lower quality

  • 6/3/2015 53

    Oxidation The silicon wafers are stacked up in a quartz boat & then

    inserted into quartz furnace tube. The Si wafers are raised to a high temperature in the range of 950 to 1150oC & at the same time, exposed to a gas containing O2 or H2O or both. The chemical action is

    Si + 2H2O-----------> Si O2+ 2H2 (Wet )

    Si + O2 -------------> SiO2 (Dry )

  • 54

    Photolithography

    • Coat wafer with photoresist (PR)

    • Shine UV light through mask to selectively expose PR

    • Use acid to dissolve exposed PR

    • Now use exposed areas for – Selective doping – Selective removal of material

    under exposed PR

    Wafer

    Photoresist

    Mask

    UV Light

    6/3/2015

  • 55

    Adding Materials

    • Add materials on top of silicon – Polysilicon – Metal – Oxide (SiO2) - Insulator

    • Methods – Chemical deposition – Sputtering (Metal ions) – Oxidation

    Silicon

    Added Material (e.g. Polysilicon)

    6/3/2015

  • 56

    Oxide (Si02) - The Key Insulator

    • Thin Oxide – Add using chemical deposition – Used to form gate insulator & block active areas

    • Field Oxide (FOX) - formed by oxidation – Wet (H20 at 900oC - 1000oC) or Dry (O2 at 1200oC) – Used to insulate non-active areas

    Silicon Wafer Silicon Wafer

    SiN / SiO2 FOX FOX SiO2 Thin Oxide

    6/3/2015

  • 57

    Patterning Materials using Photolithography

    • Add material to wafer • Coat with photoresist • Selectively remove

    photoresist

    • Remove exposed material • Remove remaining PR

    Silicon

    Added Material (e.g. Polysilicon)

    6/3/2015

  • 58

    Diffusion

    • Introduce dopant via epitaxy or ion implant e.g. Arsenic (N), Boron (P)

    • Allow dopants to diffuse at high temperature

    • Block diffusion in selective areas using oxide or PR

    • Diffusion spreads both vertically, horizontally Silicon

    Diffusion

    Blocking Material (Oxide)

    6/3/2015

  • Slide 59

    Ion Implantation

    180 kV

    Resolving Aperture

    Ion Source

    Equipment Ground

    Acceleration Tube

    90° Analyzing Magnet

    Terminal Ground

    20 kV

    Focus Neutral beam and beam path gated

    Beam trap and gate plate

    Wafer in wafer process chamber

    X - axis scanner

    Y - axis scanner

    Neutral beam trap and beam gate

    Gases

    Ar

    AsH3

    B11F3 *

    He

    N2

    PH3

    SiH4

    SiF4 GeH4

    Solids

    Ga

    In

    Sb

    Liquids

    Al(CH3)3

    Process Conditions

    Flow Rate: 5 sccm

    Pressure: 10-5 Torr

    Accelerating Voltage: 5

    to 200 keV

    6/3/2015

  • Slide 60

    Metallization

    • Sputter on aluminum over whole wafer • Pattern to remove excess metal, leaving wires

    p substrate

    Metal

    Thick field oxide

    n well

    n+n+ n+p+p+p+

    Metal

    6/3/2015

  • nMOS fabrication steps 1. Processing is carried out on a thin wafer cut from a single crystal of silicon of high purity into which the required p-impurities are introduced as the crystal is grown.

    2. A layer of silicon dioxide (Si02) is grown all over the surface of the wafer to protect the surface, act as a barrier to dopants during processing, and provide a generally insulating substrate on to which other layers may be deposited and patterned.

    3. The surface is now covered with a photoresist which is deposited onto the wafer and spun to achieve an even distribution of the required thickness.

    4. The photoresist layer is then exposed to ultraviolet light through a mask which defines those regions into which diffusion is to take place together with transistor channels.

    5. These areas are subsequently readily etched away together with the underlying silicon dioxide so that the wafer surface is exposed in the window defined by the mask.

    6. The remaining photoresist is removed and a thin layer of Si02 is grown over the entire chip surface and then polysilicon is deposited on top of this to form the gate structure.

    7. Further photoresist coating and masking allows the polysilicon to be patterned (as shown in Step 6) and then the thin oxide is removed to expose areas into which

    8. Thick oxide (Si02) is grown over all again and is then masked with photoresist and etched to expose selected areas of the polysilicon gate and the drain and source areas where connections (i.e. contact cuts) are to be made.

    9. The whole chip then has metal (aluminium) deposited over its surface. This metal layer is then masked and etched to form the required interconnection pattern.

    6/3/2015 61

  • 1.

    2.

    3.

    ……………………………………… ………………………………………

    ……………………………………… ………………………………………

    Substrate

    Thick oxide

    (1m)

    Photoresist

    p

    p

    p

    6/3/2015 62

  • 4.

    5. ……………………………………… ………………………………………

    ……………………………………… ………………………………………

    Window in

    oxide

    Mask

    UV light

    p

    p

    6/3/2015 63

  • 6.

    7. ……………………………………… ………………………………………

    ……………………………………… ……………………………………… Patterned Poly. (1-2 m)

    On thin oxide

    ( 800-1000A0 )

    ……………………………………… ………………………………………

    …… ……

    …… ……

    ………… ………… n+ diffusion (1 m deep)

    p

    p

    6/3/2015 64

  • 8.

    ……………………………………… ……………………………………… …… …… ……. …… …… ………… ………… Contact holes

    (cuts)

    ………… ………… ………… ………… ………… ………… ……

    ……

    ……

    ……

    9.

    ……………………………………… ……………………………………… …… …… ……. …… …… ………… …………

    Patterned

    Metallization

    (aluminum

    1 m)

    ………… ………… ………… ………… ………… ………… ……

    ……

    ……

    ……

    p

    p

    6/3/2015 65

  • CMOS FABRICATION

    • There are a number of approaches to CMOS fabrication, including the p-well, the n-well, the twin-tub, and the silicon-on-insulator processes.

    6/3/2015 66

  • The p-well Process

    In primitive terms, the structure consists of an n-type substrate in which p-devices may

    be formed by suitable masking and diffusion and, in order to accommodate n-type devices,

    a deep p-well is diffused into the n-type substrate as shown.

    6/3/2015 67

  • The p-well CMOS fabrication

    In all other respects-masking, patterning, and diffusion-the process is similar to nMOS fabrication. In summary, typical processing steps are: • Mask 1 - defines the areas in which the deep p-well diffusions are to take place. • Mask 2 - defines the thinox regions, namely those areas where the thick oxide is to be stripped and thin oxide grown to accommodate p- and n-transistors and wires. • Mask 3 - used to pattern the polysilicon layer which is deposited after the thin oxide. • Mask 4 - A p-plus mask is now used (to be in effect "Anded" with Mask 2) to define all areas where p-diffusion is to take place. • Mask 5 - This is usually performed using the negative form of the p-plus mask and defines those areas where n-type diffusion is to take place. • Mask 6 - Contact cuts are now defined. • Mask 7 - The metal layer pattern is defined by this mask. Mask 8 - An overall passivation (overglass) layer is now applied and Mask 8 ts needed to define the openings for access to bonding pads.

    6/3/2015 68

  • ……………………………………… … … 1. p-well

    (4-5 m)

    SiO2

    ………… …… …… 2. Thin oxide

    and

    polysilicon

    Polysilicon

    p

    p

    n

    n

    6/3/2015 69

  • ………… …… …… 3.

    p-diffusion

    P+ mask

    (positive)

    ………… …… …… 4.

    n-diffusion P+ mask

    (negative)

    p

    n

    p

    n

    6/3/2015 70

  • Vin

    n

    p

    Vout VSS VDD

    CMOS p-well inverter showing VDD and VSS substrate connections

    Polysilicon

    Oxide

    n-diffusion

    P-diffusion

    6/3/2015 71

  • Vin

    p

    n

    Vout VSS VDD

    CMOS n-well inverter showing VDD and VSS substrate connections

    Polysilicon

    Oxide

    n-diffusion

    P-diffusion

    6/3/2015 72

  • The n-well Process • As indicated earlier, although the p-well process is widely used, n-well fabrication

    has also gained wide acceptance, initially as a retrofit to nMOS lines.

    6/3/2015 73

  • The twin-tub-Tub Process

    A logical extension of the p-well and n-well approaches is the twin-tub fabrication process.

    Here we start with a substrate of high resistivity n-type material and then create both .. n-well and p-well regions. Through this process it is possible to preserve the performance of n-transistors without compromising the p-transistors. Doping control is more readily achieved

    and some relaxation in manufacturing tolerances results. This is particularly important as far

    as latch-up is concerned.

    6/3/2015 74

  • Vin

    n

    Vout VSS VDD

    Twin-tub structure

    ( A logical extension of the p-well and n-well)

    Polysilicon

    Oxide

    n-diffusion

    P-diffusion

    p

    n substrate

    well well

    Epitaxial

    layer

    6/3/2015 75

  • Bipolar compatible CMOS(Bi-CMOS) technology:

    Introduced in early 1980s

    Combines Bipolar and CMOS logic

    Low power dissipation High speed High packing density High output

    drive

    High Noise Margin High transconductance

    (gm)

    High input impedance

    Bi-CMOS

    6/3/2015 76

  • The objective of the Bi-CMOS is to combine bipolar and CMOS so as to exploit the advantages of both the technologies. Today Bi-CMOS has become one of the dominant technologies used for high speed, low power and highly functional VLSI circuits.

    The process step required for both CMOS and bipolar are almost similar The primary approach to realize high performance Bi-CMOS devices is the addition of bipolar process steps to a baseline CMOS process. The Bi-CMOS gates could be used as an effective way of speeding up the VLSI circuits. The applications of Bi-CMOS are vast. Advantages of bipolar and CMOS circuits can be retained in Bi-CMOS chips.

    Bi-CMOS technology enables high performance integrated circuits IC’s but increases process complexity.

    Features

    6/3/2015 77

  • Higher switching speed Higher current drive per unit area, higher gain

    Generally better noise performance and better high frequency characteristics Improved I/O speed (particularly significant with the growing importance of package limitations in high speed systems). high power dissipation

    lower input impedance (high drive current) low packing density low delay sensitivity to load It is essentially unidirectional.

    Characteristics of Bipolar Technology

    6/3/2015 78

  • Lower static power dissipation

    Higher noise margins

    Higher packing density

    High yield with large integrated complex functions

    High input impedance (low drive current)

    Scalable threshold voltage

    High delay load sensitivity

    Low output drive current (issue when driving large capacitive loads)

    Bi-directional capability (drain & source are interchangeable)

    A near ideal switching device,

    Low gain

    Characteristics of CMOS

    6/3/2015 79

  • CMOS process BI-POLAR process 1 . N-well 1. n+ sub-collector

    2. P base doping(extra step)

    3. PMOS source and drain 3. p+ base contact

    4. NMOS source and drain 4. n+ emitter

    Bi-CMOS FABRICATION PROCESS

    6/3/2015 80

  • npn-BJT Fabrication

    6/3/2015 81

  • BJT Processing

    6/3/2015 82

    1. Implantation of the buried n+ layer

    2. Growth of the epitaxial layer

    3. p+ isolation diffusion

    4. Base p-type diffusion

    5. Emitter n+ diffusion

    8. Metal deposition and etching

    6. p+ ohmic contact

    7. Contact etching

    9. Passivation and bond pad opening

    p-substrate

    n+ buried layer

    p-base layer

    n+ layer n+ layer p+ layer

  • BJT Processing

    6/3/2015 83

    1. Implantation of the buried n+ layer

    2. Growth of the epitaxial layer

    3. p+ isolation diffusion

    4. Base p-type diffusion

    5. Emitter n+ diffusion

    8. Metal deposition and etching

    6. p+ ohmic contact

    7. Contact etching

    9. Passivation and bond pad opening p-substrate

  • BJT Processing

    6/3/2015 84

    1. Implantation of the buried n+ layer

    2. Growth of the epitaxial layer

    3. p+ isolation diffusion

    4. Base p-type diffusion

    5. Emitter n+ diffusion

    8. Metal deposition and etching

    6. p+ ohmic contact

    7. Contact etching

    9. Passivation and bond pad opening p-substrate

    n+ buried layer

    n epi layer

  • BJT Processing

    6/3/2015 85

    1. Implantation of the buried n+ layer

    2. Growth of the epitaxial layer

    3. p+ isolation diffusion

    4. Base p-type diffusion

    5. Emitter n+ diffusion

    8. Metal deposition and etching

    6. p+ ohmic contact

    7. Contact etching

    9. Passivation and bond pad opening p-substrate

    n+ buried layer

    p+ isola

    tion layer

    p+ isola

    tion layer

  • BJT Processing

    6/3/2015 86

    1. Implantation of the buried n+ layer

    2. Growth of the epitaxial layer

    3. p+ isolation diffusion

    4. Base p-type diffusion

    5. Emitter n+ diffusion

    8. Metal deposition and etching

    6. p+ ohmic contact

    7. Contact etching

    9. Passivation and bond pad opening p-substrate

    n+ buried layer

    p+ isola

    tion layer

    p+ isola

    tion layer

    p-base layer

  • BJT Processing

    6/3/2015 87

    1. Implantation of the buried n+ layer

    2. Growth of the epitaxial layer

    3. p+ isolation diffusion

    4. Base p-type diffusion

    5. Emitter n+ diffusion

    8. Metal deposition and etching

    6. p+ ohmic contact

    7. Contact etching

    9. Passivation and bond pad opening p-substrate

    n+ buried layer

    p+ isola

    tion layer

    p+ isola

    tion layer

    p-base layer

    n+ layer n+ layer

  • BJT Processing

    6/3/2015 88

    1. Implantation of the buried n+ layer

    2. Growth of the epitaxial layer

    3. p+ isolation diffusion

    4. Base p-type diffusion

    5. Emitter n+ diffusion

    8. Metal deposition and etching

    6. p+ ohmic contact

    7. Contact etching

    9. Passivation and bond pad opening p-substrate

    n+ buried layer

    p+ isola

    tion layer

    p+ isola

    tion layer

    p-base layer

    n+ layer n+ layer p+ layer

  • BJT Processing

    6/3/2015 89

    1. Implantation of the buried n+ layer

    2. Growth of the epitaxial layer

    3. p+ isolation diffusion

    4. Base p-type diffusion

    5. Emitter n+ diffusion

    8. Metal deposition and etching

    6. p+ ohmic contact

    7. Contact etching

    9. Passivation and bond pad opening p-substrate

    n+ buried layer

    p+ isolation layer

    p-base layer

    n+ layer n+ layer p+ layer

  • BJT Processing

    6/3/2015 90

    1. Implantation of the buried n+ layer

    2. Growth of the epitaxial layer

    3. p+ isolation diffusion

    4. Base p-type diffusion

    5. Emitter n+ diffusion

    8. Metal deposition and etching

    6. p+ ohmic contact

    7. Contact etching

    9. Passivation and bond pad opening p-substrate

    n+ buried layer

    p+ isolation layer

    p-base layer

    n+ layer n+ layer p+ layer

  • BJT Processing

    6/3/2015 91

    1. Implantation of the buried n+ layer

    2. Growth of the epitaxial layer

    3. p+ isolation diffusion

    4. Base p-type diffusion

    5. Emitter n+ diffusion

    8. Metal deposition and etching

    6. p+ ohmic contact

    7. Contact etching

    9. Passivation and bond pad opening p-substrate

    n+ buried layer

    p+ isolation layer

    p-base layer

    n+ layer n+ layer p+ layer

  • Lateral view of npn BJT

    6/3/2015 92

  • Lateral PNP BJT

    6/3/2015 93

  • Doping Profiles in a BJT

    6/3/2015 94

  • 6/3/2015 95

  • NMOS PMOS

    G

    D S G

    D S

    NPN-BJT

    C B E

    N Plus Buried Layer

    N-Well (Collector)

    N-

    Diff

    N-

    Diff

    P-Diff P-Diff N-Plus

    Emitter

    P-SUBSTRATE

    P-EPITAXY

    BICMOS STRUCTURE

    6/3/2015 96

  • P-SUBSTRATE

    P-SUBSTRATE

    P-SUBSTRATE IS TAKEN

    P-TYPE SUBSTRATE IS COVERED WITH OXIDE LAYER

    6/3/2015 97

  • N Plus Buried Layer

    P-SUBSTRATE

    P-SUBSTRATE

    A WINDOW IS OPENED THROUGH OXIDE LAYER

    THROUGH THE WINDOW N TYPE IMPURITIES IS HEAVILY DOPED

    6/3/2015 98

  • N Plus Buried Layer P-SUBSTRATE

    P-EPITAXY

    P-EPITAXY LAYER IS GROWN ON THE ENTIRE SURFACE

    6/3/2015 99

  • N Plus Buried Layer P-SUBSTRATE

    P-EPITAXY

    THE ENTIRE SURFACE IS COVERED WITH OXIDE LAYER AND TWO WINDOWS

    ARE OPENED THROUGH THE OXIDE LAYER

    6/3/2015 100

  • N Plus Buried Layer

    N-Well (Collector)

    N-Well

    P-SUBSTRATE

    P-EPITAXY

    THROUGH THE TWO WINDOWS N-TYPE IMPURITIES ARE DIFFUSED TO

    FORM N-WELLS

    6/3/2015 101

  • N Plus Buried Layer

    N-Well (Collector)

    N-Well

    P-SUBSTRATE

    P-EPITAXY

    THREE WINDOWS ARE OPENED THROUGH THE OXIDE LAYER , IN THESE

    THREE WINDOWS THREE ACTIVE DEVICES NMOS,PMOS AND NPN BJT

    ARE FORMED

    6/3/2015 102

  • N Plus Buried Layer

    N-Well (Collector)

    N-Well

    P-SUBSTRATE

    P-EPITAXY

    THE ENTIRE SURFACE IS COVERED WITH THINOX AND POLYSILICON

    AND ARE PATTERNED TO FORM THE GATE TERMINALS OF THE NMOS

    AND PMOS

    6/3/2015 103

  • N Plus Buried Layer

    N-Well (Collector)

    N-Well P-Base

    P-SUBSTRATE

    P-EPITAXY

    THROUGH THE 3RD WINDOW THE P-IMPURITIES ARE MODERATELY

    DOPED TO FORM THE BASE TERMINAL OF BJT

    N-WELL ACTS LIKE THE COLLECTOR TERMINAL

    6/3/2015 104

  • N Plus Buried Layer

    N-Well (Collector)

    N-Well P-Base

    P-SUBSTRATE

    P-EPITAXY

    N-

    Diff

    N-

    Diff

    N-Plus

    Emitter

    THE N-TYPE IMPURITES ARE HEAVILY DOPED TO FORM

    1.SOURCE AND DRAIN REGION OF NMOS

    2.EMITTER TERMINAL OF BJT

    3.AND INTO NWELL COLLECTOR REGION FOR CONTACT PURPOSE

    6/3/2015 105

  • N Plus Buried Layer

    N-Well (Collector)

    N-Well

    N-

    Diff

    N-

    Diff

    P-Diff P-Diff

    P-Base

    N-Plus

    Emitter

    P-SUBSTRATE

    P-EPITAXY

    THE P-TYPE IMPURITES ARE HEAVILY DOPED TO FORM

    1.SOURCE AND DRAIN REGION OF PMOS

    2.AND INTO P-BASE REGION FOR CONTACT PURPOSE

    6/3/2015 106

  • N Plus Buried Layer

    N-Well (Collector)

    N-Well

    N-

    Diff

    N-

    Diff

    P-Diff P-Diff

    P-Base

    N-Plus

    Emitter

    P-SUBSTRATE

    P-EPITAXY

    THE ENTIRE SURFACE IS COVERED WITH THICK OXIDE LAYER

    6/3/2015 107

  • N Plus Buried Layer

    N-Well (Collector)

    N-Well

    N-

    Diff

    N-

    Diff

    P-Diff P-Diff

    P-Base

    N-Plus

    Emitter

    P-SUBSTRATE

    P-EPITAXY

    THE ENTIRE SURFACE IS COVERED WITH THICK OXIDE LAYER AND IS

    PATTERNED FOR CONTACT CUTS

    6/3/2015 108

  • NMOS PMOS

    G

    D S G

    D S

    NPN-BJT

    C B E

    N Plus Buried Layer

    N-Well (Collector)

    N-

    Diff

    N-

    Diff

    P-Diff P-Diff N-Plus

    Emitter

    P-SUBSTRATE

    P-EPITAXY

    METAL CONTACTS ARE FORMED

    6/3/2015 109

  • Resistors & Capacitors fabrication

    6/3/2015 110

  • 6/3/2015 111

    Oh s La • Current I in terms of Jn • Voltage V in terms of electric field

    – Result for R

    IRV

    JtWJAI

    LVE /

    EWtJtWJAI

    VL

    WtJtWJAI

    tW

    LR

    1

    tW

    LR

  • 6/3/2015 112

    Sheet Resistance (Rs)

    • IC resistors have a specified thickness – not under the control of the circuit designer

    • Eliminate t by absorbing it into a new parameter: the sheet resistance (Rs)

    W

    LR

    W

    L

    tWt

    LR sq

    Nu e of “ ua es

  • 6/3/2015 113

    ELECTRON AND HOLE MOBILITY

    Carrier Mobilities versus Doping Concentration

    0.00E+00

    2.00E+02

    4.00E+02

    6.00E+02

    8.00E+02

    1.00E+03

    1.20E+03

    1.40E+03

    1.60E+03

    1.00E+14 1.00E+15 1.00E+16 1.00E+17 1.00E+18 1.00E+19

    Doping Concentration (Na or Nd)

    Carr

    ier

    Mo

    bilit

    y (

    cm

    2/V

    -sec)

    mu_n

    mu_p

  • 6/3/2015 114

    DIFFUSED RESISTOR

    Aluminum contacts

    The n-type wafer is always biased positive with respect to the p-type diffused region. This ensures that the pn junction that is formed is in reverse bias, and there is no current leaking to the substrate. Current will flow through the diffused resistor from one contact to the other. The I-V characteristic follows Ohm’s Law: I = V/R

    n-wafer Diffused p-type region

    Silicon dioxide

  • 6/3/2015 115

    Layout/Mask Layer 1 - Diffusion (green)

    L

    W

    L/W is the number of ‘squares’ long the resistor is said to be.

    The sheet resistance rhos, is the resistance of each square

    5 squares in this case

    If rhos is 100 ohms per square, R = 500 ohms

    The resistance, R = rhos (L/W)

    Top View

    Side View

    P type Diffusion N wafer

    Resistor termination

  • 6/3/2015 116

    IC Fabrication: Ion Implantation

    • Si substrate (p-type) • Grow oxide (thermally) • Add photoresist • Expose (visible or UV source) • Etch (chemical such as HF) • Ion implantation (inject dopants) • Diffuse (increase temperature and allow

    dopants to diffuse)

    P-type Si Substrate

    oxide

    N-type diffusion region

  • 6/3/2015 117

    Using Sheet Resistance (Rs)

    • Ion-i pla ted o diffused IC esisto

  • P-type Si Substrate

    6/3/2015 118

    Poly Film Resistor

    • To lower the capacitive parasitics, we should build the resistor further away from substrate

    • We a deposit a thi fil of pol “i hea il doped) material on top of the oxide

    • The poly will have a certain resistance (say 10 Ohms/sq)

    Oxide Polysilicon Film (N+ or P+ type)

  • 6/3/2015 119

    Diffused Resistor -Dope a region of the silicon (n-type or p-type) to an acceptable NA or ND. -Then place a contact at each end of the diffusion region. -The diffusion region will have a given resistivity specified in "Oh s / “ ua e -Then alter the geometry (L/W ration) to get the desired resistance - typically these have a sheet resistance between 100 to 200 ohms/sq - to save space -These are laid out using a serpentine geometry

  • -The interesting thing about the l/W ratio is that if l=W, then the shape is a square and R=Rs, this is true no matter how big the square is. -In fact, the l/W ratio is actually the number of squares in a given trace geometry - We typically just count the squares and use:

    R= Rs*(no.of.squares)

    6/3/2015 120

  • 6/3/2015 121

    Polysilicon Resistor -Another way to fabricate a resistor is to use Polysilicon. -Polysilicon has a high resistivity prior to Ion Implantation -Use undoped Polysilicon to create a high value resistor Before Ion Implantation : Rs = 10M Ohms/Square After Ion Implantation : Rs = 20 to 40 Ohms/Square - Typically don't even need 1 square to get our resistively so we don't need to do a serpentine layout - One drawback is that the resistance can vary widely with process when using less than 1 square to get a resistor in the k-Ohms range. - These are typically used when we just want a BIG resistor and don't care about the exact value

  • 6/3/2015 122

    Metal Resistor -Metal can also be used for very small resistors

    -The M1 layer typically has sheet resistance on the order of mohms/sq. - Use a serpentine layout to get a small resistor (1-10 ohms)

  • Cross sections of resistors of various types available from a typical n-well CMOS process.

    -n-well process is used for medium value of resistors, while the n+ and p+ diffusions are useful for low value resistors.

    -The resistance value depends on the length and width of the diffused regions, the tolerance of the resistor value is very poor (i.e., 20 to 50 %)

    6/3/2015 123

  • 6/3/2015 124

    Capacitors

    • Composed of two conductive plates separated by an insulator (or dielectric). – Commonly illustrated as two parallel metal plates

    separated by a distance, d.

    – C = e A/d – where e = er eo – er is the relative dielectric constant – eo is the vacuum permittivity

  • 6/3/2015 125

    CMOS Capacitors -There are 3 common ways to make a capacitor

    1) MOS Capacitor: -simply create a MOS structure where the Gate (Metal) terminal is one terminal and the Body (Semiconductor) terminal is Ground - while this is easy to implement, the capacitance changes with the bias voltage (i.e., VG) due to the depletion and inversion which occurs

  • 6/3/2015 126

    MIM Capacitor -"Metal Insulator Metal"-this is simply a parallel plate capacitor using two metals and an insulator -This type of capacitor is created using an extra process step that puts in an additional metal layer that can be very close to one of the other metal layers to get a smaller plate-to-plate separation -Since the plates are made of metal, the capacitance doesn't change with bias voltage-these capacitors are not as large as MOS capacitors

  • Interpoly and MOS capacitors in an n-well CMOS process.

    6/3/2015 127

  • 6/3/2015 128

  • UNIT 2 BASIC ELECTRICAL PROPERTIES

    Topics • Basic electrical properties of MOS and BiCMOS

    circuits: • Ids-Vds relationships • MOS transistor threshold voltage, gm, gds • figure of merit wo • pass transistor • NMOS inverter • Various pull-ups • CMOS inverter analysis and design • BiCMOS inverters

    6/3/2015 129

  • MOSFET I-V Characteristics I-V Plots, Channel Length Modulation

    – Saturation equation yields curves independent of VDS. Not sure! So we consider the effect of channel length modulation.

    130

    Quadratic Relationship

    0 0.5 1 1.5 2 2.5 0

    1

    2

    3

    4

    5

    6 x 10

    -4

    V DS (V)

    I D (A

    )

    VGS= 2.5 V

    VGS= 2.0 V

    VGS= 1.5 V

    VGS= 1.0 V

    Resistive Saturation

    VDS = VGS - VT

    6/3/2015

  • MOSFET I-V Characteristics Channel Length Modulation

    • Channel Length Modulation

    – With pinch-off the channel at the point y such that Vc(y)=VGS - VT0, The effective channel length is e ual to L = L – ΔL

    – ΔL is the length of channel segment over which QI=0.

    – Pla e L i the ID(SAT) equation:

    20)( )(

    2VV

    L

    WCI TGS

    oxnSATD

    ΔL Drain

    n+ Source

    n+

    Substrate (p-Si)

    (p+) (p+)

    Oxide 0 y L’ L

    Channel Pinch-off point (QI=0)

    Depletion region

    VB=0

    VS=0 VGS>VT0

    VDS>VDSAT

    6/3/2015 131

  • MOSFET I-V Characteristics Channel Length Modulation

    – ΔL increases with an increase in VDS. We can use

    – λ: channel length modulation coefficient

    – ID(SAT) can be rewritten as

    – The above form produces a discontinuity of current at VDS=VGS-VT0. We can include the term in ID(lin) with little error since λ is typically less than 0.1. We will usually ignore λ in manual calculations.

    VLVL

    L

    ΔLLL

    ΔLLLΔLLL DSDS

    1

    1

    1

    11

    1

    11111

    '

    1

    )1()(2

    20)( VVV

    L

    WCI DSTGS

    oxnSATD

    6/3/2015 132

  • MOSFET I-V Characteristics Substrate Bias Effect

    – So far, VSB=0 and thus VT0 used in the equations. – Clearly not always true – must consider body effect – Two MOSFETs in series:

    – – – V“B M = VD“ M ≠ . Thus, VT i the M e uatio is epla ed

    by VT = VT(VSB) as developed in the threshold voltage section.

    D

    S G

    D

    G

    M1

    M2 VSB

    S

    6/3/2015 133

  • MOSFET I-V Characteristics Substrate Bias Effect (Cont.)

    • The general form of ID can be written as • ID = f (VGS,VDS,VSB) • which due to the body effect term is non-

    linear and more difficult to handle in manual calculations

    6/3/2015 134

  • MOSFET I-V Characteristics Summary of Analytical Equations

    – The voltage directions and relationships for the three modes of pMOS are in contrast to those of nMOS.

    nMOS

    Mode ID Voltage Range

    Cut-off 0 VGSVT

    Linear ( nCox/2)(W/L)[2(VGS-

    VT)VDS-VDS2]

    VGS VT,VDS> VGS -VT

    Saturatio

    n

    ( nCox/2)(W/L)(VGS-

    VT)2(1+ VDS)

    VGS VT,VDS VGS -VT

    G

    D

    B

    S

    VDS VSB VGS ID

    G

    S

    B

    D

    VDS

    VSB VGS

    ID

    6/3/2015 135

  • 6/3/2015 136

    Pass-Transistor Logic Circuits (1)

    A simple approach for implementing logic functions utilizes series and parallel combinations of switches that are controlled by input variables to

    connect the input and output nodes.

    Each of the switches can be implemented either by a single NMOS transistor or by a pair of CMOS

    transistors connected in CMOS transmission gate

    configuration. CMOS transmission gate Y=AC

  • 6/3/2015 137

    Pass-Transistor Logic Circuits (2)

    An essential requirement in the design of pass-transistor logic is ensuring that every circuit node has at all times a low-resistance path to

    VDD or to ground.

    If B is high, S1 closes and Y=A.

    Y will be VDD if A is high or ground if A is low.

    If B is low, S1 opens and Y becomes a high-impedance node.

    If voltage of Y is initially zero, it will remain so.

    If voltage of Y is initially high at VDD, then the inevitable leakage current will

    discharge the C and can no longer be considered a static circuit.

    A basic design requirement of PTL circuits is that every node have, at all times, a low resistance path to either ground or VDD. Such a path does not exist in (a) when B is low and S1 is open. It is provided

    in (b) through switch S2.

  • 6/3/2015 138

    Pass-Transistor Logic Circuits (3)

    The problem can be easily solved by establishing for node Y a low-resistance path that is activated when B goes low.

    Another switch, S2, controlled by B is connected between Y and ground. When B goes low, S2 closes and establishes a low-resistance path

    between Y and ground.

    A basic design requirement of PTL circuits is that every node have, at all times, a low resistance path to either ground or VDD. Such a path does not exist in (a) when B is low and S1 is open. It is provided in

    (b) through switch S2.

  • MOSFET Ids-Vds

    6/3/2015 139

  • Terminal Voltages

    • Mode of operation depends on Vg, Vd, Vs – Vgs = Vg – Vs – Vgd = Vg – Vd – Vds = Vd – Vs = Vgs - Vgd

    • Source and drain are symmetric diffusion terminals – By convention, source is terminal at lower voltage – Hence Vds 0

    • nMOS body is grounded. • Three regions of operation

    – Cutoff – Linear – Saturation

    Vg

    Vs

    Vd

    Vgd

    Vgs

    Vds

    +-

    +

    -

    +

    -

    6/3/2015 140

  • nMOS Cutoff

    • No channel • Ids = 0

    +-

    Vgs

    = 0

    n+ n+

    +-

    Vgd

    p-type body

    b

    g

    s d

    6/3/2015 141

  • nMOS Linear

    • Channel forms • Current flows from d to s

    – e- from s to d • Ids increases with Vds • Similar to linear resistor

    +-

    Vgs

    > Vt

    n+ n+

    +-

    Vgd

    = Vgs

    +-

    Vgs

    > Vt

    n+ n+

    +-

    Vgs

    > Vgd

    > Vt

    Vds

    = 0

    0 < Vds

    < Vgs

    -Vt

    p-type body

    p-type body

    b

    g

    s d

    b

    g

    s dIds

    6/3/2015 142

  • nMOS Saturation

    • Channel pinches off • Ids independent of Vds • We say current saturates • Similar to current source

    +-

    Vgs

    > Vt

    n+ n+

    +-

    Vgd

    < Vt

    Vds

    > Vgs

    -Vt

    p-type body

    b

    g

    s d Ids

    6/3/2015 143

  • I-V Characteristics

    • In Linear region, Ids depends on – How much charge is in the channel? – How fast is the charge moving?

    6/3/2015 144

  • Channel Charge

    • MOS structure looks like parallel plate capacitor while operating in inversion

    – Gate – oxide – channel • Qchannel =

    n+ n+

    p-type body

    +

    Vgd

    gate

    + +

    source

    -

    Vgs

    -drain

    Vds

    channel-

    Vg

    Vs

    Vd

    Cg

    n+ n+

    p-type body

    W

    L

    tox

    SiO2 gate oxide

    (good insulator, ox

    = 3.9)

    polysilicongate

    6/3/2015 145

  • Channel Charge

    • MOS structure looks like parallel plate capacitor while operating in inversion

    – Gate – oxide – channel • Qchannel = CV • C =

    n+ n+

    p-type body

    +

    Vgd

    gate

    + +

    source

    -

    Vgs

    -drain

    Vds

    channel-

    Vg

    Vs

    Vd

    Cg

    n+ n+

    p-type body

    W

    L

    tox

    SiO2 gate oxide

    (good insulator, ox

    = 3.9)

    polysilicongate

    6/3/2015 146

  • Channel Charge

    • MOS structure looks like parallel plate capacitor while operating in inversion

    – Gate – oxide – channel • Qchannel = CV • C = Cg = eoxWL/tox = CoxWL • V =

    n+ n+

    p-type body

    +

    Vgd

    gate

    + +

    source

    -

    Vgs

    -drain

    Vds

    channel-

    Vg

    Vs

    Vd

    Cg

    n+ n+

    p-type body

    W

    L

    tox

    SiO2 gate oxide

    (good insulator, ox

    = 3.9)

    polysilicongate

    Cox = ox / tox

    6/3/2015 147

  • Channel Charge • MOS structure looks like parallel plate

    capacitor while operating in inversion

    – Gate – oxide – channel • Qchannel = CV • C = Cg = eoxWL/tox = CoxWL • V = Vgc – Vt = (Vgs – Vds/2) – Vt

    n+ n+

    p-type body

    +

    Vgd

    gate

    + +

    source

    -

    Vgs

    -drain

    Vds

    channel-

    Vg

    Vs

    Vd

    Cg

    n+ n+

    p-type body

    W

    L

    tox

    SiO2 gate oxide

    (good insulator, ox

    = 3.9)

    polysilicongate

    Cox = ox / tox

    6/3/2015 148

  • Carrier velocity

    • Charge is carried by e- • Carrier velocity v proportional to lateral E-field

    between source and drain

    6/3/2015 149

  • Carrier velocity

    • Charge is carried by e- • Carrier velocity v proportional to lateral E-field

    between source and drain

    • v = mE m called mobility • E =energy

    6/3/2015 150

  • Carrier velocity

    • Charge is carried by e- • Carrier velocity v proportional to lateral E-field

    between source and drain

    • v = mE m called mobility • E = Vds/L • Time for carrier to cross channel:

    – t =

    6/3/2015 151

  • Carrier velocity

    • Charge is carried by e- • Carrier velocity v proportional to lateral E-field

    between source and drain

    • v = mE m called mobility • E = Vds/L • Time for carrier to cross channel:

    – t = L / v

    6/3/2015 152

  • nMOS Linear I-V

    • Now we know – How much charge Qchannel is in the channel – How much time t each carrier takes to cross ds

    I

    6/3/2015 153

  • nMOS Linear I-V

    • Now we know – How much charge Qchannel is in the channel – How much time t each carrier takes to cross

    channelds

    QI

    t

    6/3/2015 154

  • nMOS Linear I-V

    • Now we know – How much charge Qchannel is in the channel – How much time t each carrier takes to cross

    channel

    ox 2

    2

    ds

    dsgs t ds

    dsgs t ds

    QI

    t

    W VC V V V

    L

    VV V V

    ox = W

    CL

    6/3/2015 155

  • nMOS Saturation I-V

    • If Vgd < Vt, channel pinches off near drain – When Vds > Vdsat = Vgs – Vt

    • Now drain voltage no longer increases current

    dsI

    6/3/2015 156

  • nMOS Saturation I-V

    • If Vgd < Vt, channel pinches off near drain – When Vds > Vdsat = Vgs – Vt

    • Now drain voltage no longer increases current

    2dsat

    ds gs t dsat

    VI V V V

    6/3/2015 157

  • nMOS Saturation I-V

    • If Vgd < Vt, channel pinches off near drain – When Vds > Vdsat = Vgs – Vt

    • Now drain voltage no longer increases current

    22

    2

    dsatds gs t dsat

    gs t

    VI V V V

    V V

    6/3/2015 158

  • nMOS I-V Summary

    • Shockley 1st order transistor models

    2

    cutoff

    linear

    saturatio

    0

    2

    2n

    gs t

    dsds gs t ds ds dsat

    gs t ds dsat

    V V

    VI V V V V V

    V V V V

    6/3/2015 159

  • Example

    • Example: a 0.6 mm process from AMI semiconductor

    – tox = 100 Å – m = 350 cm2/V*s – Vt = 0.7 V

    • Plot Ids vs. Vds – Vgs = 0, 1, 2, 3, 4, 5 – Use W/L = 4/2 l

    14

    2

    8

    3.9 8.85 10350 120 /

    100 10ox

    W W WC A V

    L L L

    0 1 2 3 4 50

    0.5

    1

    1.5

    2

    2.5

    Vds

    I ds (m

    A)

    Vgs

    = 5

    Vgs

    = 4

    Vgs

    = 3

    Vgs

    = 2

    Vgs

    = 1

    6/3/2015 160

  • MOS Transistor Basics Two Terminal Structure

    • Two terminal structure (p-substrate): The MOS capacitor

    • Important derived parameters. With VG = VB = 0: – F – Buck Fermi Potential (Substrate) – S – Surface Potential (Substrate)

    161

    A D I

    VG

    VB

    6/3/2015

  • MOS Transistor Basics Two Terminal Structure (Continued)

    – VSB – Flat Band Voltage (applied external voltage to G-B to flatten bands of substrate – equal to built-in potential difference of MOS – equal to work function difference GB between the substrate (channel) and gate.

    • Operation – With VG0, but small, VB=0, Depletion – Holes repelled from substrate-oxide interface due to positive bias leaving negatively charged fixed acceptors ions behind. The result is a region below the interface that is depleted of mobile carriers.

    • Depletion region thickness

    Nq A

    FS

    d

    Si

    x 2

    6/3/2015 162

  • MOS Transistor Basics Two Terminal Structure (Continued)

    • Depletion region charge density

    – Note that this density is per unit of area. – With VG>0 and larger, VB=0, Inversion –

    A n-type inversion layer forms, a condition known as surface inversion. The surface is inverted when the density of electrons at the surface equals the density of holes in the bulk. This implies that s has the same magnitude but opposite sign to F. At the point depletion depth fixed and the maximum depletion region depth is at s = -F. This depth is:

    Nq A

    FS

    dm

    i

    x 22

    FsSAdA iNqxNqQ 2

    6/3/2015 163

  • MOS Transistor Basics Two Terminal Structure (Continued) – The corresponding

    depletion charge density (per unit area) at surface inversion is

    – The inversion phenomena is the mechanism that forms the n-channel. The depletion depth and the depletion region charge are critical in determining properties of MOSFET.

    FSAdA iNqxNqQ 220

    6/3/2015 164

  • MOS Transistor Basics Four Terminal Structure

    • p-Substrate • The MOS n-channel

    transistor structure:

    L

    G(ate) D(rain)

    n+

    B(Body, Bulk or Substrate)

    S(ource)

    n+

    p

    6/3/2015 165

  • MOS Transistor Basics Four Terminal Structure (Continued)

    • Symbols: n-channel - p-substrate; p-channel – n-substrate

    • N-channel (for P-channel, reverse arrow or add bubbles)

    • P-channel

    • Enhancement mode: no conducting channel exists at VGS = 0

    • Depletion mode: a conducting channel exists at VGS = 0

    G

    D

    B

    S

    G

    D

    S

    G

    D

    S

    G G

    D

    S

    S

    D

    6/3/2015 166

  • MOS Transistor Basics Four Terminal Structure (Continued)

    • Source and drain identification

    G

    D

    B

    S

    VDS

    VSB

    VGS

    6/3/2015 167

  • Threshold Voltage Components

    • Consider the prior 3-D drawing: Set VS=0, VDS=0, and VSB=0. – Increase VGS until the channel is inverted. Then a

    conducting channel is formed and the depletion region thickness (depth) is maximum as is the surface potential.

    – The value of VGS needed to cause surface inversion (channel creation) is the threshold voltage VT0. The 0 refers to VSB=0.

    – VGS< VT0: no channel implies no current flow possible. With VGS> VT0, existence the channel implies possible current flow.

    6/3/2015 168

  • Threshold Voltage Components (Cont.)

    • GC work function difference between gate and channel material which is the built-in voltage that must be offset by voltage applied to flatten the bands at the surface.

    • Apply voltage to achieve surface inversion -2F • Additional voltage must be applied to offset the

    depletion region charge due to the acceptor ions. At inversion, this charge with VSB=0 is QB0= Q0.

    • For VSB non-zero,

    • The voltage required to offset the depletion region charge is defined by –QB/Cox where Cox = εox/tox with tox, the oxide thickness, and Cox, the gate oxide capacitance per unit area.

    • 4) The final component is a fixed positive charge density that appears at the interface between the oxide and the substrate, Qox. The voltage to offset this charge is:

    VNqQ SBFSA i 22

    C

    Q

    ox

    ox

    6/3/2015 169

  • Threshold Voltage Components (Cont.)

    • These components together give:

    • For VSB=0, VT0 has QB replaced by QB0. This gives a relationship between VT and VT0 which is:

    • Thus the actual threshold voltage VT differs from VT0 by the term given. Going back to the definition of QB, this term is equal to:

    • In which γ is the substrate-bias (or body effect) coefficient.

    ox

    BBTT

    C

    QQVV 00

    FSBF V 22

    ox

    ox

    ox

    BFGCT

    C

    Q

    C

    QV 2

    6/3/2015 170

  • Threshold Voltage Components (Cont.) • The final expression for VT0 and VT

    are

    • and

    – The threshold voltage depends on the source-to-bulk voltage which is clearly separated out. The component is referred to as body effect. If the source to body voltage VSB is non-zero, the corrective term must be applied to VT0.

    C

    Nq

    ox

    SA i2

    FSBFTT VVV 220

    ox

    ox

    ox

    BFGCT

    C

    Q

    C

    QV 00 2

    6/3/2015 171

  • Threshold Voltage Components (Cont.)

    • • Those parameters in the VT

    equation are signed. The following table gives their signs for nMOS and pMOS transistor.

    • For real designs, the threshold voltage, due to variation in oxide thickness, impurity concentrations, etc., VT0 and γ should be measured from the actual process.

    Parameter nMOS pMOS

    F QB, QB0 γ

    VSB

    6/3/2015 172

  • R

    Vss

    R

    1 0

    0 1

    Vo

    •Inverter : basic requirement for producing a complete range of Logic circuits

    6/3/2015 173

  • Vdd

    Vss

    Vo

    Vin

    R Pull-Up

    Pull Down

    Basic Inverter: Transistor with source connected to ground and a load resistor connected from the drain to the positive Supply rail

    Output is taken from the drain and control input connected between gate and ground

    Resistors are not easily formed in silicon - they occupy too much area

    Transistors can be used as the pull-up device

    6/3/2015 174

  • Vdd

    Vss

    Vo

    Vin

    D

    S

    D

    S

    • Pull-Up is always on – Vgs = 0; depletion

    • Pull-Down turns on when Vin > Vt

    NMOS Depletion Mode Transistor Pull - Up

    Vt V0 Vdd

    Vi

    • With no current drawn from outputs, Ids for both transistors is equal

    Non-zero output

    6/3/2015 175

  • Vgs=0.2VDD

    Vgs=0.4 VDD

    Vgs=0.6 VDD

    Vgs=0.8VDD

    Vgs=VDD

    Ids

    Vds VDD

    Vo VDD

    VDD

    Vin

    Ids

    VDD –Vds

    Ids

    Vds

    Vgs=-0.6VDD

    Vgs=-0.4 VDD

    Vgs=-0.2 VDD

    Vgs=0

    Vgs=0.2VDD

    6/3/2015 176

  • Vo VDD

    VDD

    Vin

    Vinv

    • Point where Vo = Vin is called Vinv

    Decreasing Zpu/Zpd

    Increasing Zpu/Zpd

    • Transfer Characteristics and Vinv can be shifted by altering ratio of pull-up to Pull down impedances

    6/3/2015 177

  • NMOS Depletion Mode Inverter Characteristics

    • Dissipation is high since rail to rail current flows when Vin = Logical 1

    • Switching of Output from 1 to 0 begins when Vin exceeds Vt of pull down device

    • When switching the output from 1 to 0, the pull up device is non-saturated initially and this presents a lower resistance through which to charge capacitors (Vds < Vgs – Vt)

    6/3/2015 178

  • Vss

    Vo

    Vin

    D

    S

    D

    S

    NMOS Enhancement Mode Transistor Pull - Up

    Vdd

    Vgg

    Vt (pull down)

    V0 Vdd

    Vt (pull up)

    Non zero output

    Vin

    • Dissipation is high since current flows when Vin = 1 • Vout can never reach Vdd (effect of channel) • Vgg can be derived from a switching source (i.e. one phase of a clock, so that dissipation can be significantly reduced • If Vgg is higher than Vdd, and extra supply rail is required

    6/3/2015 179

  • When cascading logic devices care must be taken to preserve integrity of logic levels i.e. design circuit so that Vin = Vout = Vinv

    Cascading NMOS Inverters

    Determine pull – up to pull-down ratio for driven inverter

    6/3/2015 180

  • Assume equal margins around inverter; Vinv = 0.5 Vdd

    Assume both transistors in saturation, therefore: Ids = K (W/L) (Vgs – Vt)2/2

    Depletion mode transistor has gate connected to source, i.e. Vgs = 0 Ids = K (Wpu/Lpu) (-Vtd)

    2/2

    Ids = K (Wpd/Lpd) (Vinv – Vt)2/2 Enhancement mode device Vgs = Vinv, therefore

    Assume currents are equal through both channels (no current drawn by load) (Wpd/Lpd) (Vinv – Vt)2 = (Wpu/Lpu) (-Vtd)2 Convention Z = L/W Vinv = Vt – Vtd / (Zpu/Zpd)1/2 Substitute in typical values Vt = 0.2 Vdd ; Vtd = -0.6 Vdd ; Vinv = 0.5 Vdd

    This gives Zpu / Zpd = 4:1 for an nmos inverter directly driven by another inverter

    6/3/2015 181

  • Vdd Vdd

    A B C

    Inverter 1 Inverter 2

    Vin1 Vout2

    Pull-Up to Pull-Down Ratio for an nMOS inverter driven through 1 or more pass transistors

    It is often the case that two inverters are connected via a series of switches (Pass Transistors) We are concerned that connection of transistors in series will degrade the logic levels into Inverter 2. The driven inverter can be designed to deal with this. (Zpu/Zpd >= 8/1)

    6/3/2015 182

  • Complimentary Transistor Pull – Up (CMOS)

    Vdd

    Vss

    Vo Vin

    Vout

    Vin

    Vdd Vss

    Vtn Vtp

    Logic 0 Logic 1

    P on N off

    Both On

    N on P off

    6/3/2015 183

  • Vout

    Vin

    Vdd Vss

    Vtn Vtp

    P on N off

    Both On

    N on P off

    1 2 3 4 5

    1: Logic 0 : p on ; n off 5: Logic 1: p off ; n on 2: Vin > Vtn. Vdsn large – n in saturation Vdsp small – p in resistive Small current from Vdd to Vss 4: same as 2 except reversed p and n 3: Both transistors are in saturation Large instantaneous current flows

    6/3/2015 184

  • CMOS INVERTER CHARACTERISTICS

    Current through n-channel pull-down transistor 2

    2tnin

    nn VVI

    Current through p-channel pull-up transistor

    22

    tpDDinp

    p VVVI

    At logic threshold, In = I

    p

    tpDDtnp

    n

    p

    nin

    tpDDintninp

    n

    tpDDinp

    tninn

    tpDDinp

    tninn

    VVVV

    VVVVV

    VVVVV

    VVVVV

    1

    22

    22

    22

    p

    n

    p

    ntntpDD

    in

    VVV

    V

    1

    If n =

    p and V

    tp = –V

    tn

    2DD

    inV

    V

    n

    nn

    p

    pp

    L

    W

    L

    W

    Mobilities are unequal : µn = 2.5 µp

    Z = L/W

    Zpu/Zpd = 2.5:1 for a symmetrical CMOS inverter

    6/3/2015 185

  • CMOS Inverter Characteristics

    • No current flow for either logical 1 or logical 0 inputs

    • Full logical 1 and 0 levels are presented at the output

    • For devices of similar dimensions the p – channel is slower than the n – channel device

    6/3/2015 186

  • CMOS Inverter VTC

    00.51

    1.522.5

    0 0.5 1 1.5 2 2.5

    Vin (V)

    Vo

    ut (

    V)

    NMOS off

    PMOS res

    NMOS sat

    PMOS res

    NMOS sat

    PMOS sat

    NMOS res

    PMOS sat NMOS res

    PMOS off

    6/3/2015 187

  • Cutoff Linear Saturation

    pMOS Vin -VDD= VGS> VT Vin -VDD=VGS< VT Vin -Vout=VGD< VT

    Vin -VDD=VGS> VT

    Vin -Vout=VGD>VT

    nMOS Vin = VGS< VT

    Vin =VGS> VT

    Vin -Vout =VGD> VT

    Vin =VGS> VT

    Vin -Vout =VGD< VT

    VDD

    Vin Vout

    CL

    G S

    D

    D

    G

    S

    Regions of operations For nMOS and pMOS In CMOS inverter

    6/3/2015 188

  • Impact of Process Variation

    00.51

    1.522.5

    0 0.5 1 1.5 2 2.5

    Vin (V)

    Vo

    ut (

    V)

    Nominal

    Good PMOS

    Bad NMOS

    Bad PMOS

    Good NMOS

    Pprocess variations (mostly) cause a shift in the switching threshold

    6/3/2015 189

  • Cmos Inverter

    • Look at why our NMOS and PMOS inverters might not be the best inverter designs

    • Introduce the CMOS inverter • Analyze how the CMOS inverter works

    6/3/2015 190

  • NMOS Inverter

    • When VIN changes to logic 0, transistor gets cutoff. ID goes to 0.

    • ‘esisto oltage goes to ze o. VOUT pulled up to 5 V. D ID = 5/R

    +

    VDS _

    R

    5 V

    VOUT

    VIN

    5 V

    0 V

    D ID = 0

    + VDS _

    R

    5 V

    VOUT

    VIN

    0 V

    5 V

    When VIN is logic 1, VOUT is

    logic 0.

    Constant nonzero current

    flows through transistor.

    Power is used even though

    no new computation is being

    performed.

    6/3/2015 191

  • PMOS Inverter

    • When VIN changes to logic 1, transistor gets cutoff. ID goes to 0.

    • ‘esisto oltage goes to ze o. VOUT pulled do to V.

    5 V

    VOUT

    ID = -5/R

    - VDS +

    R

    5 V

    When VIN is logic 0, VOUT is

    logic 1.

    Constant nonzero current

    flows through transistor.

    Power is used even though

    no new computation is being

    performed.

    VIN

    0 V

    5 V

    VOUT

    ID = 0

    - VDS +

    R

    0 V

    VIN

    5 V

    6/3/2015 192

  • Analysis of CMOS Inverter

    • We can follow the same procedure to solve for currents and voltages in the CMOS inverter as we did for the single NMOS and PMOS circuits.

    • Remember, now we have two transistors so we write two I-V relationships and have twice the number of variables.

    • We can roughly analyze the CMOS inverter graphically.

    D

    S

    VDD (Logic1)

    D

    S

    VOUT

    VIN

    NMOS is “pull-down device” PMOS is “pull-up device” Each shuts off when not pulling

    6/3/2015 193

  • D

    S

    VDD (Logic 1)

    D

    S

    VOUT

    VIN

    VGS(n) = VIN

    VGS(p) = VIN – VDD

    VGS(p) = VDS(n) - VDD

    ID(p) = -ID(n)

    VDS(n) = VOUT

    VDS(p) = VOUT – VDD

    VDS(p) = VDS(n) - VDD

    +

    VDS(n) _

    Use these equations

    to write both I-V equations in terms of

    VDS(n) and ID(n)

    Linear KVL and KCL Equations

    6/3/2015 194

  • VDS(n)

    ID(n)

    VDD

    NMOS I-V curve

    PMOS I-V curve (written in terms of NMOS variables)

    CMOS Analysis

    VIN = VGS(n) =

    0.9 V

    As VIN goes up, VGS(n) gets bigger and VGS(p) gets less negative.

    6/3/2015 195

  • VDS(n)

    ID(n)

    VDD

    NMOS I-V curve

    PMOS I-V curve (written in terms of NMOS variables)

    CMOS Analysis

    VIN = VGS(n) =

    1.5 V

    As VIN goes up, VGS(n) gets bigger and VGS(p) gets less negative.

    6/3/2015 196

  • VDS(n)

    ID(n)

    VDD

    NMOS I-V curve

    PMOS I-V curve (written in terms of NMOS variables)

    CMOS Analysis

    VIN = VGS(n) =

    2.0 V

    As VIN goes up, VGS(n) gets bigger and VGS(p) gets less negative.

    6/3/2015 197

  • VDS(n)

    ID(n)

    VDD

    NMOS I-V curve

    PMOS I-V curve (written in terms of NMOS variables)

    CMOS Analysis

    VIN = VGS(n) =

    2.5 V

    As VIN goes up, VGS(n) gets bigger and VGS(p) gets less negative.

    6/3/2015 198

  • VDS(n)

    ID(n)

    VDD

    NMOS I-V curve

    PMOS I-V curve (written in terms of NMOS variables)

    CMOS Analysis

    VIN = VGS(n) =

    3.0 V

    As VIN goes up, VGS(n) gets bigger and VGS(p) gets less negative.

    6/3/2015 199

  • VDS(n)

    ID(n)

    VDD

    NMOS I-V curve

    PMOS I-V curve (written in terms of NMOS variables)

    CMOS Analysis

    VIN = VGS(n) =

    3.5 V

    As VIN goes up, VGS(n) gets bigger and VGS(p) gets less negative.

    6/3/2015 200

  • VDS(n)

    ID(n)

    VDD

    NMOS I-V curve

    PMOS I-V curve (written in terms of NMOS variables)

    CMOS Analysis

    VIN = VGS(n) =

    4.1 V

    As VIN goes up, VGS(n) gets bigger and VGS(p) gets less negative.

    6/3/2015 201

  • VOUT

    VIN C B A E D VDD

    VDD

    CMOS Inverter VOUT vs. VIN

    NMOS: cutoff

    PMOS: triode

    NMOS: saturation

    PMOS: triode

    NMOS: triode

    PMOS: saturation

    NMOS: triode

    PMOS: cutoff

    both sat.

    curve very steep here; only in “C” for small interval of VIN

    6/3/2015 202

  • ID

    VIN C B A E D VDD

    CMOS Inverter ID

    6/3/2015 203

  • D

    S

    VDD

    D

    S

    VOUT1

    VIN D

    S

    VDD

    D

    S

    VOUT2

    Important Points

    • No ID current flow in Regions A and E if nothing attached to output; current flows only during logic transition

    • If another inverter (or other CMOS logic) attached to output, transistor gate terminals of attached stage do not permit current: current still flows only during logic transition

    6/3/2015 204

  • Impact of Process Variation

    00.51

    1.522.5

    0 0.5 1 1.5 2 2.5

    Vin (V)

    Vo

    ut (

    V)

    Nominal

    Good PMOS

    Bad NMOS

    Bad PMOS

    Good NMOS

    Pprocess variations (mostly) cause a shift in the switching threshold

    6/3/2015 205

  • Beta Ratio

    • If bp / bn 1, switching point will move from VDD/2

    Vout

    0

    Vin

    VDD

    VDD

    0.5

    12

    10p

    n

    0.1p

    n

    6/3/2015 206

  • Unit III VLSI CIRCUIT DESIGN PROCESSES

    Topics • VLSI design flow • MOS layers • Stick diagrams • Design Rules and Layout • 2 um CMOS design rules for wires • Contacts and Transistors • Layout diagrams for NMOS and • CMOS inverters and gates, Scaling of MOS circuits

    6/3/2015 207

  • VLSI Design of approach of IC

    208 6/3/2015

  • Layer Types

    • p-substrate • n-well • n+ • p+ • Gate oxide (thin oxide) • Gate (polycilicon) • Field Oxide

    – Insulated glass – Provide electrical isolation

    6/3/2015 209

  • Stick diagram

    Encodings for a simple single metal nMOS process

    COLOR STICK ENCODING LAYERS MASK LAYOUT ENCODING CIF LAYER

    MONOCROME MONOCROME

    GREEN

    RED

    BLUE

    BLACK

    GRAY

    n-

    diffusion

    n+active

    Thniox

    Polysilicon

    Metal 1

    Contact cut

    Overglass NOT

    APPLICABLE nMOS

    ONLY

    YELLO

    W

    Implant

    Buried

    contact

    nMOS

    ONLY

    BROWN

    N

    D

    NP

    N

    M

    N

    C

    N

    G

    NI

    NB

    6/3/2015 210

  • Stick Diagrams

    Metal

    poly

    ndiff

    pdiff

    Can also draw

    in shades of

    gray/line style. Buried Contact

    Contact Cut

    6/3/2015 211

  • Stick Diagrams

    • VLSI design aims to translate circuit concepts onto silicon.

    • Stick diagrams are a means of capturing topography and layer information using simple diagrams.

    • Stick diagrams convey layer information through colour codes (or monochrome encoding).

    • Acts as an interface between symbolic circuit and the actual layout.

    6/3/2015 212

  • Stick Diagrams

    • Does show all components/vias. • It shows relative placement of

    components.

    • Goes one step closer to the layout • Helps plan the layout and routing

    6/3/2015 213

  • Stick Diagrams

    • Does not show – Exact placement of components – Transistor sizes – Wire lengths, wire widths, tub

    boundaries.

    – Any other low level details such as parasitics..

    6/3/2015 214

  • Stick Diagrams – Some rules

    • Rule 1. • Whe t o o o e sti ks of the sa e t pe

    cross or touch each other that represents electrical contact.

    Stick Diagrams

    6/3/2015 215

  • Stick Diagrams – Some rules

    • Rule 2. • Whe t o o o e sti ks of diffe e t t pe

    cross or touch each other there is no electrical contact. (If electrical contact is needed we have to show the connection explicitly).

    Stick Diagrams

    6/3/2015 216

  • Stick Diagrams – Some rules

    • Rule 3. • When a poly crosses diffusion it represents

    a transistor.

    Note: If a contact is shown then it is not a transistor.

    Stick Diagrams

    6/3/2015 217

  • Stick Diagrams – Some rules

    • Rule 4. • In CMOS a demarcation line is drawn to

    avoid touching of p-diff with n-diff. All pMOS must lie on one side of the line and all nMOS will have to be on the other side.

    Stick Diagrams

    6/3/2015 218

  • 6/3/2015 219

  • 5 V

    Dep

    Vout

    Enh

    0V

    Vin

    5 v

    0 V

    Vin

    5 v

    NMOS INVERTER

    6/3/2015 220

  • NMOS-NAND

    6/3/2015 221

  • NMOS-NOR

    6/3/2015 222

  • NMOS EX-OR

    6/3/2015 223

  • NMOS EX-NOR

    6/3/2015 224

  • PMOS-INVERTER

    6/3/2015 225

  • PMOS NAND

    6/3/2015 226

  • PMOS-NOR

    6/3/2015 227

  • Sticks design CMOS NAND:

    • Start with NAND gate:

    6/3/2015 228

  • 6/3/2015 229

    NAND sticks

    VDD

    a

    VSS

    out

    b

  • Stick Diagram - Example

    NOR Gate

    OUT

    B

    A

    6/3/2015 230

  • Stick Diagram - Example

    Power

    Ground

    B

    C

    Out A

    6/3/2015 231

  • 2 I/P OR GATE

    6/3/2015 232

  • 2 I/P AND

    6/3/2015 233

  • 6/3/2015 234

  • Y= AB+CD

    6/3/2015 235

  • Y= AB+CD “TICK

    6/3/2015 236

  • 6/3/2015 237

  • Design Rules

    • Design rules are a set of geometrical specifications that dictate the design of the layout masks

    • A design rule set provides numerical values – For minimum dimensions – For minimum line spacings

    • Design rules must be followed to insure functional structures on the fabricated chip

    • Design rules change with technological advances (www.mosis.org)

    6/3/2015 238

  • Silicon Foundry

    • A standard • A foundry allows designers to submit designs

    using a state-of-the-art process

    • Each foundry state simpler set of design rules called lambda design rules

    • All widths, spacings, and distances are written in the form – Value = – TSMC (Thailand Semiconductor Manufacturing

    Corporation)

    m

    6/3/2015 239

  • Design Rules Classification

    • Minimum width • Minimum spacing • Surround • Extension

    6/3/2015 240

  • Physical Limitations

    • Line width limitation of an imaging system – The reticle shadow projected on the photoresist

    does not have sharp edges due to optical diffraction

    • Etching process problem – Undercutting of the resist due to lateral etching

    decreases the resolution

    6/3/2015 241

  • 6/3/2015 242

    Etching Process Problem

    Substrate

    Vertical etching

    Lateral

    Etching

    Substrate

    Isotropic etch

  • Depletion Region

    • If depletion regions of adjacent pn junctions touch, then

    – The current blocking characteristics are altered – Current can flow between the two

    Substrate

    n+ n+

    Limits on n+ spacing

    Spacing

    6/3/2015 243

  • Electrical Capacitive Coupling

    • This occurs between closely spaced conducting lines

    • This leads to a problem called crosstalk – A portion of the electrical energy is coupled to

    another causing noise

    – This is a major problem in high-density design

    6/3/2015 244

  • Electrical Rules

    • An example of an electrical rule is the allowed width of a metal interconnect line

    – To avoid electromigration effects – The design rule set will stipulate the maximum

    current flow level permitted

    6/3/2015 245

  • 3D Perspective

    Polysilicon Aluminum

    6/3/2015 246

  • Design rules and Layout

    • Why we use design rules? – Interface between designer and process engineer – Guidelines for constructing process masks

    6/3/2015 247

  • Design Rules

    Minimum length or width of a feature on a layer is 2

    Why?

    To allow for shape contraction

    Minimum separation of features on a layer is 2

    Why?

    To ensure adequate continuity of the intervening

    materials.

    6/3/2015 248

  • Design Rules

    Minimum width of PolySi and diffusion line 2 Minimum width of Metal line 3 as metal lines run over a more uneven

    surface than other conducting layers to ensure their continuity

    2

    Metal

    Diffusion

    Polysilicon

    3

    2

    6/3/2015 249

  • Design Rules

    PolySi – PolySi space 2 Metal - Metal space 2 Diffusion – Diffusion 3 To avoid the possibility of their associated

    regions overlapping and conducting current

    2

    Metal

    Diffusion

    Polysilicon

    2

    3

    6/3/2015 250

  • Design Rules

    Diffusion – PolySi To prevent the lines overlapping to form unwanted capacitor

    Metal lines can pass over both diffusion and polySi without

    electrical effect. Where no separation is specified, metal lines

    can overlap or cross

    Metal

    Diffusion

    Polysilicon

    6/3/2015 251

  • Metal Vs PolySi/Diffusion

    • Metal lines can pass over both diffusion and polySi without electrical effect

    • It is recommended practice to leave between a metal edge and a polySi or diffusion line to which it is not electrically connected

    Metal

    Polysilicon

    6/3/2015 252

  • poly-poly spacing 2

    diff-diff spacing 3 (depletion regions tend to spread outward)

    metal-metal spacing 2

    diff-poly spacing

    6/3/2015 253

    Review:

  • Note

    • Two Features on different mask layers can be misaligned by a maximum of 2l on the wafer.

    • If the overlap of these two different mask layers can be catastrophic to the design, they must be separated by at least 2l

    • If the overlap is just undesirable, they must be separated by at least l

    6/3/2015 254

  • When a transistor is formed?

    Gate is formed where polySi crosses diffusion with

    thin oxide between these layers.

    Design rules

    min. line width of polySi and diffusion 2

    drain and source have min. length and width of 2

    And

    6/3/2015 255

  • The polySi of the gate extends 2 beyond the gate area on to the field oxide to prevent the drain and source from shorting.

    no overlap overlap

    diffusion short

    • Diffusion Problems

    PolySi extends in the gate region…

    6/3/2015 256

  • Depletion Transistor We need depletion implant

    An implant surrounding the Transistor by 2

    Ensures that no part of the transistor remains

    in the enhancement mode

    A separation of 2 from the gate of an

    enhancement transistor avoids affecting

    the device.

    2

    6/3/2015 257

  • Depletion Transistor

    Implants are separated by 2to prevent them from merging

    2

    6/3/2015 258

  • Butting Contact

    The gate and source of a depletion device can be connected

    by a method known as butting contact. Here metal makes

    contact to both the diffusion forming the source of the

    depletion transistor and to the polySi forming this device’s gate.

    Advantage:

    No buried contact mask required and avoids

    associated processing.

    6/3/2015 259

  • Butting Contact

    n+ n+

    Insulating

    Oxide

    Metal

    Gate Oxide PolySi

    Problem: Metal descending the hole has a tendency to

    fracture at the polySi corner, causing an open circuit.

    6/3/2015 260

  • Buried Contact

    It is a preferred method. The buried contact window

    defines the area where oxide is to be removed so that

    polySi connects directly to diffusion.

    Contact Area must be a min. of 2*2to ensure adequate contact area.

    2

    2

    Contact Area

    6/3/2015 261

  • Buried Contact

    The buried contact window surrounds this contact by in all directions to avoid any part of this area forming a transistor.

    Separated from its related transistor gate by to prevent gate

    area from being reduced.

    6/3/2015 262

  • Buried Contact

    Here gate length is depend upon the alignment of the

    buried contact mask relative to the polySi and therefore

    vary by .

    2

    2

    Channel length PolySi

    Buried contact

    Diffusion 6/3/2015 263

  • Contact Cut Metal connects to polySi/diffusion by contact cut.

    Contact area: 2*2

    Metal and polySi or diffusion must overlap this contact area

    by so that the two desired conductors encompass the contact area despite any mis-alignment between conducting layers

    and the contact hole

    4

    6/3/2015 264

  • Contact Cut Contact cut – any gate: 2apart

    Why? No contact to any part of the gate.

    4

    2

    6/3/2015 265

  • Contact Cut

    Contact cut – contact cut: 2apart

    Why? To prevent holes from merging.

    2

    6/3/2015 266

  • Rules for CMOS layout

    Similar to those for NMOS except No

    1. Depletion implant

    2. Buried contact

    Additional rules

    1. Definition of n-well area

    2. Threshold implant of two types of transistor

    3. Definition of source and drains regions for the

    NMOS and PMOS.

    6/3/2015 267

  • Rules for CMOS layout

    To ensure the separation of the PMOS and NMOS devices,

    n-well supporting PMOS is 6away from the active area of NMOS transistor.

    Why?

    Avoids overlap

    of the associated

    regions

    n-well n+ 6

    6/3/2015 268

  • Rules for CMOS layout

    2

    2

    N-well must completely

    surround the PMOS

    device’s active area by 2

    6/3/2015 269

  • Rules for CMOS layout

    2

    2

    The threshold implant

    mask covers all n-well

    and surrounds the n-well

    by

    6/3/2015 270

  • Rules for CMOS layout

    2

    2

    The p+ diffusion mask

    defines the areas to

    receive a p+ diffusion.

    It is coincident with the

    threshold mask

    surrounding the PMOS

    transistor but excludes

    the n-well region to be

    connected to the supply.

    6/3/2015 271

  • Rules for CMOS layout A p+ diffusion is required to effect the ground connection

    to the substrate. Thus mask also defines this substrate

    region. It surrounds the conducting material of this

    contact by .

    4

    6/3/2015 272

  • Rules for CMOS layout

    Total contact area = 2*4

    Neither NMOS nor CMOS usually allow contact cuts

    to the gate of a transistor, because of the danger of

    etching away part of the gate

    6/3/2015 273

  • UNIT-IV GATE LEVEL DESIGN

    Topics

    • Logic gates and other complex g