Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日...

162
Virtex-6 FPGA SelectIO リソース ユーザー ガイド UG361 (v1.2) 2010 1 18

Transcript of Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日...

Page 1: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

Virtex-6 FPGA SelectIO リソース

ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

Page 2: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com UG361 (v1.2) 2010 年 1 月 18 日

Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in thedevelopment of designs to operate with Xilinx hardware devices. You may not reproduce, distribute, republish, download,display, post, or transmit the Documentation in any form or by any means including, but not limited to, electronic, mechanical,photocopying, recording, or otherwise, without the prior written consent of Xilinx. Xilinx expressly disclaims any liabilityarising out of your use of the Documentation. Xilinx reserves the right, at its sole discretion, to change the Documentationwithout notice at any time. Xilinx assumes no obligation to correct any errors contained in the Documentation, or to advise youof any corrections or updates. Xilinx expressly disclaims any liability in connection with technical support or assistance that maybe provided to you in connection with the Information.

THE DOCUMENTATION IS DISCLOSED TO YOU “AS-IS” WITH NO WARRANTY OF ANY KIND. XILINX MAKESNO OTHER WARRANTIES, WHETHER EXPRESS, IMPLIED, OR STATUTORY, REGARDING THEDOCUMENTATION, INCLUDING ANY WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULARPURPOSE, OR NONINFRINGEMENT OF THIRD-PARTY RIGHTS. IN NO EVENT WILL XILINX BE LIABLE FOR ANYCONSEQUENTIAL, INDIRECT, EXEMPLARY, SPECIAL, OR INCIDENTAL DAMAGES, INCLUDING ANY LOSS OFDATA OR LOST PROFITS, ARISING FROM YOUR USE OF THE DOCUMENTATION.

© 2009 Xilinx, Inc. XILINX, the Xilinx logo, Virtex, Spartan, ISE, and other designated brands included herein are trademarksof Xilinx in the United States and other countries. All other trademarks are the property of their respective owners.本資料は英語版 (v1.2) を翻訳したもので、 内容に相違が生じる場合には原文を優先します。

資料によっては英語版の更新に対応していないものがあ り ます。

日本語版は参考用と してご使用の上、 新情報につきましては、 必ず 新英語版をご参照ください。

改訂履歴

次の表に、 この文書の改訂履歴を示します。

日付 バージョ ン 改訂内容

2009 年 6 月 24 日 1.0 初版リ リース

2009 年 2 月 11 日 1.1 序章に Virtex-6 HXT FPGA のユーザー ガイ ドの リ ファレンスを追加。 16 ページ から一部の DCI カスケード接続の制限を削除。表 1-7、および 表 1-20 ~ 表 1-23 の VCCO における 大参照電圧を 2.625V に変更。 表 1-22、 表 1-25、 および 表 1-26 にある VREF のパラ メータ名を JEDEC 仕様に合う よ うに変更。

LOWPOWER 属性を IBUF_LOW_PWR に変更。 126 ページの図 3-1 および 127 ページの図 3-2 を変更 (DYNOCLKSEL の削除および OCLKB の追加など)。 図 3-2 から DYN_OCLK_INV_EN 属性を削除、 「動的クロ ッ ク反転」 の説明を変更。 「OSERDES のクロ ッキング手法」 の説明を変更。

2010 年 1 月 18 日 1.2 図 1-1 および図 1-3 を修正。 16 ページ の DCI カスケード接続のガイ ド ラインへの追加。 図 1-76、 図 1-77、 表 1-28、 図 1-78、 図 1-79、 図 1-80、 および図 1-81 の修正。 以前の図 1-81 : 「差動 SSTL (1.5V) の DCI 双方向終端」 は有効なインプリ メンテーシ ョ ンではないため、 削除。

第 2 章では、 表 2-6 および図 2-15、 さ らに 96 ページ、 99 ページ、 101 ページ、および 107 ページ の IODELAYE1 を 31 タ ップ エレ メン トに修正。100 ページの表 2-6 における HIGH_PERFORMANCE_MODE のデフォルト値を修正。

図 3-2 および 表 3-1 から OCLKB を削除。

Page 3: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 3UG361 (v1.2) 2010 年 1 月 18 日

改訂履歴. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2

このユーザー ガイドについてユーザー ガイ ドの内容 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9その他の資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9その他のリ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10

第 1 章 : SelectIO リソースI/O タイルの概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11SelectIO リ ソースの概要. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12SelectIO リ ソースの一般的なガイ ド ライン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13

Virtex-6 FPGA の I/O バンクの規則 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13出力駆動ソース電圧 (VCCO) ピン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13

Virtex-6 FPGA デジタル制御インピーダンス (DCI) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14DCI カスケード接続 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14ザイ リ ンクスの DCI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16制御インピーダンス ド ラ イバ (ソース終端) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17インピーダンスが 1/2 の制御インピーダンス ド ラ イバ (ソース終端) . . . . . . . . . . . . . . .17VCCO の入力終端 (単一終端). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .181/2 VCCO の入力終端 (分割終端). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .191/2 VCCO 終端ド ライバ (分割終端). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .20

Virtex-6 デバイスの DCI の I/O 規格 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .21DCI 使用例. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .23

Virtex-6 FPGA の SelectIO プリ ミ ティブ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25IBUF および IBUFG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .25OBUF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26OBUFT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26IOBUF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26IBUFDS および IBUFGDS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .27OBUFDS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .27OBUFTDS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .27IOBUFDS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .28IBUFDS_DIFF_OUT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .28IOBUFDS_DIFF_OUT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .28Virtex-6 FPGA の SelectIO の属性および制約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .29

ロケーシ ョ ン制約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .29IOSTANDARD 属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .29IBUF_LOW_PWR 属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .30出力スルー レートの属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .30出力駆動能力の属性. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .30IBUF、 OBUFT、 および IOBUF の PULLUP/PULLDOWN/KEEPER . . . . . . . . . . . . .31差動終端の属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31

Virtex-6 FPGA の I/O リ ソースを宣言する VHDL/Verilog 構文例 . . . . . . . . . . . . . . . . . . . . .31Virtex-6 でサポート される I/O 規格のガイ ド ライン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32

LVCMOS (低電圧コンプリ メンタ リ メ タル オキサイ ド セミ コンダクタ) . . . . . . . . . . . . . . .32LVDCI (低電圧デジタル制御インピーダンス) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34LVDCI_DV2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .35HSLVDCI (高速低電圧デジタル制御インピーダンス). . . . . . . . . . . . . . . . . . . . . . . . . . .36

HSTL (高速ト ランシーバ ロジッ ク ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .37HSTL_ I、 HSTL_ III、 HSTL_ I_18、 HSTL_ III_18、 HSTL_I_12. . . . . . . . . . . . . . . .37

目次

Page 4: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

4 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

HSTL_ I_DCI、 HSTL_ III_DCI、 HSTL_ I_DCI_18、 HSTL_ III_DCI_18 . . . . . . . . .37HSTL_ II および HSTL_ II_18 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .37HSTL_ II_DCI および HSTL_ II_DCI_18 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .37HSTL_ II_T_DCI および HSTL_ II_T_DCI_18 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .37DIFF_HSTL_ II および DIFF_HSTL_II_18. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .37DIFF_HSTL_II_DCI および DIFF_HSTL_II_DCI_18 . . . . . . . . . . . . . . . . . . . . . . . . . .38DIFF_HSTL_II_T_DCI および DIFF_HSTL_II_T_DCI_18 . . . . . . . . . . . . . . . . . . . . . .38DIFF_HSTL_I および DIFF_HSTL_I_18 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .38DIFF_HSTL_I_DCI および DIFF_HSTL_I_DCI_18. . . . . . . . . . . . . . . . . . . . . . . . . . . .38

HSTL ク ラス I . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .38差動 HSTL ク ラス I . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .39HSTL ク ラス II . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .41差動 HSTL ク ラス II . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .43HSTL ク ラス III . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .46HSTL_II_T_DCI (1.5V) 分割テブナン終端 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .47HSTL ク ラス I (1.8V) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .47差動 HSTL ク ラス I (1.8V) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .48HSTL ク ラス II (1.8V) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .50差動 HSTL ク ラス II (1.8V) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .52HSTL ク ラス III (1.8V) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .54HSTL_II_T_DCI_18 (1.8V) 分割テブナン終端 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .55HSTL ク ラス I (1.2V) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .56SSTL (スタブ シ リーズ ターミネーテッ ド ロジッ ク ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .56

SSTL2_I、 SSTL18_I . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .56SSTL2_I_DCI、 SSTL18_I_DCI. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .57SSTL2_II、 SSTL18_II、 SSTL_15. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .57SSTL2_II_DCI、 SSTL18_II_DCI、 SSTL_15_DCI . . . . . . . . . . . . . . . . . . . . . . . . . . . .57DIFF_SSTL2_I、 DIFF_SSTL18_I . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .57DIFF_SSTL2_I_DCI、 DIFF_SSTL18_I_DCI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .57DIFF_SSTL2_II、 DIFF_SSTL18_II、 DIFF_SSTL15. . . . . . . . . . . . . . . . . . . . . . . . . . .57DIFF_SSTL2_II_DCI、 DIFF_SSTL18_II_DCI、 DIFF_SSTL15_DCI . . . . . . . . . . . . .57SSTL2_II_T_DCI、 SSTL18_II_T_DCI、 SSTL15_T_DCI. . . . . . . . . . . . . . . . . . . . . . .57

SSTL2 ク ラス I (2.5V) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .58差動 SSTL2 ク ラス I (2.5V) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .59SSTL2 ク ラス II (2.5V) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .61差動 SSTL2 ク ラス II (2.5V) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .63SSTL2_II_T_DCI (2.5V) 分割テブナン終端 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .66SSTL18 ク ラス (1.8V) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .67差動 SSTL ク ラス I (1.8V) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .68SSTL18 ク ラス II (1.8V) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .70差動 SSTL ク ラス II (1.8V) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .73SSTL18_II_T_DCI (1.8V) 分割テブナン終端 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .75SSTL15 (1.5V) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .76差動 SSTL (1.5V) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .78SSTL15_T_DCI (1.5V) 分割テブナン終端 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .80差動終端の属性 : DIFF_TERM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .80LVDS と拡張モード LVDS (低電圧差動信号) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .80

ト ランス ミ ッ タの終端 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .81レシーバの終端 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .81

HyperTransport™ プロ ト コル (HT) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .82低振幅差動信号 (RSDS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .82BLVDS (バス LVDS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .82差動 LVPECL (低電圧ポジティブ エミ ッ タ カップル ロジッ ク ) . . . . . . . . . . . . . . . . . . . . . . .83

LVPECL ト ランシーバの終端 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .83同じバンク内で異なる I/O 規格を使用する際の規則 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84

第 2 章 : SelectIO ロジック リソース概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89

Page 5: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 5UG361 (v1.2) 2010 年 1 月 18 日

ILOGIC リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89組み合わせ入力パス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .90入力 DDR について (IDDR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .90

OPPOSITE_EDGE モード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .91SAME_EDGE モード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .91SAME_EDGE_PIPELINED モード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .92

入力 DDR プリ ミ ティブ (IDDR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .92IDDR の VHDL および Verilog のテンプレート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .93ILOGIC のタイ ミ ング モデル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .94

ILOGIC のタイ ミ ング特性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .94DDR モード ILOGIC のタイ ミ ング特性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .94

入力 / 出力遅延エレ メン ト (IODELAY1). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96IODELAYE1 プリ ミ ティブ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .97IODELAYE1 ポート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .98IODELAYE1 属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .100IODELAYE1 モード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .102IODELAYE1 タイ ミ ング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .106

インク リ メン ト /デク リ メン ト動作後の安定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .108IODELAYE1 の VHDL および Verilog インスタンシエーシ ョ ン テンプレート . . . . .108IODELAYE1 のターンアラウンド タイムの使用モデル . . . . . . . . . . . . . . . . . . . . . . . .109

IDELAYCTRL のまとめ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .113IDELAYCTRL プリ ミ ティブ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .114IDELAYCTRL ポート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .114IDELAYCTRL のタイ ミ ング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .115IDELAYCTRL の位置 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .115IDELAYCTRL の使用法および設計のガイ ド ライン . . . . . . . . . . . . . . . . . . . . . . . . . . .116

OLOGIC リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 116組み合わせ出力データおよびト ライステート制御パス . . . . . . . . . . . . . . . . . . . . . . . . .117

出力 DDR のま とめ (ODDR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .117OPPOSITE_EDGE モード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .118SAME_EDGE モード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .118ク ロ ッ ク転送 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .118

出力 DDR プリ ミ ティブ (ODDR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .119ODDR の VHDL および Verilog テンプレート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .120OLOGIC のタイ ミ ング モデル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .120

タイ ミ ング特性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .120

第 3 章 : アドバンス SelectIO ロジック リソース概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125入力 Serial-to-Parallel ロジッ ク リ ソース (ISERDES). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125

ISERDES プリ ミ ティブ (ISERDESE1). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .127ISERDESE1 ポート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .128

レジスタ付き出力 – Q1 ~ Q6. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .128組み合わせ出力 – O . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .129Bitslip 処理 - BITSLIP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .129ク ロ ッ ク イネーブル入力 - CE1 および CE2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .129高速クロ ッ ク入力 - CLK. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .130高速クロ ッ ク入力 - CLKB . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .130分周クロ ッ ク入力 - CLKDIV . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .130IOB からのシ リ アル入力データ - D . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .130IODELAYE1 からのシ リ アル入力データ - DDLY. . . . . . . . . . . . . . . . . . . . . . . . . . . . .130OSERDESE1 からのシ リ アル入力データ- OFB . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .130ス ト ローブ ベースのメモ リ インターフェイス用の高速クロ ッ ク - OCLK . . . . . . . . . .131リセッ ト入力- RST . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .131

ISERDESE1 属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .131DATA_RATE 属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .132DATA_WIDTH 属性. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .132INTERFACE_TYPE 属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .132

Page 6: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

6 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

NUM_CE 属性. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .133SERDES_MODE 属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .133

ISERDESE1 のクロ ッキング手法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .133NETWORKING インターフェイス タイプ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .133MEMORY インターフェイス タイプ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .134MEMORY_QDR インターフェイス タイプ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .134MEMORY_DDR3 インターフェイス タイプ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .135

ISERDES のビッ ト幅の拡張 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .136Serial-to-Parallel コンバータ ビッ ト幅拡張のガイ ド ライン . . . . . . . . . . . . . . . . . . . . .136

ISERDES レイテンシ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .137動的クロ ッ ク反転 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .137OSERDESE1 からの ISERDESE1 フ ィードバッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .137ISERDESE1 の D および DDLY の使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .138ISERDES タイ ミ ング モデルおよびパラ メータ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .139

タイ ミ ング特性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .139リセッ ト入力のタイ ミ ング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .140

ISERDESE1 の VHDL および Verilog インスタンシエーシ ョ ン テンプレート . . . . . . . . . .141BITSLIP サブモジュール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .141

Bitslip の処理. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .141Bitslip タイ ミ ング モデルおよびパラ メータ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .143

出力 Parallel-to-Serial ロジッ ク リ ソース (OSERDES) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 145データ Parallel-to-Serial コンバータ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .145ト ラ イステート Parallel-to-Serial コンバータ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .146

OSERDES プリ ミ ティブ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .146OSERDES ポート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .147

データ パス出力 - OQ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .148OSERDESE1 からの出力フ ィードバッ ク - OFB . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .148ト ラ イステート制御出力 - TQ. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .148ト ラ イステート制御出力 - TFB. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .148高速クロ ッ ク入力 - CLK. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .148分周クロ ッ ク入力 - CLKDIV . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .148MMCM からの高性能クロ ッ ク - CLKPERF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .148遅延 MMCM からの高性能クロ ッ ク (IODELAYE1 使用) - CLKPERFDELAY . . . . .149パラレル データ入力 - D1 ~ D6. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .149リセッ ト入力 - RST. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .149出力データ ク ロ ッ ク イネーブル - OCE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .149書き込みコマンド - WC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .149ODELAY 値 - ODV . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .149出力循環バッファの拡張 - OCBEXTEND . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .149ト ラ イステート信号クロ ッ ク イネーブル - TCE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .150パラレル ト ラ イステート入力 - T1 ~ T4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .150

OSERDES 属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .150DATA_RATE_OQ 属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .150DATA_RATE_TQ 属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .150DATA_WIDTH 属性. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .151SERDES_MODE 属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .151TRISTATE_WIDTH 属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .151ODELAY_USED 属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .151INTERFACE_TYPE 属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .151

OSERDES のクロ ッキング手法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .152DEFAULT インターフェイス タイプ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .152MEMORY_DDR3 インターフェイス タイプ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .152

OSERDES のビッ ト幅の拡張 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .153Parallel-to-Serial コンバータのビッ ト幅拡張のガイ ド ラ イン . . . . . . . . . . . . . . . . . . . .154

出力フ ィードバッ クおよび CLKPERF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .154OSERDES のレイテンシ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .154

DEFAULT インターフェイス タイプのレイテンシ . . . . . . . . . . . . . . . . . . . . . . . . . . . .154OSERDES のタイ ミ ング モデルおよびパラ メータ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .155

Page 7: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 7UG361 (v1.2) 2010 年 1 月 18 日

2:1 SDR シ リ アライズ化のタイ ミ ング特性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1568:1 DDR シ リ アライズ化のタイ ミ ング特性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1574:1 DDR ト ラ イステート コン ト ローラのシ リ アライズ化のタイ ミ ング特性 . . . . . . . .158リセッ ト出力のタイ ミ ング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .159

OSERDES の VHDL および Verilog のインスタンシエーシ ョ ン テンプレート . . . . . . . . . .161

Page 8: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

8 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

Page 9: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 9UG361 (v1.2) 2010 年 1 月 18 日

このユーザー ガイドについて

このユーザー ガイ ドでは、 Virtex®-6 FPGA SelectIO™ テク ノ ロジについて説明します。

ユーザー ガイドの内容

このユーザー ガイ ドは、 次の各章から構成されています。

• 第 1 章 「SelectIO リ ソース」

• 第 2 章 「SelectIO ロジッ ク リ ソース」

• 第 3 章 「アドバンス SelectIO ロジッ ク リ ソース」

その他の資料

次の資料も http://japan.xilinx.com/support/documentation/virtex-6.htm からダウンロードできます。

• 『Virtex-6 ファ ミ リ概要』

Virtex-6 ファ ミ リの特徴と製品群の概要を説明しています。

• 『Virtex-6 FPGA データシート : DC 特性およびスイ ッチ特性』

Virtex-6 ファ ミ リの DC 特性およびスイ ッチ特性の仕様が記載されています。

• 『Virtex-6 FPGA パッケージおよびピン配置の仕様』

デバイス /パッケージの組み合わせおよび 大 I/O 数の表、ピン定義、ピン配置表、ピン配置図、

寸法図面、 温度仕様が記載されています。

• 『Virtex-6 FPGA コンフ ィギュレーシ ョ ン ガイ ド』

この包括的なコンフ ィギュレーシ ョ ン ガイ ドは、 コンフ ィギュレーシ ョ ン インターフェイス (シ リ アルと SelectMAP)、ビッ ト ス ト リームの暗号化、バウンダ リ スキャンおよび JTAG コン

フ ィギュレーシ ョ ン、 リ コンフ ィギュレーシ ョ ン テクニッ ク、SelectMAP インターフェイスお

よび JTAG インターフェイスでのリードバッ クの各章で構成されています。

• 『Virtex-6 FPGA ク ロ ッ ク リ ソース ユーザー ガイ ド』

Virtex-6 の各デバイスで使用可能な MMCM や PLL などのクロ ッキング リ ソースについて説

明しています。

• 『Virtex-6 FPGA コンフ ィギャブル ロジッ ク ブロッ ク ユーザー ガイ ド』

Virtex-6 の各デバイスで使用可能なコンフ ィギャブル ロジッ ク ブロ ッ ク (CLB) の機能につい

て説明しています。

Page 10: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

10 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

このユーザー ガイドについて

• 『Virtex-6 FPGA メモ リ リ ソース ユーザー ガイ ド』

ブロ ッ ク RAM と FIFO の機能について説明しています。

• 『Virtex-6 FPGA GTH ト ランシーバ ユーザー ガイ ド』

FF1154 パッケージの XC6VHX250T および XC6VHX380T を除くすべての Virtex-6 HXTFPGA で使用可能な GTH ト ランシーバについて説明しています。

• 『Virtex-6 FPGA GTX ト ランシーバ ユーザー ガイ ド』

XC6VLX760 を除くすべての Virtex-6 FPGA で使用可能な GTX ト ランシーバについて説明

しています。

• 『Virtex-6 FPGA エンベデッ ド ト ラ イモード イーサネッ ト MAC ユーザー ガイ ド』

XC6VLX760 を除くすべての Virtex-6 FPGA で使用可能な専用ト ライモード イーサネッ ト MAC (メディア アクセス コン ト ローラ ) について説明しています。

• 『Virtex-6 FPGA DSP48E1 スライス ユーザー ガイ ド』

Virtex-6 FPGA の DSP48E1 ス ラ イ スのアーキテクチャについて説明し、 コンフ ィ ギュレー

シ ョ ン例も記載しています。

• 『Virtex-6 FPGA システム モニタ ユーザー ガイ ド』

すべての Virtex-6 デバイスで有効化された System Monitor 機能について説明しています。

• 『Virtex-6 FPGA PCB デザイン ガイ ド』

PCB およびインターフェイス レベルのデザインを決定するためのス ト ラテジに焦点を置い

て、 Virtex-6 デバイスの PCB デザインに関する情報を提供します。

その他のリソース

シ リ コンやソフ ト ウェア、IP に関するアンサー データベースを検索したり、テクニカル サポートの

ウェブ ケースを開く場合は、 次の ウェブサイ トにアクセスしてください。

http://japan.xilinx.com/support

Page 11: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 11UG361 (v1.2) 2010 年 1 月 18 日

第 1 章

SelectIO リソース

I/O タイルの概要

第 1 章、 第 2 章、 第 3 章では、 入力/出力の特性およびロジッ ク リ ソースについて説明します。

第 1 章 「SelectIO リ ソース」 では、出力ド ライバ/入力レシーバの電気的振舞について説明し、一般

的なインターフェイスの例を紹介します。第 2 章 「SelectIO ロジッ ク リ ソース」 では、入力および

出力レジスタ、DDR (ダブル データ レート ) 動作、プログラマブル入力遅延 (IDELAY) について説

明します。第 3 章 「アドバンス SelectIO ロジッ ク リ ソース」 では、データ シ リ アライザ/デシ リア

ライザ (SERDES) について説明します。

I/O タイルには IOB が 2 つ、 ILOGIC が 2 つ、 OLOGIC が 2 つ、 IODELAY が 2 つあ り ます。

図 1-1 に、 Virtex-6 FPGA の I/O タイルを示します。

X-Ref Target - Figure 1-1

図 1-1 : Virtex-6 FPGA の I/O タイル

ug361_01_01_011310

IODELAY

IODELAY

ILOGICor

ISERDES

OLOGICor

OSERDES

IOBPad

ILOGICor

ISERDES

OLOGICor

OSERDES

IOBPad

Page 12: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

12 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

SelectIO リソースの概要

すべての Virtex-6 FPGA には、コンフ ィギュレーシ ョ ン可能な高性能 SelectIO™ ド ラ イバとレシー

バがあ り、 さまざまなインターフェイス規格に対応しています。 充実した機能セッ トには、 出力能

力およびスルーレートのプログラマブル制御、DCI (デジタル制御インピーダンス) を使用するオン

チップ終端があ り ます。

各 IOB には、入力ド ライバ、出力ド ライバおよびト ラ イステート SelectIO ド ラ イバがあ り ます。こ

れらのド ライバは、さまざまな I/O 規格にコンフ ィギュレーシ ョ ンできます。差動 I/O は、1 つのタ

イルにグループ化された IOB を 2 つ使用します。

• シングルエンド I/O 規格 (LVCMOS、 HSTL、 SSTL)

• 差動 I/O 規格 (LVDS、 HT、 LVPECL、 BLVDS、 差動 HSTL および SSTL)

• 差動および VREF に依存する入力は VCCAUX から電源供給される

各 Virtex-6 FPGA の I/O タイルには、 IOB が 2 つ、 ILOGIC ブロ ッ クが 2 つ、 OLOGIC ブロ ッ ク

が 2 つあ り ます。 これらについては、 第 2 章 「SelectIO ロジッ ク リ ソース」 で説明します。

図 1-2 に、 IOB の基本図と内部ロジッ クおよびデバイス パッ ドへの接続を示します。

各 IOB は、 データ用の入力/出力ロジッ ク リ ソースおよび IOB 用のト ライステート制御を含む

ILOGIC/OLOGIC ペアへ直接接続しています。ILOGIC および OLOGIC は、ISERDES/OSERDESと して設定できます。 ISERDES/OSERDES については、第 3 章 「アドバンス SelectIO ロジッ ク リソース」 で説明します。

X-Ref Target - Figure 1-2

図 1-2 : IOB 基本図

ug361_c1_02_020509

PADOUT

I

T

O

DIFFI_IN

OUTBUFINBUF

PAD

Page 13: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 13UG361 (v1.2) 2010 年 1 月 18 日

SelectIO リソースの一般的なガイド ライン

SelectIO リソースの一般的なガイド ライン

このセクシ ョ ンでは、 Virtex-6 FPGA の SelectIO リ ソースを使用して設計する場合の一般的なガイ

ド ラ インについて説明します。

Virtex-6 FPGA の I/O バンクの規則

Virtex-6 デバイスの場合、 中央カラム以外の I/O バンクは 40 個の IOB で構成されています。 バン

ク数はデバイス サイズによ り異なり ます。『Virtex-6 ファ ミ リの概要』 に、各デバイス タイプ別の総

バンク数が記載されています。 XC6VLX130T には、 15 個の I/O バンクがあ り ます。 図 1-3 に、

XC6VLX130T I/O の I/O バンクの配置例を示します。

出力駆動ソース電圧 (VCCO) ピン

Virtex-6 デバイスで使用できる低電圧 I/O 規格の多くは、 異なる出力駆動ソース電圧 (VCCO) が必

要になり ます。 したがって、 通常 1 つのデバイスで複数の出力駆動ソース電圧を使用できるよ うに

なっています。

1 つの VCCO バンク内では、 すべての出力バッファの出力ソース駆動電圧を同じにする必要があ り

ます。 VCCO 電圧を使用する入力バッファは、 LVCMOS、 LVDCI、およびその他の DCI 規格です。

また、VCCAUX 電源は VCCO 電源よ り先に供給する必要があ り、常に VCCO と同等またはそれ以上

の電圧に保持されます。

X-Ref Target - Figure 1-3

図 1-3 : Virtex-6 FPGA XC6VLX130T の I/O バンク

ug361_1_03_010610

BANK1640 I/O

BANK1540 I/O

BANK1340 I/O

BANK1240 I/O

BANK1440 I/O

BANK2640 I/O

BANK2540 I/O

BANK2340 I/O

BANK2240 I/O

BANK2440 I/O

BANK3640 I/O

BANK3540 I/O

BANK3340 I/O

BANK3240 I/O

BANK3440 I/O

Page 14: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

14 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

Virtex-6 FPGA デジタル制御インピーダンス (DCI)

概要

FPGA が大規模化し、 システム ク ロ ッ クが高速化するにつれ、 PC ボードのデザインおよび製造は

さらに困難になり ます。エッジ レートが高速になっているため、シグナル インテグ リ ティを維持す

るこ とが重要な課題とな り ます。PC ボード ト レースを適切に終端接続して、反射およびリ ンギング

を防ぐ必要があ り ます。

従来型のト レース終端方法では、 出力/入力にレジスタを追加してレシーバ/ド ラ イバ インピーダン

ス と ト レース インピーダンスを整合させます。 しかし、デバイスの I/O 数が増加した場合、デバイ

ス ピン付近にレジスタを追加する と、ボード エリアと コンポーネン ト数が増加してしまいます。こ

のため、 物理的にこの方法を使用するこ とは不可能な場合があ り ます。 そこでザイ リ ンクスは、 デ

ジタル制御インピーダンス (DCI) テク ノ ロジを開発し、これらの問題を克服してシグナル インテグ

リティを実現しました。

DCI は、出力インピーダンスまたは入力終端を調整し、 伝送ラインの特性インピーダンスに正確に

一致させます。 また、 動作中に I/O のインピーダンスが外部参照抵抗と等し くなるよ う調整するた

め、 プロセスの変化による I/O インピーダンスの変化が調整されます。 温度および電源電圧の変動

に対しても、 I/O のインピーダンスが調整されます。

制御インピーダンス ド ラ イバの場合は、 ド ライバ インピーダンスを 2 つの参照抵抗に一致させる

か、 参照抵抗の 1/2 の値に一致させるこ とができます。 外部の直列終端抵抗は必要あ り ません。

DCI を使用する場合、 ト ランス ミ ッ タまたはレシーバに直列または並列終端を適用します。 その結

果、 ボード上に終端抵抗を配置する必要がなくな り、 ボード配線の複雑さやコンポーネン ト数を抑

えるこ とができ、 スタブ反射をなくすこ とによ りシグナル インテグ リティを向上できます。 スタブ

での反射は、 終端抵抗が伝送ラインの端部から離れ過ぎている場合に発生します。 DCI を使用する

と終端抵抗が出力ド ライバまたは入力バッファに可能な限り近く配置されるため、 スタブ反射は生

じません。

DCI カスケード接続

以前は、あるバンクで DCI I/O 規格を使用する場合、同じバンク内の VRN および VRP ピンに外部

参照抵抗を接続する必要があ り ました。この VRN/VRP ピンは、外部参照抵抗と一致するよ うに I/O出力インピーダンスを調整するため、内部 DCI 回路で使用される参照電圧を提供します。図 1-4 に示すよ うに、 各 I/O のインピーダンスを制御するため、 デジタル制御バスがバンク内全体に分散さ

れています。

X-Ref Target - Figure 1-4

図 1-4 : バンク内での DCI 使用

UG361_c1_04_012109

DCI VRN/VRP

From Bank Above

From Bank Below

ToLocalBank

Page 15: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 15UG361 (v1.2) 2010 年 1 月 18 日

SelectIO リソースの一般的なガイド ライン

DCI I/O 規格を使用する Virtex-6 FPGA のバンクには、 ほかの DCI バンクから DCI インピーダン

ス値を取得する というオプシ ョ ンがあ り ます。DCI をカスケード接続する場合は、そのバンク (マス

タ バンク ) の VRN/VRP ピンに外部参照抵抗を付ける必要があ り ます。同じカラム内にあるその他

のバンク (スレーブ バンク ) では、 VRN/VRP ピンに外部抵抗がなくてもマスタ バンク と同じイン

ピーダンスを持つ DCI 規格を使用できます。 カスケード接続されたバンクの DCI インピーダンス

制御は、 マスタ バンクから受けます。

DCI カスケードを使用する場合、マスタ バンクの DCI 制御回路が DCI 制御を作成し、それをカス

ケード接続されたバンクへデイジー チェーンのよ うに渡します。 このよ うに、DCI カスケードを使

用する場合は、 マスタ バンクの VRN/VRP ピンのみ必要です。

また、DCI カスケードを使用する場合は、1 セッ トの VRN/VRP ピンが複数バンクに対して DCI 参照電圧を供給します。

DCI カスケード接続を使用した場合、 次のよ うな利点があ り ます。

• 電圧参照が少ないため、 全体の消費電力が削減される。

• スレーブ バンクの VRN/VRP ピンをユーザー ピンと して使用できる。

図 1-5 に、 複数バンクをサポートする DCI カスケード接続を示します。 バンク B はマスタ バンク

です。X-Ref Target - Figure 1-5

図 1-5 : 複数バンクをサポートする DCI カスケード接続

UG361_01_05_022309

DCI VRN/VRP

Bank A

Bank B

Bank C

ToLocalBank

ToLocalBank

ToLocalBank

To Banks Above (When Cascaded)

To Banks Below(When Cascaded)

Page 16: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

16 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

DCI カスケード接続を実行する際のガイ ド ラインは次のとおりです。

• マスタ バンク と スレーブ バンクは、デバイス上の同じカラム (左、中央、右) に配置されている

必要があ り ます。

• マスタ バンク とスレーブ バンクの VCCO および VREF (該当する場合) の電圧は同一である必

要があ り ます。

• DCI (パススルー バンク ) を使用せずにバンクを介する DCI カスケード接続は、 DCI の設定規

格に準拠する必要はあ り ません。

• DCI I/O のバンク互換性規則は、 すべてのマスタおよびスレーブ バンクで満たされる必要があ

り ます (例 : すべてのマスタおよびスレーブ バンクにおいて、 単一終端タイプを使用する DCII/O 規格を 1 つのみ使用可能)。DCI カスケード接続を実行した場合、DCI I/O 規格の互換性は 1つのバンクのみに制約されず、すべてのマスタおよびスレーブ バンクへと適用が拡張されます。

• DCI カスケード接続は、 上記のガイ ド ラインを満たしている限り、 カラム全体を対象にでき

ます。

• 隣接するバンクを見つけます。 バンクの位置情報は、 生成されたパッケージ ファ イル (partgen -v XC6VLX130TFF1156) にある partgen で確認できます。 生成されたパッケージ

ファイル (.pkg ) には、XY 座標を使用した I/O 位置情報が含まれています。X は同じコラム内

の複数の I/O を示し、 Y は特定バンク内にある 1 つの I/O の位置を示します。 また、 バンク番

号も示します。 Y の位置がバンクの境界線を超えて連続している場合は、 それらのバンクが隣

接しているこ とを示します。

• DCI カスケード接続は、 制約ガイ ドで説明する DCI_CASCADE 制約を使用して有効にでき

ます。

ザイリンクスの DCIDCI では、バンクごとに兼用の参照ピンを 2 つ使用し、 ド ライバのインピーダンスまたはそのバン

クのすべての I/O に対する並列終端の値を制御します。N 参照ピン (VRN) は、参照抵抗で VCCO にプルアップし、 P 参照ピン (VRP) は別の参照抵抗でグランドにプルダウンする必要があ り ます。各

参照抵抗の値は、 PC ボード ト レースの特性インピーダンス と等し くするか、 その 2 倍の値にしま

す。 20 ページの 「1/2 VCCO 終端ド ライバ (分割終端)」 を参照してください。

バンクで DCI I/O 規格が使用されている場合、この 2 つの兼用参照ピンを通常の I/O と して使用で

きませんが、 バンクでこの規格が使用されていない場合は、 これらのピンを通常の I/O ピンと して

使用できます。 ピンの詳細は、 『Virtex-6 パッケージおよびピン配置の仕様』 を参照してください。

DCI では I/O の ト ランジスタのオン/オフを切り替えるこ とによ り、 I/O のインピーダンスを調整し

ます。 インピーダンスは、外部参照抵抗に一致するよ う調整されます。 インピーダンスの調整には、

2 つの段階があ り ます。第 1 段階ではプロセスのばらつきを調整し、これはデバイスのスタート アッ

プ シーケンス中に行われます。第 2 段階では温度と電源電圧の変化に対してインピーダンスを調整

し、 これは第 1 段階の直後に開始され、デバイス動作中も継続します。デフォルトでは、第 1 段階

のインピーダンスの調整が終了するまで DONE ピンは High になり ません。

DCIRESET プリ ミ ティブをインスタンシエートするこ とによって、第 1 段階のインピーダンス調整

中のコース インピーダンスのキャ リブレーシ ョ ンを呼び出すこ とが可能です。 デバイス動作中に、

DCIRESET の RST 入力を ト グルする と、DCI ステート マシンがリセッ ト され、インピーダンス調

節の両段階が連続して実行します。 DCI を使用するすべての IO は、 DCIRESET ブロ ッ クから LOCKED 出力がアサート されるまで使用できません。

この機能は、デバイスの電源投入から規定の動作状態になるまでの間に温度/供給電源が大幅に変化

するアプリ ケーシ ョ ンで有効です。 公称の動作温度と電圧のと きに、 インピーダンス調整の第 1 段階を実行する と、 第 2 段階にヘッ ドルーム (余裕) が確保されます。

Page 17: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 17UG361 (v1.2) 2010 年 1 月 18 日

SelectIO リソースの一般的なガイド ライン

制御インピーダンス出力ド ライバの場合は、 インピーダンスを参照抵抗に一致させるか、 参照抵抗

の 1/2 に一致させるこ とができます。 オンチップ終端では、 終端は常に参照抵抗に一致するよ う調

整されます。

DCI では、 出力ド ライバを次のタイプに設定できます。

1. 制御インピーダンス ド ラ イバ (ソース終端)

2. インピーダンスが 1/2 の制御インピーダンス ド ラ イバ (ソース終端)

また、 入力を次のオンチップ終端タイプに設定できます。

1. VCCO の入力終端 (単一終端)

2. 1/2 VCCO の終端 (分割終端、 テブナン等価回路)

双方向伝送では、 ラインの両端を方向に関係なく DCI で終端できます。

1. VCCO の終端がある ド ライバ (単一終端)

2. 1/2 VCCO の終端がある ド ライバ (分割終端、 テブナン等価回路)

また、双方向の Point-to-Point ラインでも、両端にト ライステート バッファを使用する制御インピー

ダンス ド ラ イバ ( ト ラ イステート バッファ付き) を適用できます。

制御インピーダンス ド ライバ (ソース終端)LVCMOS などの I/O 規格では、 駆動されるラインの特性インピーダンスと駆動インピーダンスを

整合させる必要があ り ます。 DCI には制御インピーダンス出力ド ライバがあるため、外部にソース

終端を使用しな くても反射を排除できます。 インピーダンスは、 ト レース インピーダンスと同等の

抵抗値である外部参照抵抗によ り決定します。

制御インピーダンス ド ラ イバをサポートする DCI I/O 規格は、LVDCI_15、LVDCI_18、LVDCI_25、HSLVDCI_15、 HSLVDCI_18、および HSLVDCI_25 です。図 1-6 に、 Virtex-6 デバイスの制御ド

ライバを示します。

インピーダンスが 1/2 の制御インピーダンス ド ライバ (ソース終端)DCI は、 参照抵抗の 1/2 インピーダンスのド ライバと して機能させるこ と も可能です。 参照抵抗が

2 倍になる と、これらの抵抗を通る静止電流が 1/2 に減少します。インピーダンスが 1/2 の制御イン

ピーダンス ド ラ イバをサポートする DCI I/O 規格は、LVDCI_DV2_15、LVDCI_DV2_18、および

LVDCI_DV2_25 です。

X-Ref Target - Figure 1-6

図 1-6 : 制御インピーダンス ド ライバ

UG361_01_06_022309

IOBR

Virtex-6 FPGADCI

Z0

Page 18: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

18 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

図 1-7 に、 Virtex-6 デバイス内のインピーダンスが 1/2 の制御ド ライバを示します。 インピーダン

ス Z0 と整合させるには、 参照抵抗 R は 2 x Z0 になり ます。

VCCO の入力終端 (単一終端)I/O 規格によっては、 入力に VCCO の終端が必要な規格があ り ます (図 1-8 参照)。

DCI を使用して入力に VCCO の単一終端が可能です。終端の抵抗値は、参照抵抗によって決定しま

す。GTL 規格および HSTL 規格は、50Ω 参照抵抗で制御されます。単一終端を使用できる DCI I/O規格は、 HSTL_III_DCI および HSTL_III_DCI_18 です。

図 1-9 に、 Virtex-6 デバイスの DCI 単一終端を示します。

X-Ref Target - Figure 1-7

図 1-7 : インピーダンスが 1/2 の制御インピーダンス ド ライバ

UG361_01_07_022309

IOBR/2

Virtex-6 FPGADCI

Z0

X-Ref Target - Figure 1-8

図 1-8 : DCI を使用しない VCCO の入力終端

X-Ref Target - Figure 1-9

図 1-9 : DCI を使用する入力終端 (単一終端)

R

UG361_01_08_022309

VCCO

VREF

IOB

Z0

Virtex-6 FPGA

R

UG361_01_09_022309

VCCO

VREF

IOB

Z0

Virtex-6 FPGA DCI

Page 19: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 19UG361 (v1.2) 2010 年 1 月 18 日

SelectIO リソースの一般的なガイド ライン

1/2 VCCO の入力終端 (分割終端)HSTL ク ラス I および HSTL ク ラス II などの I/O 規格は、入力に 1/2 VCCO の終端電圧が必要です

(図 1-10 参照)。

これは、 2 個の抵抗を使用する分割終端と同じ構成です。 一方を VCCO に終端し、 も う一方はグラ

ンドに終端接続しています。抵抗値は 2R です。DCI を使用する と、1/2 VCCO の分割終端が可能に

なり ます。終端抵抗値は外部の参照抵抗によ り決定します。つま り、 VCCO の抵抗値およびグランド

の抵抗値は、それぞれ参照抵抗値の 2 倍になり ます。HSTL 規格および SSTL 規格には 50Ω の外部

参照抵抗が必要です。 表 1-1 に、 分割終端をサポートする DCI 入力規格を示します。

X-Ref Target - Figure 1-10

図 1-10 : DCI を使用しない 1/2 VCCO の入力終端

表 1-1 : 分割終端をサポートする DCI 入力規格

HSTL_I_DCI DIFF_HSTL_I_DCI SSTL2_I_DCI DIFF_SSTL2_I_DCI

HSTL_I_DCI_18 DIFF_HSTL_I_DCI_18 SSTL2_II_DCI DIFF_SSTL2_II_DCI

HSTL_II_DCI DIFF_HSTL_II_DCI SSTL18_I_DCI DIFF_SSTL18_I_DCI

HSTL_II_DCI_18 DIFF_HSTL_II_DCI_18 SSTL18_II_DCI DIFF_SSTL18_II_DCI

HSTL_II_T_DCI SSTL15_DCI DIFF_SSTL15

HSTL_II_T_DCI_18 SSTL2_II_T_DCI DIFF_SSTL15_DCI

SSTL18_II_T_DCI DIFF_SSTL15_T_DCI

SSTL15_T_DCI

R

UG361_1_10_022309

VCCO/2

VREF

IOB

Z0

Virtex-6 FPGA

Page 20: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

20 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

図 1-11 に、 Virtex-6 デバイス内での分割終端を示します。

1/2 VCCO 終端ドライバ (分割終端)HSTL ク ラス II などの I/O 規格は、 出力に 1/2 VCCO の終端接続が必要です (図 1-12 参照)。

DCI を使用する と、 出力に 1/2 VCCO の分割終端が可能になり ます。 この場合、 DCI は終端のイン

ピーダンスのみを制御し、ド ラ イバは制御しません。HSTL 規格および SSTL 規格には 50Ω の外部

参照抵抗が必要です。 表 1-2 に、 分割終端をサポートする DCI 出力規格を示します。

X-Ref Target - Figure 1-11

図 1-11 : DCI 分割終端を使用する 1/2 VCCO の入力終端

2R

2R

UG361_01_11_022309

VCCO

VREF

IOB

Z0

Virtex-6 FPGA DCI

X-Ref Target - Figure 1-12

図 1-12 : DCI を使用しない 1/2 VCCO の終端ドライバ

表 1-2 : 分割終端をサポートする DCI 出力規格

HSTL_II_DCI DIFF_HSTL_II_DCI SSTL2_II_DCI DIFF_SSTL2_II_DCI

HSTL_II_DCI_18 DIFF_HSTL_II_DCI_18 SSTL18_II_DCI DIFF_SSTL18_II_DCI

R

UG361_01_12_022309

VCCO/2

IOB

Z0

Virtex-6 FPGA

Page 21: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 21UG361 (v1.2) 2010 年 1 月 18 日

SelectIO リソースの一般的なガイド ライン

図 1-13 に、 Virtex-6 デバイス内での分割終端ド ライバを示します。

Virtex-6 デバイスの DCI の I/O 規格

DCI は、 シングルエンド I/O 規格に使用できます。 表 1-3 に、 DCI がサポートする規格を示します。

Virtex-6 デバイスで DCI を正し く使用するには、 次の規則に従う必要があ り ます。

1. VCCO ピンは、そのバンク内の IOSTANDARD に基づいて、適切な VCCO 電圧に接続してくだ

さい。

2. IOSTANDARD 属性を使用するか、または HDL コードでインスタンシエート して、ソフ ト ウェ

アで正しい DCI の I/O バッファを使用してください。

3. DCI 規格によっては、外部参照抵抗を同じバンク内の多目的ピン (VRN および VRP) に接続す

る必要があ り ます。 この場合、 この 2 つの多目的ピンは汎用 I/O と して使用できません。 ピン

位置の詳細は、Virtex-6 のピン配置表を参照して ください。VRN ピンは参照抵抗で VCCO にプ

ルアップし、 VRP ピンは参照抵抗でグランドにプルダウンする必要があ り ます。

X-Ref Target - Figure 1-13

図 1-13 : DCI 分割終端を使用した 1/2 VCCO の終端ドライバ

2R

2R

UG361_01_13_022309

VCCOIOB

Z0

Virtex-6 FPGA DCI

表 1-3 : Virtex-6 デバイスの DCI の I/O 規格

LVDCI HSTL_I_DCI DIFF_HSTL_I_DCI HSTL_III_DCI SSTL2_I_DCI DIFF_SSTL2_I_DCI

HSLVDCI HSTL_I_DCI_18 DIFF_HSTL_I_DCI_18 HSTL_III_DCI_18 SSTL2_II_DCI DIFF_SSTL2_II_DCI

LVDCI_DV2 HSTL_II_DCI DIFF_HSTL_II_DCI SSTL18_I_DCI DIFF_SSTL18_I_DCI

HSTL_II_DCI_18 DIFF_HSTL_II_DCI_18 SSTL18_II_DCI DIFF_SSTL18_II_DCI

HSTL_II_T_DCI SSTL15_DCI DIFF_SSTL15_DCI

HSTL_II_T_DCI_18 SSTL2_II_T_DCI DIFF_SSTL15_T_DCI

SSTL18_II_T_DCI

SSTL15_T_DCI

Page 22: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

22 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

DCI 規格によっては、 外部参照抵抗を VRP/VRN ピンに接続する必要のないものもあ り ます。

バンクで使用される I/O 規格がこ ういった DCI ベースの規格のみの場合、バンク内の VRP および VRN ピンが汎用 I/O と して使用できます。

♦ VRP/VRN に参照抵抗が必要ない DCI 出力 HSTL_I_DCIHSTL_III_DCIHSTL_I_DCI_18HSTL_III_DCI_18SSTL2_I_DCISSTL18_I_DCISSTL15_DCI

♦ VRP/VRN に参照抵抗が必要ない DCI 入力

LVDCI_15LVDCI_18LVDCI_25LVDCI_DV2_15LVDCI_DV2_18LVDCI_DV2_25

4. 外部参照抵抗の値は、必要な出力インピーダンスを得られるよ う選択します。HSTL_DCI また

は SSTL_DCI I/O 規格を使用する場合は、 外部抵抗値を 50Ω にしてください。

5. 参照抵抗値は、 仕様範囲内 (20Ω ~ 100Ω) にして ください。

6. 次の DCI I/O バンクの規則に従ってください。

a. 同じバンク内のすべての入力に対して、 同じ VREF を使用してください。

b. 同じバンク内のすべての入出力に対して、 同じ VCCO を使用して ください。

c. 1 つのバンク内では、 単一終端タイプの DCI I/O 規格は 1 つのみ使用できます。

d. 1 つのバンク内では、 分割終端タイプの DCI I/O 規格は 1 つのみ使用できます。

e. 同じバンク内では、単一終端と分割終端、制御インピーダンス ド ラ イバと 1/2 インピーダ

ンスの制御インピーダンス ド ラ イバが、 それぞれ共存できます。

7. マスタ DCI は、 バンク 1 およびバンク 2 では使用できません。

DCI ト ラ イステート出力は、 次のよ うに動作します。

LVDCI ド ラ イバまたは LVDCI_DV2 ド ラ イバが ト ライステート状態の場合、 ド ライバはト ライス

テートにな り ます。 単一終端または分割終端ド ライバが ト ライステート状態の場合、 ド ライバはト

ラ イステートですが、 終端抵抗はそのまま維持されます。

次に、 各 DCI I/O 規格での注意事項について説明します。

Page 23: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 23UG361 (v1.2) 2010 年 1 月 18 日

SelectIO リソースの一般的なガイド ライン

DCI 使用例

• 図 1-14 に、 HSTL_I_DCI、HSTL_II_DCI、および HSTL_III_DCI の I/O 規格の使用例を示し

ます。

• 図 1-15 に、 SSTL2_I_DCI および SSTL2_II_DCI の I/O 規格の使用例を示します。

X-Ref Target - Figure 1-14

図 1-14 : HSTL DCI の使用例

R R

2R

2R

R

2R

R 2R

2R

2R 2R

2R

UG361_01_14_022309

Conventional

DCI TransmitConventionalReceive

ConventionalTransmitDCI Receive

DCI TransmitDCI Receive

Bidirectional

ReferenceResistor

Recommended Z0

VRN = VRP = R = Z0

50Ω

VRN = VRP = R = Z0

50Ω

VRN = VRP = R = Z0

50Ω

HSTL_I HSTL_II HSTL_III

N/A N/A

R

R

R

R

Z0

R

R

2R

2R

2R

2R

Z0

Z0

Z0

Z0Z0

Z0Z0

Z0

Z0Z0Z0

Virtex-6 FPGADCI

Virtex-6 FPGADCI

Virtex-6 FPGADCI

Virtex-6 FPGADCI

Virtex-6 FPGADCI

Virtex-6 FPGADCI

Virtex-6 FPGADCI

Virtex-6 FPGADCI

Virtex-6 FPGADCI

Virtex-6 FPGADCI

Virtex-6 FPGADCIVirtex-6 FPGA

DCI

Z0

2R

2R

2R

2R

VCCO/2

VCCO/2

VCCO/2

VCCO/2

VCCO/2 VCCO/2 VCCO

VCCO

VCCOVCCO

VCCOVCCO

VCCO

VCCOVCCOVCCO

VCCOVCCO

Notes:1. Z0 is the recommended PCB trace impedance.

Page 24: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

24 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

X-Ref Target - Figure 1-15

図 1-15 : SSTL DCI の使用例

ug361_c1_15_022309

Conventional

DCI TransmitConventionalReceive

ConventionalTransmitDCI Receive

DCI TransmitDCI Receive

Bidirectional

ReferenceResistor

RecommendedZ0(2)

VRN = VRP = R = Z0

50Ω

VRN = VRP = R = Z0

50Ω

SSTL2_I or SSTL18_I SSTL2_II, SSTL18_II, or SSTL15

N/A

Z0

R

VCCO/2

Z0R/2

R R

VCCO/2 VCCO/2

Z0R/2

R

VCCO/2

Z0R/2

2R

2R

VCCO

Z0R/2

2R

2R

VCCO

2R R

VCCO VCCO/2

2R

Z0

R

VCCO/2

Z0

2R

2R

VCCO

2R

2R

VCCO

Z0

2R

2R

VCCO

Z0

2R

2R

VCCO

2R

2R

VCCO

25Ω(1)

25Ω(1) 25Ω(1)

25Ω(1)

25Ω(1)

25ΩVirtex-6 FPGA

DCIVirtex-6 FPGADCI

Virtex-6 FPGADCI

Virtex-6 FPGADCI

Virtex-6 FPGADCI

Virtex-6 FPGADCI

Virtex-6 FPGADCI

Virtex-6 FPGADCI

Virtex-6 FPGADCI

Virtex-6 FPGADCI

Notes:1. The SSTL-compatible 25Ω or 20Ω series resistor is accounted for in the DCI buffer, and it is not DCI controlled.2. Z0 is the recommended PCB trace impedance.

Page 25: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 25UG361 (v1.2) 2010 年 1 月 18 日

Virtex-6 FPGA の SelectIO プリ ミテ ィブ

Virtex-6 FPGA の SelectIO プリ ミテ ィブ

ザイ リ ンクスは、 さまざまなソフ ト ウェア ライブラ リ を提供しており、Virtex-6 FPGA の I/O プリ

ミ ティブで使用する多様な I/O 規格に対応できます。 シングルエンド I/O 規格で使用する 5 つの一

般的なプリ ミ ティブ名は次のとおりです。

• IBUF (入力バッファ )

• IBUFG (ク ロ ッ ク入力バッファ )

• OBUF (出力バッファ )

• OBUFT ( ト ラ イステート出力バッファ )

• IOBUF (入力/出力バッファ )

差動 I/O 規格で使用する 7 つの一般的なプリ ミ ティブ名は次のとおりです。

• IBUFDS (入力バッファ )

• IBUFGDS (ク ロ ッ ク入力バッファ )

• OBUFDS (出力バッファ )

• OBUFTDS ( ト ラ イステート出力バッファ )

• IOBUFDS (入力/出力バッファ )

• IBUFDS_DIFF_OUT (入力バッファ )

• IOBUFDS_DIFF_OUT (入力/出力バッファ )

IBUF および IBUFGVirtex-6 デバイスの入力と して使用されている信号には、 入力バッファ (IBUF) が必要です。

図 1-16 に、 Virtex-6 FPGA の一般的な IBUF プリ ミ ティブを示します。

IBUF プリ ミ ティブと IBUFG プリ ミ ティブは同一です。入力バッファをクロ ッ ク入力と して使用す

る場合、IBUFG を使用します。ザイ リ ンクス ソフ ト ウェア ツールを使用する と、 IBUFG がクロ ッ

ク入力に自動的に配置されます。

X-Ref Target - Figure 1-16

図 1-16 : 入力バッファ (IBUF/IBUFG) プリ ミテ ィブ

ug361_c1_16_022309

IBUF/IBUFG

O (Output)into FPGA

I (Input)From device pad

Page 26: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

26 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

OBUFVirtex-6 デバイスから外部出力パッ ドへ信号を送信するには、 出力バッファ (OBUF) が必要です。

図 1-17 に、 Virtex-6 FPGA の一般的な OBUF プリ ミ ティブを示します。

OBUFT一般的な ト ライステート出力バッファ OBUFT (図 1-18 を参照) で、通常、ト ラ イステート出力また

は双方向 I/O をインプリ メン ト します。

IOBUF入力バッファおよびアクティブ High ト ラ イステート ピンがある ト ラ イステート出力バッファの両

方を必要とする双方向信号には、 IOBUF プリ ミ ティブが必要です。 図 1-19 に、 Virtex-6 FPGA の一般的な IOBUF を示します。

X-Ref Target - Figure 1-17

図 1-17 : 出力バッファ (OBUF) プリ ミテ ィブ

ug361_c1_17_022309

OBUF

O (Output)to device pad

I (Input)From FPGA

X-Ref Target - Figure 1-18

図 1-18 : ト ライステート出力バッファ (OBUFT) プリ ミテ ィブ

ug361_c1_18_022309

OBUFT

O (Output)to device pad

I (Input)From FPGA

T3-state input

X-Ref Target - Figure 1-19

図 1-19 : 入力/出力バッファ (IOBUF) プリ ミテ ィブ

ug361_c1_19_022309

IOBUF

I/O to/from device pad

I (Input)from FPGA

O (Output)to FPGA

T3-state input

Page 27: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 27UG361 (v1.2) 2010 年 1 月 18 日

Virtex-6 FPGA の SelectIO プリ ミテ ィブ

IBUFDS および IBUFGDS差動プリ ミ ティブの使用方法および規則は、シングルエンド SelectIO プリ ミ ティブと類似していま

す。差動 SelectIO プリ ミ ティブにはデバイス パッ ドへ接続するピンが 2 つあ り、それらは差動ペア

の P チャネルおよび N チャネルです。 N チャネル ピンには接尾辞 「B」 が付いています。

図 1-20 に、 差動入力バッファ プリ ミ ティブを示します。

OBUFDS図 1-21 に、 差動出力バッファ プリ ミ ティブを示します。

OBUFTDS図 1-22 に、 差動ト ライステート出力バッファ プリ ミ ティブを示します。

X-Ref Target - Figure 1-20

図 1-20 : 差動入力バッファ プリ ミテ ィブ (IBUFDS/IBUFGDS)

ug361_c1_20_022309

+

I

IB

O

IBUFDS/IBUFGDS

Inputs fromdevice pads

Output toFPGA

X-Ref Target - Figure 1-21

図 1-21 : 差動出力バッファ プリ ミテ ィブ (OBUFDS)

ug361_c1_21_022309

+

– OB

OI

OBUFDS

Input from FPGA

Output toDevice Pads

X-Ref Target - Figure 1-22

図 1-22 : 差動ト ライステート出力バッファ プリ ミテ ィブ (OBUFTDS)

ug361_c1_22_022309

+

– OB

OI

T

OBUFTDS

Input from FPGA

3-state Input

Output toDevice Pads

Page 28: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

28 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

IOBUFDS図 1-23 に、 差動入力/出力バッファ プリ ミ ティブを示します。

IBUFDS_DIFF_OUT図 1-24 に、差動出力 (OB) を持つ差動入力バッファ プリ ミ ティブを示します。このプリ ミ ティブは、

ザイ リ ンクス デバイスの十分な使用経験を持つ設計者による使用のみを推奨しています。

IOBUFDS_DIFF_OUT図 1-25 に、差動出力 (OB) を持つ差動入力/出力バッファ プリ ミ ティブ を示します。このプリ ミ ティ

ブは、DDR2 および DDR3 アプリ ケーシ ョ ンの十分な使用経験を持つ設計者による使用のみを推奨

しています。

X-Ref Target - Figure 1-23

図 1-23 : 差動入力/出力バッファプリ ミテ ィブ (IOBUFDS)

ug361_c1_23_022309

IOBUFDS

I/O to/fromdevice pad

I (Input)from FPGA

O (Output)to FPGA

T3-state Input

+

+

IO

IOB

X-Ref Target - Figure 1-24

図 1-24 : 差動入力バッファ プリ ミテ ィブ (IBUFDS_DIFF_OUT)

ug361_c1_24_022309

+

– OB

OI

IB

IBUFDS_DIFF_OUT

Input from Device Pad

Output toFPGA

Page 29: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 29UG361 (v1.2) 2010 年 1 月 18 日

Virtex-6 FPGA の SelectIO プリ ミテ ィブ

Virtex-6 FPGA の SelectIO の属性および制約

Virtex-6 FPGA の I/O リ ソースの各機能 (ロケーシ ョ ン制約、入力遅延、出力駆動能力、スルー レー

ト など) は、属性/制約で設定可能です。 これらの構文例および VHDL/Verilog のリ ファレンス デザ

イン コードを使用した詳細説明および例は、ザイ リ ンクスのウェブ サイ トにある 『制約ガイ ド』 を

参照して ください。 これらの PDF ファ イルは、次のサイ ト 「ソフ ト ウェア マニュアル」 の中から入

手できます。

http://japan.xilinx.com/support/software_manuals.htm

ロケーシ ョ ン制約

ロケーシ ョ ン (LOC) 制約は、インスタンシエート した I/O プリ ミ ティブの I/O の位置を指定する場

合に使用します。 ロケーシ ョ ン制約の値には、 外部端子名 (例 : A8、 M5、 AM6) があ り ます。 これ

らの値は、 デバイス サイズおよびパッケージ サイズによって異なり ます。

LOC 属性は、 UCF ファ イルで次のよ うな構文を使用します。

INST <I/O_BUFFER_INSTANTIATION_NAME> LOC = "<EXTERNAL_PORT_IDENTIFIER>";

例 :

INST MY_IO LOC=R7;

IOSTANDARD 属性

I/O バッファに I/O 規格の値を選択する場合、 IOSTANDARD 属性を使用します。 表 1-33 に、 使

用できる I/O 規格を示します。 IOSTANDARD 属性は、 UCF ファ イルで次のよ うな構文を使用し

ます。

INST <I/O_BUFFER_INSTANTIATION_NAME> IOSTANDARD="<IOSTANDARD VALUE>";

IOSTANDARD 属性のデフォルト値は、 シングルエンド I/O では LVCMOS25、 差動 I/O では

LVDS_25 です。

X-Ref Target - Figure 1-25

図 1-25 : 差動入力/出力バッファ プリ ミテ ィブ (IOBUFDS_DIFF_OUT)

ug361_c1_25_022309

IOBUFDS_DIFF_OUT

To/From Device Pad

I/O

IOB

Input from FPGA

Output to FPGA

3-state input frommaster OLOGIC

O

OB

TM

I

3-state input fromslave OLOGIC

TS

Page 30: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

30 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

IBUF_LOW_PWR 属性

IBUF_LOW_PWR 属性は、 次の入力で使用できます。

• IBUF (LVDS)

• VREF ベースの全入力

この属性はデフォルトで有効とな り ます。 IBUF_LOW_PWR 属性を設定するには、 UCF ファ イル

で次のよ うな構文を使用します。

INST <I/O_BUFFER_INSTANTIATION_NAME> IBUF_LOW_PWR=[TRUE|FALSE];

出力スルー レートの属性

シングルエンド I/O 出力バッファに適切なスルー レート を選択するため、ざまざまな属性値があ り

ます。 LVCMOS 出力バッファ (OBUF、 OBUFT、 IOBUF) の場合、 任意のスルー レートの指定に

は SLEW 属性を使用します。

SLEW 属性で指定できる値は次のとおりです。

• SLEW = SLOW (デフォルト )• SLEW = FAST

SLEW 属性を設定するには、 UCF ファ イルで次のよ うな構文を使用します。

INST <I/O_BUFFER_INSTANTIATION_NAME> SLEW = "<SLEW_VALUE>";

各出力バッファのスルー レートは、 デフォルトで SLOW に設定されています。 ク リ ティカルでな

い信号をスイ ッチする際に発生するバスの過渡電力を 小限に抑えるため、 このデフォルト値が使

用されます。

出力駆動能力の属性

LVCMOS 出力バッファ (OBUF、OBUFT、IOBUF) の場合、任意の駆動能力 (単位 : mA) を DRIVE属性で指定できます。

DRIVE 属性で指定できる値は次のとおりです。

• DRIVE = 2

• DRIVE = 4

• DRIVE = 6

• DRIVE = 8

• DRIVE = 12 (デフォルト )• DRIVE = 16

• DRIVE = 24

LVCMOS12 では、DRIVE に設定可能な値は 2、4、6、8mA のみで、LVCMOS15 および LVCMOS18では、 2、 4、 6、 8、 12、 および 16mA のみです。

DRIVE 属性を設定するには、 UCF ファ イルで次のよ うな構文を使用します。

INST <I/O_BUFFER_INSTANTIATION_NAME> DRIVE = "<DRIVE_VALUE>";

Page 31: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 31UG361 (v1.2) 2010 年 1 月 18 日

Virtex-6 FPGA の SelectIO プリ ミテ ィブ

IBUF、 OBUFT、 および IOBUF の PULLUP/PULLDOWN/KEEPERト ラ イステート出力バッファ (OBUFT) または双方向バッファ (IOBUF) を使用する場合、出力には

弱いプルアップ抵抗、 弱いプルダウン抵抗、 または弱いキーパ回路のいずれかを使用できます。 入

力 (IBUF) バッファの場合には、 入力に弱いプルアップ抵抗または弱いプルダウン抵抗を使用でき

ます。 バッファの出力ネッ トに次の制約値を追加してこの機能を使用します。

• PULLUP

• PULLDOWN

• KEEPER

差動終端の属性

差動入力 I/O 規格をサポートする Virtex-6 FPGA 用の差動終端 (DIFF_TERM) 属性があ り ます。こ

の属性を使用してビルト イン 100Ω 終端抵抗の切り替え (オン/オフ) を行います。

DIFF_TERM 属性で設定可能な値は次のとおりです。

• TRUE

• FALSE (デフォルト )

DIFF_TERM 属性を指定するには、 インスタンシエート した IBUFDS、 IBUFGDS、IBUFDS_DIFF_OUT、 または IOBUFDS_DIFF_OUT プリ ミ ティブのジェネ リ ッ ク マップ (VHDL) またはインライン パラ メータ (Verilog) で適切な値を設定します。 これらのプリ ミ ティブ

のインスタンシエーシ ョ ンおよび DIFF_TERM 属性の設定の構文の詳細は、ISE の言語テンプレー

ト または Virtex-6 FPGA の HDL ライブラ リ ガイ ドを参照してください。

Virtex-6 FPGA の I/O リソースを宣言する VHDL/Verilog 構文例

Virtex-6 FPGA の I/O リ ソースを宣言する VHDL および Verilog の例は、 『Virtex-6 ライブラ リ ガイ ド』 を参照してください。

Page 32: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

32 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

Virtex-6 でサポート される I/O 規格のガイドライン

このセクシ ョ ンでは、 Virtex-6 デバイスで使用できる I/O 規格について説明します。

Virtex-6 FPGA で使用できる I/O 規格のほぼすべてに許容電圧範囲が指定されていますが、 このセ

クシ ョ ンでは一般的な電圧値のみを扱います。各仕様の詳細は、EIA (米国電子工業会) の JEDEC のウェブサイ ト http://www.jedec.org を参照してください。

LVCMOS (低電圧コンプリ メンタ リ メ タル オキサイド セミ コンダクタ )LVCMOS は幅広く使用されており、 CMOS ト ランジスタにインプリ メン ト されているスイ ッチ規

格です。 また、 JEDEC (JESD 8-5) で規定されています。 Virtex-6 FPGA でサポート されている

LVCMOS 規格は、 LVCMOS12、 LVCMOS15、 LVCMOS18、 および LVCMOS25 です。

図 1-26 および図 1-27 に、 LVCMOS 単一終端テクニッ ク と LVCMOS 双方向終端テクニッ クを使

用した回路図の例をそれぞれ示します。 これらの 2 つの図では、 ソースの直列および並列終端トポ

ロジの例を示しています。 図 1-26 に、 単一方向のソース直列終端トポロジを示します。

X-Ref Target - Figure 1-26

図 1-26 : LVCMOS 単一終端

Z0

IOB IOB

LVCMOS LVCMOS

Z0

IOB IOB

LVCMOS LVCMOS

Z0

IOB IOB

LVCMOS LVCMOS

ug361_c1_26_022309

VTT

Note: VTT is any voltage from 0V to VCCO

RP = Z0

RS = Z0 – RD

Page 33: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 33UG361 (v1.2) 2010 年 1 月 18 日

Virtex-6 でサポート される I/O 規格のガイド ライン

図 1-27 に、 双方向の並列終端トポロジを示します。

表 1-4 に、 LVCMOS25 I/O 規格に適用できる属性を示します。

表 1-5 に、 LVCMOS18 および LVCMOS15 I/O 規格に適用できる属性を示します。

X-Ref Target - Figure 1-27

図 1-27 : LVCMOS 双方向終端

表 1-4 : LVCMOS25 I/O 規格で使用可能な属性

属性プリ ミテ ィブ

IBUF/IBUFG OBUF/OBUFT IOBUF

IOSTANDARD LVCMOS25 LVCMOS25 LVCMOS25

DRIVE 未使用 2、 4、 6、 8、 12、16、 24

2、 4、 6、 8、 12、16、 24

SLEW 未使用 {FAST、 SLOW} {FAST、 SLOW}

表 1-5 : LVCMOS18 および LVCMOS15 I/O 規格で使用可能な属性

属性プリ ミテ ィブ

IBUF/IBUFG OBUF/OBUFT IOBUF

IOSTANDARD LVCMOS18 LVCMOS15

LVCMOS18 LVCMOS15

LVCMOS18 LVCMOS15

DRIVE 未使用 2、 4、 6、 8、 12、 16 2、 4、 6、 8、 12、 16

SLEW 未使用 {FAST、 SLOW} {FAST、 SLOW}

Z0

IOB IOB

LVCMOS

Z0

IOB IOB

LVCMOS LVCMOS

VTT

Note: VTT is any voltage from 0V to VCCO

RP = Z0

VTT

RP = Z0

ug361_c1_27_022309

LVCMOS

Page 34: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

34 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

表 1-6 に、 LVCMOS12 I/O 規格に適用できる属性を示します。

LVDCI (低電圧デジタル制御インピーダンス)これらの I/O バッファを使用する と、出力が制御インピーダンス ド ラ イバと してコンフ ィギュレー

シ ョ ンされます。LVDCI レシーバは、LVCMOS レシーバと類似しています。LVCMOS などの I/O規格では、 駆動インピーダンスを駆動されるラインの特性インピーダンスと整合させる必要があ り

ます。Virtex-6 デバイスには制御インピーダンス出力ド ライバがあ り、外部ソース終端抵抗を使用せ

ずに直列終端を与えるこ とができます。 インピーダンスは、 共通の外部参照抵抗によ り決定します

が、 その抵抗値はト レースのインピーダンス Z0 と整合します。

図 1-28 および図 1-29 に、 制御インピーダンス ド ラ イバの単一終端テクニッ ク と双方向終端テク

ニッ クを使用した回路図の例をそれぞれ示します。 制御インピーダンス ド ラ イバをサポートする

DCI I/O 規格は、 LVDCI_15、 LVDCI_18、 および LVDCI_25 です。

表 1-6 : LVCMOS12 I/O 規格で使用可能な属性

属性プリ ミテ ィブ

IBUF/IBUFG OBUF/OBUFT IOBUF

IOSTANDARD LVCMOS12 LVCMOS12 LVCMOS12

DRIVE 未使用 2、 4、 6、 8 2、 4、 6、 8

SLEW 未使用 {FAST、 SLOW} {FAST、 SLOW}

X-Ref Target - Figure 1-28

図 1-28 : 単一終端の制御インピーダンス ド ライバ

X-Ref Target - Figure 1-29

図 1-29 : 双方向終端の制御インピーダンス ド ライバ

Z0

IOB IOB

LVDCI LVDCI

ug361_c1_28_022309

R0 = RVRN = RVRP = Z0

Z0

IOB IOB

LVDCI LVDCI

ug361_c1_29_022309

R0 = RVRN = RVRP = Z0

R0 = RVRN = RVRP = Z0

Page 35: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 35UG361 (v1.2) 2010 年 1 月 18 日

Virtex-6 でサポート される I/O 規格のガイド ライン

LVDCI_DV2 インピーダンスが 1/2 の制御インピーダンス ド ラ イバ (ソース終端) では、 参照抵抗の 1/2 のイン

ピーダンス ド ラ イバを使用できます。 これによ り、参照抵抗を 2 倍にできるため、 VRN/VRP を介

した静止電流を削減できます。インピーダンスが 1/2 の制御インピーダンス ド ラ イバをサポートす

る DCI I/O 規格は、LVDCI_DV2_15、LVDCI_DV2_18、および LVDCI_DV2_25 です。図 1-30 および図 1-31 に、インピーダンスが 1/2 の単一終端の制御ド ライバと双方向終端の制御ド ライバの回

路図の例をそれぞれ示します。

インピーダンスが 1/2 のド ライバを使用する場合、駆動インピーダンスを Z0 と整合させるには、参

照抵抗 R を Z0 の 2 倍にする必要があ り ます。

LVDCI ド ラ イバに駆動能力の設定はあ り ません。駆動インピーダンスが、VRN/VRP 参照抵抗の半

分の場合は、 属性名に DV2 が追加されます。

X-Ref Target - Figure 1-30

図 1-30 : インピーダンスが 1/2 の制御インピーダンス ド ライバ

単一終端

X-Ref Target - Figure 1-31

図 1-31 : インピーダンスが 1/2 の制御インピーダンス ド ライバ

双方向終端

Z0

IOB IOB

LVDCI_DV2 LVDCI_DV2

ug361_c1_30_022309

R0 = ½RVRN = ½RVRP = Z0

Z0

IOB IOB

LVDCI_DV2 LVDCI_DV2

ug361_c1_31_022309

R0 = ½RVRN = ½RVRP = Z0

R0 = ½RVRN = ½RVRP = Z0

Page 36: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

36 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

表 1-7 に、 LVCMOS、 LVDCI、 および LVDCI_DV2 の電圧仕様を示します。

HSLVDCI (高速低電圧デジタル制御インピーダンス)HSLVDCI 規格は、双方向で使用されます。 ド ライバは、LVDCI と類似しており、入力は HSTL および SSTL と類似しています。 VREF 参照の入力を使用する と、 HSLVDCI は、 シングルエンド

LVCMOS タイプのレシーバを使用する場合よ り優れた入力感度をレシーバで許容できます。

図 1-32 に、HSLVDCI 制御インピーダンス ド ライバの双方向終端テクニッ クを使用した回路図の例

を示します。VREF で制御インピーダンス ド ライバをサポートする DCI I/O 規格は、HSLVDCI_15、HSLVDCI_18、 および HSLVDCI_25 です。

出力 DC 電圧仕様については、 表 1-7 の 「さまざまな参照電圧における LVCMOS、 LVDCI、 およ

び LVDCI_DV2 の DC 電圧仕様」 の LVDCI VOH および VOL を参照して ください。 表 1-8 には、

HSLVDCI を使用する場合の入力 DC 電圧仕様を示します。VCCO の有効値は、 1.5V、 1.8V および

2.5V です。 特定使用条件での 適なノ イズ マージンを提供するため、 VREF を選択します。

表 1-7 : さまざまな参照電圧における LVCMOS、 LVDCI、 および LVDCI_DV2 の DC 電圧仕様

規格+2.5V +1.8V +1.5V +1.2V (2)

小 標準 大 小 標準 大 小 標準 大 小 標準 大

VCCO [V] 2.3 2.5 2.625 1.7 1.8 1.9 1.4 1.5 1.6 1.1 1.2 1.3

VIH [V] 1.7 – VCCO+0.3 1.105 – VCCO+0.3 0.91 – VCCO+0.3 0.715 – VCCO+0.3

VIL [V] –0.3 – 0.7 –0.3 – 0.665 –0.3 – 0.56 0.3 – 0.455

VOH [V] 1.9 – – 1.25 – – 1.05 – 0.825 – – –

VOL [V] – – 0.4 – – 0.45 – – 0.4 – – 0.325

IIN [µA] – – ± 5 – – ± 5 – – ± 10 – – ± 10

メモ : 1. 低い駆動電流の VOL および VOH は、 サンプル テス ト されています。

2. 有効な DRIVE 属性が 2、 4、 6、 および 8 で + 1.2V の場合、 LVCMOS のみサポート されます。

X-Ref Target - Figure 1-32

図 1-32 : 双方向終端の HSLVDCI 制御インピーダンス ド ライバ

Z0

IOB

HSLVDCI

ug361_c1_32_022309

R0 = RVRN = RVRP = Z0

R0 = RVRN = RVRP = Z0

HSLVDCI

IOB

VREF = VCCO/2

+

Page 37: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 37UG361 (v1.2) 2010 年 1 月 18 日

Virtex-6 でサポート される I/O 規格のガイド ライン

HSTL (高速ト ランシーバ ロジック)HSTL 規格は、 IBM が出資した汎用高速 1.5V または 1.8V のバス規格 (EIA/JESD8-6) です。高速

メモ リ インターフェイスのクロ ッキングをサポートするため、この規格に差動バージ ョ ンが追加さ

れました。Virtex-6 FPGA の I/O は、1.5V と 1.8V の 4 ク ラスおよびク ラス I と II の差動バージ ョ

ンをサポート します。 これらの規格の差動バージ ョ ンには、 差動増幅入力バッファおよびプッシュ

プル出力バッファが必要です。

HSTL_ I、 HSTL_ III、 HSTL_ I_18、 HSTL_ III_18、 HSTL_I_12HSTL_I は、 1/2 VCCO を並列終端電圧 (VTT) で使用します。 HSTL_III は、 VCCO を並列終端電圧

(VTT) で使用します。 HSTL_I および HSTL_III は、 単一方向信号で使用されます。

HSTL_ I_DCI、 HSTL_ III_DCI、 HSTL_ I_DCI_18、 HSTL_ III_DCI_18HSTL_I_DCI では、 VCCO から電源供給されるオンチップ分割テブナン終端を使用し、1/2 VCCO の等価並列終端電圧 (VTT) を生成します。 HSTL_III_DCI は、 VCCO から電源供給されるオンチップ

単一終端を使用します。 HSTL_I_DCI および HSTL_III_DCI は、 単一方向信号で使用されます。

HSTL_ II および HSTL_ II_18HSTL_II は、 1/2 VCCO を並列終端電圧 (VTT) で使用します。 HSTL_II は、双方向信号で使用され

ます。

HSTL_ II_DCI および HSTL_ II_DCI_18HSTL_II_DCI では、VCCO から電源供給されるオンチップ分割テブナン終端を使用し、1/2 VCCO の等価終端電圧を生成します。 HSTL_II_DCI は、 双方向信号で使用されます。

HSTL_ II_T_DCI および HSTL_ II_T_DCI_18HSTL_ II_T_DCI および HSTL_ II_T_DCI_18 は、VCCO から電源供給されるオンチップ分割テブ

ナン終端を使用します。これらの規格がト ライステートの場合は、1/2 VCCO の等価終端電圧を生成

します。 ト ラ イステートでない場合、 これらの 2 つの規格には終端はあ り ません。

DIFF_HSTL_ II および DIFF_HSTL_II_18差動 HSTL ク ラス II は、 CSE HSTL_II タイプのド ライバと差動レシーバをペアで使用します。差

動 HSTL ク ラス II は、 双方向信号で使用されます。 また、 差動 HSTL は、 メモ リ インターフェイ

ス デザインにおいて差動クロ ッ クおよび DQS 信号にも使用できます。

表 1-8 : HSLVDCI 入力 DC 電圧仕様

規格 小 標準 大

VREF – VCCO/2 –

VIH VREF + 0.1 – –

VIL – – VREF – 0.1

Page 38: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

38 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

DIFF_HSTL_II_DCI および DIFF_HSTL_II_DCI_18差動 HSTL ク ラス II は、 コンプリ メンタ リ シングルエンド HSTL_II タイプのド ライバと差動レ

シーバをペアで使用し、オンチップ差動終端を含みます。差動 HSTL ク ラス II は、双方向信号で使

用されます。また、差動 HSTL は、メモ リ インターフェイス デザインにおいて差動クロ ッ クおよび

DQS 信号にも使用できます。

DIFF_HSTL_II_T_DCI および DIFF_HSTL_II_T_DCI_18DIFF_HSTL_II_DCI および DIFF_HSTL_II_DCI_18 規格とほぼ同一でが、 ド ライバが ト ライス

テートでない場合、 終端はあ り ません。

DIFF_HSTL_I および DIFF_HSTL_I_18差動 HSTL ク ラス I は、コンプリ メンタ リ シングルエンド HSTL_I タイプのド ライバと差動レシー

バをペアで使用します。 差動 HSTL ク ラス I は、 単一方向信号で使用されます。

DIFF_HSTL_I_DCI および DIFF_HSTL_I_DCI_18差動 HSTL ク ラス I は、コンプリ メンタ リ シングルエンド HSTL_I タイプのド ライバと差動レシー

バをペアで使用し、 オンチップ差動分割テブナン終端を含みます。 差動 HSTL ク ラス I は、 単一方

向信号で使用されます。

HSTL クラス I 図 1-33 に、 HSTL ク ラス I で有効な終端テクニッ クを使用した回路の例を示します。

X-Ref Target - Figure 1-33

図 1-33 : HSTL クラス I の終端

Z0

IOB IOB

HSTL_IHSTL_I

ug361_c1_33_022309

VTT = 0.75V

RP = Z0 = 50Ω

Z0

IOB IOB

HSTL_I_DCI HSTL_I_DCI

VCCO = 1.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

VREF = 0.75V

+

VREF = 0.75V

+

External Termination

DCI

Page 39: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 39UG361 (v1.2) 2010 年 1 月 18 日

Virtex-6 でサポート される I/O 規格のガイド ライン

表 1-9 に、 HSTL ク ラス I の DC 電圧仕様を示します。

差動 HSTL クラス I図 1-34 に、 単一終端がある差動 HSTL ク ラス I (1.5V) で有効な終端テクニッ クを使用した回路の

例を示します。

表 1-9 : HSTL クラス I の DC 電圧仕様

パラメータ 小 標準 大

VCCO 1.40 1.50 1.60

VREF (2) 0.68 0.75 0.90

VTT – VCCO × 0.5 –

VIH VREF + 0.1 – –

VIL – – VREF – 0.1

VOH VCCO – 0.4 – –

VOL – – 0.4

IOH at VOH (mA) (1) –8 – –

IOL at VOL (mA) (1) 8 – –

メモ : 1. 低い駆動電流の VOL および VOH は、 サンプル テス ト されています。

2. EIA/JESD8-6 では、 「ユーザーが指定する使用条件に 適なノ イズ マージンを確保するには、 ユーザーが VREF の値を指定する」 と規定されています。

X-Ref Target - Figure 1-34

図 1-34 : 差動 HSTL (1.5V) クラス I の単一終端

ug361_c1_34_022309

+

External Termination

Z0

IOB IOB

DIFF_HSTL_I

DIFF_HSTL_I

Z0

DIFF_HSTL_I

VTT = 0.75V

50Ω

VTT = 0.75V

50Ω

Page 40: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

40 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

図 1-35 に、 単一方向 DCI 終端がある差動 HSTL ク ラス I (1.5V) で有効な終端テクニッ クを使用し

た回路の例を示します。

表 1-10 に、 差動 HSTL ク ラス I の DC 電圧仕様を示します。

X-Ref Target - Figure 1-35

図 1-35 : 差動 HSTL (1.5V) クラス I の DCI の単一終端

表 1-10 : 差動 HSTL クラス I の DC 電圧仕様

パラメータ 小 標準 大

VCCO 1.40 1.50 1.60

VTT – VCCO × 0.5 –

VIN (DC) –0.30 – VCCO + 0.30

VDIFF (DC) 0.20 – VCCO + 0.60

VCM (DC) (1) 0.68 – 0.90

VDIFF (AC) 0.40 – VCCO + 0.60

VX (Crossover) (2) 0.68 – 0.90

メモ : 1. 同相電圧 : VCM = VP - ((VP - VN)/2)2. ク ロスオーバー ポイン ト : VX は、 VP - VN = 0 (AC カップル)

ug361_c1_35_022309

IOB

DIFF_HSTL_I_DCI

DIFF_HSTL_I_DCI

VCCO = 1.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

+

DCI

DIFF_HSTL_I_DCI

VCCO = 1.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

IOB

Z0

Z0

Page 41: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 41UG361 (v1.2) 2010 年 1 月 18 日

Virtex-6 でサポート される I/O 規格のガイド ライン

HSTL クラス II 図 1-36 に、 単一終端がある HSTL ク ラス II (1.5V) で有効な終端テクニッ クを使用した回路の例を

示します。

X-Ref Target - Figure 1-36

図 1-36 : HSTL (1.5V) クラス II 単一終端

Z0

IOB IOB

HSTL_IIHSTL_II

ug361_c1_36_022309

VTT = 0.75V

RP = Z0 = 50Ω

VTT = 0.75V

RP = Z0 = 50Ω

Z0

IOB IOB

HSTL_II_DCIHSTL_II_DCI

VCCO = 1.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

VREF = 0.75V

+

VREF = 0.75V

+

External Termination

DCI

VCCO = 1.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

Page 42: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

42 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

図 1-37 に、 双方向終端がある HSTL ク ラス II (1.5V) における有効な終端テクニッ クを使用した回

路の例を示します。

表 1-11 に、 HSTL (1.5V) ク ラス II の DC 電圧仕様を示します。

X-Ref Target - Figure 1-37

図 1-37 : HSTL (1.5V) クラス II 双方向終端

Z0

IOB IOB

HSTL_IIHSTL_II

ug361_c1_37_022309

VTT = 0.75V

RP = Z0 = 50Ω

VTT = 0.75V

RP = Z0 = 50Ω

Z0

IOB IOB

HSTL_II_DCIHSTL_II_DCI

VCCO = 1.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

VREF = 0.75V

VREF = 0.75V

+

VREF = 0.75V

+

External Termination

DCI

VCCO = 1.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

VREF = 0.75V

表 1-11 : HSTL (1.5V) クラス II の DC 電圧仕様

パラメータ 小 標準 大

VCCO 1.40 1.50 1.60

VREF (2) 0.68 0.75 0.90

VTT – VCCO × 0.5 –

VIH VREF + 0.1 – –

VIL – – VREF – 0.1

VOH VCCO – 0.4 – –

VOL – – 0.4

VOH で IOH (mA) (1) –16 – –

Page 43: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 43UG361 (v1.2) 2010 年 1 月 18 日

Virtex-6 でサポート される I/O 規格のガイド ライン

差動 HSTL クラス II 図 1-38 に、 単一終端がある差動 HSTL ク ラス II (1.5V) で有効な終端テクニッ クを使用した回路の

例を示します。

VOL で IOL (mA) (1) (3) 16 – –

メモ : 1. 低い駆動電流の VOL および VOH は、 サンプル テス ト されています。

2. EIA/JESD8-6 では、 「ユーザーが指定する使用条件に 適なノ イズ マージンを確保するには、 ユーザーが VREF の値を指定する」 と規定されています。

3. HSTL_II_T_DCI のド ライバは HSTL_II_DCI よ り弱いです。

表 1-11 : HSTL (1.5V) クラス II の DC 電圧仕様 (続き)

パラメータ 小 標準 大

X-Ref Target - Figure 1-38

図 1-38 : 差動 HSTL (1.5V) クラス II の単一終端

ug361_c1_38_022309

+

External Termination

Z0

IOB IOB

DIFF_HSTL_II

DIFF_HSTL_II

Z0

DIFF_HSTL_II

VTT = 0.75V

50Ω

VTT = 0.75V

50Ω

VTT = 0.75V

50Ω

VTT = 0.75V

50Ω

Page 44: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

44 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

図 1-39 に、 単一方向 DCI 終端がある差動 HSTL ク ラス II (1.5V) で、有効な終端テクニッ クを使用

した回路の例を示します。

図 1-40 に、双方向終端がある差動 HSTL ク ラス II (1.5V) で有効な終端テクニッ クを使用した回路

の例を示します。

X-Ref Target - Figure 1-39

図 1-39 : 差動 HSTL (1.5V) クラス II DCI 単一終端

ug361_c1_39_022309

IOB

DIFF_HSTL_II_DCI

DIFF_HSTL_II_DCI

VCCO = 1.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

+

DCI

DIFF_HSTL_II_DCI

VCCO = 1.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

IOB

VCCO = 1.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

VCCO = 1.5V

Z0

Z0

X-Ref Target - Figure 1-40

図 1-40 : 差動 HSTL (1.5V) クラス II 双方向終端

Z0

IOB IOB

DIFF_HSTL_II DIFF_HSTL_II

+

External TerminationVTT = 0.75V

50Ω

DIFF_HSTL_II

ug361_c1_40_022309

Z0

DIFF_HSTL_II

DIFF_HSTL_II DIFF_HSTL_II

+

VTT = 0.75V

50Ω

VTT = 0.75V

50Ω

VTT = 0.75V

50Ω

Page 45: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 45UG361 (v1.2) 2010 年 1 月 18 日

Virtex-6 でサポート される I/O 規格のガイド ライン

図 1-41 に、 双方向 DCI 終端がある、 差動 HSTL ク ラス II (1.5V) における有効な終端テクニッ ク

を使用した回路の例を示します。

表 1-12 に、 差動 HSTL ク ラス II の DC 電圧仕様を示します。

X-Ref Target - Figure 1-41

図 1-41 : 差動 HSTL (1.5V) クラス II DCI 双方向終端

Z0

IOB IOB

DIFF_HSTL_II_DCI DIFF_HSTL_II_DCI

VCCO = 1.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

+

DCI

VCCO = 1.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

DIFF_HSTL_II_DCI

ug361_c1_41_022309

Z0

DIFF_HSTL_II_DCI

DIFF_HSTL_II_DCI DIFF_HSTL_II_DCIVCCO = 1.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

+

VCCO = 1.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

表 1-12 : 差動 HSTL クラス II の DC 電圧仕様

パラメータ 小 標準 大

VCCO 1.40 1.50 1.60

VTT – VCCO × 0.5 –

VIN (DC) –0.30 – VCCO + 0.30

VDIFF (DC) 0.20 – VCCO + 0.60

VCM (DC) (1) 0.68 – 0.90

VDIFF (AC) 0.40 – VCCO + 0.60

VX (Crossover) (2) 0.68 – 0.90

メモ : 1. 同相電圧 : VCM = VP - ((VP -VN)/2)2. ク ロスオーバー ポイン ト : VX は、 VP - VN = 0 (AC カップル)

Page 46: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

46 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

HSTL クラス III図 1-42 に、 HSTL ク ラス III で有効な終端テクニッ クを使用した回路の例を示します。

表 1-13 に、 HSTL ク ラス III の DC 電圧仕様を示します。

X-Ref Target - Figure 1-42

図 1-42 : HSTL クラス III の終端

表 1-13 : HSTL クラス III の DC 電圧仕様

パラメータ 小 標準 大

VCCO 1.40 1.50 1.60

VREF (2) – 0.90 –

VTT – VCCO –

VIH VREF + 0.1 – –

VIL – – VREF – 0.1

VOH VCCO – 0.4 – –

VOL – – 0.4

VOH で IOH (mA) (1) –8 – –

VOL で IOL (mA) (1) 24 – –

メモ : 1. 低い駆動電流の VOL および VOH は、 サンプル テス ト されています。

2. EIA/JESD8-6 では、 「ユーザーが指定する使用条件に 適なノ イズ マージンを確保するには、 ユーザーが VREF の値を指定する」 と規定されています。

Z0

IOB IOB

HSTL_IIIHSTL_III

ug361_c1_42_022309

VTT = 1.5V

RP = Z0 = 50Ω

Z0

IOB IOB

HSTL_III_DCI HSTL_III_DCI

VCCO = 1.5V

RVRP = Z0= 50Ω

VREF = 0.9V

+

VREF = 0.9V

+

External Termination

DCI

Page 47: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 47UG361 (v1.2) 2010 年 1 月 18 日

Virtex-6 でサポート される I/O 規格のガイド ライン

HSTL_II_T_DCI (1.5V) 分割テブナン終端

図 1-43 に、 オンチップ分割テブナン終端がある HSTL_II_T_DCI (1.5V) で有効な終端テクニッ ク

を使用した回路の例を示します。 このよ うな双方向では、 ト ラ イステートの場合、 終端はド ライバ

側ではなく レシーバ側に付きます。

HSTL クラス I (1.8V) 図 1-44 に、 HSTL ク ラス I (1.8v) で有効な終端テクニッ クを使用した回路の例を示します。

X-Ref Target - Figure 1-43

図 1-43 : HSTL_II_T_DCI (1.5V) 分割テブナン終端

ug361_c1_43_022309

Z0

IOB IOB

HSTL_II_T_DCIHSTL_II_T_DCI

VCCO = 1.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

VREF = 0.75V

+

DCI

VREF = 0.75V

Not 3-stated 3-stated

X-Ref Target - Figure 1-44

図 1-44 : HSTL クラス I (1.8V) 終端

Z0

IOB IOB

HSTL_I_18HSTL_I_18

ug361_c1_44_022309

VTT = 0.9V

RP = Z0 = 50Ω

Z0

IOB IOB

HSTL_I_DCI_18 HSTL_I_DCI_18

VCCO = 1.8V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

VREF = 0.9V

+

VREF = 0.9V

+

External Termination

DCI

Page 48: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

48 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

表 1-14 に、 HSTL ク ラス I (1.8V) の DC 電圧仕様を示します。

差動 HSTL クラス I (1.8V) 図 1-45 に、 単一終端がある差動 HSTL ク ラス I (1.8V) で有効な終端テクニッ クを使用した回路の

例を示します。

表 1-14 : HSTL クラス I (1.8V) の DC 電圧仕様

パラメータ 小 標準 大

VCCO 1.7 1.8 1.9

VREF (2) 0.83 0.9 1.08

VTT – VCCO × 0.5 –

VIH VREF + 0.1 – –

VIL – – VREF – 0.1

VOH VCCO – 0.4 – –

VOL – – 0.4

VOH で IOH (mA) (1) –8 – –

VOL で IOL (mA) (1) 8 – –

メモ : 1. 低い駆動電流の VOL および VOH は、 サンプル テス ト されています。

2. EIA/JESD8-6 では、 「ユーザーが指定する使用条件に 適なノ イズ マージンを確保するには、 ユーザーが VREF の値を指定する」 と規定されています。

X-Ref Target - Figure 1-45

図 1-45 : 差動 HSTL (1.8V) クラス I の単一終端

ug361_c1_45_022309

+

External Termination

Z0

IOB IOB

DIFF_HSTL_I_18

DIFF_HSTL_I_18

Z0

DIFF_HSTL_I_18

VTT = 0.9V

50Ω

VTT = 0.9V

50Ω

Page 49: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 49UG361 (v1.2) 2010 年 1 月 18 日

Virtex-6 でサポート される I/O 規格のガイド ライン

図 1-46 に、 単一方向 DCI 終端がある差動 HSTL ク ラス I (1.8V) で有効な終端テクニッ クを使用し

た回路の例を示します。

表 1-15 に、 差動 HSTL ク ラス I (1.8V) の DC 電圧仕様を示します。

X-Ref Target - Figure 1-46

図 1-46 : 差動 HSTL (1.8V) クラス I の DCI の単一終端

表 1-15 : 差動 HSTL クラス I (1.8V) の DC 電圧仕様

パラメータ 小 標準 大

VCCO 1.7 1.8 1.9

VTT – VCCO × 0.5 –

VIN (DC) –0.30 – VCCO + 0.30

VDIFF (DC) 0.20 – VCCO + 0.60

VCM (DC) (1) 0.83 – 1.08

VDIFF (AC) 0.40 – VCCO + 0.60

VX (Crossover) (2) 0.83 – 1.08

メモ : 1. 同相電圧 : VCM = VP - ((VP - VN)/2)2. ク ロスオーバー ポイン ト : VX は、 VP - VN = 0 (AC カップル)

ug361_c1_46_022309

IOB

DIFF_HSTL_I_DCI_18

DIFF_HSTL_I_DCI_18

VCCO = 1.8V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

+

DCI

DIFF_HSTL_I_DCI_18

VCCO = 1.8V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

IOB

Z0

Z0

Page 50: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

50 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

HSTL クラス II (1.8V) 図 1-47 に、 単一終端がある HSTL ク ラス II (1.8V) で有効な終端テクニッ クを使用した回路の例を

示します。

図 1-48 に、 双方向終端がある HSTL ク ラス II (1.8V) における有効な終端テクニッ クを使用した回

路の例を示します。

X-Ref Target - Figure 1-47

図 1-47 : 単一方向の HSTL クラス II (1.8V) 終端

Z0

IOB IOB

HSTL_II_18HSTL_II_18

ug361_c1_47_022309

VTT = 0.9V

RP = Z0 = 50Ω

VTT = 0.9V

RP = Z0 = 50Ω

Z0

IOB IOB

HSTL_II_DCI_18HSTL_II_DCI_18

VCCO = 1.8V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

VREF = 0.9V

+

VREF = 0.9V

+

External Termination

DCI

VCCO = 1.8V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

Page 51: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 51UG361 (v1.2) 2010 年 1 月 18 日

Virtex-6 でサポート される I/O 規格のガイド ライン

表 1-16 に、 HSTL ク ラス II (1.8V) の DC 電圧仕様を示します。

X-Ref Target - Figure 1-48

図 1-48 : 双方向の HSTL クラス II (1.8V) 終端

Z0

IOB IOB

HSTL_II_18HSTL_II_18

ug361_c1_48_022309

VTT = 0.9V

RP = Z0 = 50Ω

VTT = 0.9V

RP = Z0 = 50Ω

Z0

IOB IOB

HSTL_II_DCI_18HSTL_II_DCI_18

VCCO = 1.8V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

VREF = 0.9V

VREF = 0.9V

+

VREF = 0.9V

+

External Termination

DCI

VCCO = 1.8V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

VREF = 0.9V

表 1-16 : HSTL クラス II (1.8V) の DC 電圧仕様

パラメータ 小 標準 大

VCCO 1.7 1.8 1.9

VREF (2) – 0.9 –

VTT – VCCO × 0.5 –

VIH VREF + 0.1 – –

VIL – – VREF – 0.1

VOH VCCO – 0.4 – –

VOL – – 0.4

VOH で IOH (mA) (1) –16 – –

VOL で IOL (mA) (1) 16 – –

メモ : 1. 低い駆動電流の VOL および VOH は、 サンプル テス ト されています。

2. EIA/JESD8-6 では、 「ユーザーが指定する使用条件に 適なノ イズ マージンを確保するには、 ユーザーが VREF の値を指定する」 と規定されています。

Page 52: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

52 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

差動 HSTL クラス II (1.8V) 図 1-49 に、 単一終端がある差動 HSTL ク ラス II (1.8V) で有効な終端テクニッ クを使用した回路の

例を示します。

図 1-50 に、 単一方向 DCI 終端がある差動 HSTL ク ラス II (1.8V) で、有効な終端テクニッ クを使用

した回路の例を示します。

X-Ref Target - Figure 1-49

図 1-49 : 差動 HSTL (1.8V) クラス II の単一終端

ug361_c1_49_022309

+

External Termination

Z0

IOB IOB

DIFF_HSTL_II_18

DIFF_HSTL_II_18

Z0

DIFF_HSTL_II_18

VTT = 0.9V

50Ω

VTT = 0.9V

50Ω

VTT = 0.9V

50Ω

VTT = 0.9V

50Ω

X-Ref Target - Figure 1-50

図 1-50 : 差動 HSTL (1.8V) クラス II DCI 単一終端

ug361_c1_50_022309

IOB

DIFF_HSTL_II_DCI_18

DIFF_HSTL_II_DCI_18

VCCO = 1.8V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

+

DCI

DIFF_HSTL_II_DCI_18

VCCO = 1.8V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

IOB

VCCO = 1.8V

2RVRN = 2Z0= 100Ω

2RVRP = 2Z0= 100Ω

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

VCCO = 1.8V

Z0

Z0

Page 53: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 53UG361 (v1.2) 2010 年 1 月 18 日

Virtex-6 でサポート される I/O 規格のガイド ライン

図 1-51 に、双方向終端がある差動 HSTL ク ラス II (1.8V) で有効な終端テクニッ クを使用した回路

の例を示します。

図 1-52 に、 双方向 DCI 終端がある差動 HSTL ク ラス II (1.8V) における有効な終端テクニッ クを

使用した回路の例を示します。

X-Ref Target - Figure 1-51

図 1-51 : 差動 HSTL (1.8V) クラス II 双方向終端

Z0

IOB IOB

DIFF_HSTL_II_18 DIFF_HSTL_II_18

+

External Termination

VTT = 0.9V

50Ω

DIFF_HSTL_II_18

ug361_c1_51_022309

Z0

DIFF_HSTL_II_18

DIFF_HSTL_II_18 DIFF_HSTL_II_18

+

VTT = 0.9V

50Ω

VTT = 0.9V

50Ω

VTT = 0.9V

50Ω

X-Ref Target - Figure 1-52

図 1-52 : 差動 HSTL (1.8V) クラス II DCI 双方向終端

Z0

IOB IOB

DIFF_HSTL_II_DCI_18 DIFF_HSTL_II_DCI_18

VCCO = 1.8V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

+

DCI

VCCO = 1.8V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

DIFF_HSTL_II_DCI_18

ug361_c1_52_022309

Z0

DIFF_HSTL_II_DCI_18

DIFF_HSTL_II_DCI_18 DIFF_HSTL_II_DCI_18VCCO = 1.8V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

+

VCCO = 1.8V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

Page 54: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

54 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

表 1-17 に、 差動 HSTL ク ラス II (1.8V) の DC 電圧仕様を示します。

HSTL クラス III (1.8V)図 1-53 に、 HSTL ク ラス III (1.8V) における有効な終端テクニッ クを使用した回路の例を示します。

表 1-17 : 差動 HSTL クラス II (1.8V) の DC 電圧仕様

パラメータ 小 標準 大

VCCO 1.7 1.8 1.9

VTT – VCCO × 0.5 –

VIN (DC) –0.30 – VCCO + 0.30

VDIFF (DC) 0.20 – VCCO + 0.60

VCM (DC) (1) 0.83 – 1.08

VDIFF (AC) 0.40 – VCCO + 0.60

VX (Crossover) (2) 0.83 – 1.08

メモ : 1. 同相電圧 : VCM = VP - ((VP -VN)/2)2. ク ロスオーバー ポイン ト : VX は、 VP - VN = 0 (AC カップル)

X-Ref Target - Figure 1-53

図 1-53 : HSTL クラス III (1.8V) 終端

Z0

IOB IOB

HSTL_III_18HSTL_III_18

ug361_c1_53_022309

VTT = 1.8V

RP = Z0 = 50Ω

Z0

IOB IOB

HSTL_III_DCI_18 HSTL_III_DCI_18

VCCO = 1.8V

RVRP = Z0= 50Ω

VREF = 1.1V

+

VREF = 1.1V

+

External Termination

DCI

Page 55: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 55UG361 (v1.2) 2010 年 1 月 18 日

Virtex-6 でサポート される I/O 規格のガイド ライン

表 1-18 に、 HSTL ク ラス III (1.8V) の DC 電圧仕様を示します。

HSTL_II_T_DCI_18 (1.8V) 分割テブナン終端

図 1-54 に、オンチップ分割テブナン終端がある HSTL_II_T_DCI_18 (1.8V) で有効な終端テクニッ

クを使用した回路の例を示します。 このよ うな双方向では、 ト ラ イステートの場合、 終端はド ライ

バ側ではなく レシーバ側に付きます。

表 1-18 : HSTL クラス III (1.8V) の DC 電圧仕様

パラメータ 小 標準 大

VCCO 1.7 1.8 1.9

VREF (2) – 1.1 –

VTT – VCCO –

VIH VREF + 0.1 – –

VIL – – VREF – 0.1

VOH VCCO – 0.4 – –

VOL – – 0.4

VOH で IOH (mA) (1) –8 – –

VOL で IOL (mA) (1) 24 – –

メモ : 1. 低い駆動電流の VOL および VOH は、 サンプル テス ト されています。

2. EIA/JESD8-6 では、 「ユーザーが指定する使用条件に 適なノ イズ マージンを確保するには、 ユーザーが VREF の値を指定する」 と規定されています。

X-Ref Target - Figure 1-54

図 1-54 : HSTL_II_T_DCI_18 分割テブナン終端

ug361_c1_54_022309

Z0

IOB IOB

HSTL_II_T_DCI_18HSTL_II_T_DCI_18

VCCO = 1.8V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

VREF = 0.9V

+

DCI

VREF = 0.9V

Not 3-stated 3-stated

Page 56: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

56 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

HSTL クラス I (1.2V) 図 1-55 に、HSTL ク ラス I (1.2V) で有効な終端テクニッ クを使用した回路の例を示します。これは

単一方向信号で使用されます。

表 1-19 に、 HSTL ク ラス I (1.2V) の DC 電圧仕様を示します。

SSTL (スタブ シリーズ ターミネーテッ ド ロジック)2.5V の SSTL (SSTL2) および 1.8V の SSTL (SSTL18) は、汎用メモ リ バスの規格です。SSTL2 規格は JESD8-9B で規定され、 SSTL18 は JEDEC JESD8-15 で規定されています。 SSTL2 規格には

2 つのクラスがあ り、ク ラス I は単一方向信号用で、ク ラス II は双方向信号用です。Virtex-6 FPGAの I/O は、 シングルエンド信号と差動信号には両方の規格を使用できます。 この規格には、 差動増

幅入力バッファおよびプッシュプル出力バッファが必要です。

SSTL2_I、 SSTL18_Iク ラス I 信号は、レシーバの 50Ω 抵抗に対して、VTT (1/2 VCCO) を並列終端電圧で使用します。 ト

ランス ミ ッ タの出力に直列抵抗 (2.5V のと き 25Ω 、 1.8V のと き 20Ω ) を必ず接続してください。

X-Ref Target - Figure 1-55

図 1-55 : HSTL クラス I (1.2V) 終端

表 1-19 : HSTL クラス I (1.2V) の DC 電圧仕様

パラメータ 小 標準 大

VCCO 1.14 1.2 1.26

VREF (2) VCCO × 0.48 0.6 VCCO × 0.52

VTT – VCCO × 0.5 –

VIH VREF + 0.08 – –

VIL – – VREF – 0.08

VOH VCCO – .0.315 – –

VOL – – 0.315

VOH で IOH (mA) (1) –6.3 – –

VOL で IOL (mA) (1) 6.3 – –

メモ : 1. 低い駆動電流の VOL および VOH は、 サンプル テス ト されています。

2. EIA/JESD8-6 では、 「ユーザーが指定する使用条件に 適なノ イズ マージンを確保するには、 ユーザーが VREF の値を指定する」 と規定されています。

Z0

IOB IOB

HSTL_I_12HSTL_I_12

ug361_c1_55_022309

VTT = 0.6V

RP = Z0 = 50Ω

VREF = 0.6V

+

External Termination

Page 57: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 57UG361 (v1.2) 2010 年 1 月 18 日

Virtex-6 でサポート される I/O 規格のガイド ライン

SSTL2_I_DCI、 SSTL18_I_DCIDCI ト ランス ミ ッ タには、内部直列抵抗 (2.5V のとき 25Ω 、1.8V のと き 20Ω ) があ り ます。DCI レシーバには、VCCO から電源供給される内部分割テブナン終端があ り、等価の VTT 電圧および終端

インピーダンスを生成します。

SSTL2_II、 SSTL18_II、 SSTL_15ク ラス II 信号は、 レシーバと ト ランス ミ ッ タにある各 50Ω 抵抗に対して、 VTT (1/2 VCCO) を並列

終端電圧で使用します。 ト ランス ミ ッ タ出力に直列抵抗 (2.5V のと き 25Ω 、1.8V のと き 20Ω ) を必

ず接続して ください。 双方向信号の場合は、 25Ω の直列抵抗を ト ランシーバのト ランス ミ ッ タに接

続して ください。

SSTL2_II_DCI、 SSTL18_II_DCI、 SSTL_15_DCIDCI 回路には、 VCCO から電源供給される分割テブナン終端と内部直列抵抗 (2.5V のと き 25Ω 、1.8V のとき 20Ω ) があ り ます。単一方向信号の場合、一方のト ランス ミ ッ タへのみ直列抵抗が与え

られます。 双方向信号の場合、 両方のト ランス ミ ッ タに直列抵抗が与えられます。

DIFF_SSTL2_I、 DIFF_SSTL18_I差動 SSTL 2.5V および 1.8V ク ラス I には、CSE SSTL_I タイプのド ライバと差動レシーバのペア

があ り ます。

DIFF_SSTL2_I_DCI、 DIFF_SSTL18_I_DCI差動 SSTL 2.5V および SSTL 1.8V ク ラス I には、CSE SSTL_II タイプのド ライバと差動レシーバ

のペアがあ り、 オンチップ差動分割テブナン終端を含みます。

DIFF_SSTL2_II、 DIFF_SSTL18_II、 DIFF_SSTL15差動 SSTL 2.5V および SSTL 1.8V ク ラス II には、 CSE SSTL_II タイプのド ライバと差動レシーバ

のペアがあり ます。 双方向信号の場合、 直列抵抗は両方のト ランス ミ ッタに必ず接続してください。

DIFF_SSTL2_II_DCI、 DIFF_SSTL18_II_DCI、 DIFF_SSTL15_DCI差動 SSTL 2.5V および SSTL 1.8V ク ラス II には、 CSE SSTL_II タイプのド ライバと差動レシーバ

のペアがあり、オンチップ差動終端を含みます。DCI は、単一方向信号および双方向信号の両方の場

合に使用できます。

SSTL2_II_T_DCI、 SSTL18_II_T_DCI、 SSTL15_T_DCISSTL2_II_T_DCI および SSTL18_II_T_DCI は VCCO から電源供給されるオンチップ分割テブナ

ン終端を使用します。これらの規格がト ライステートの場合は、1/2 VCCO の等価終端電圧を生成し

ます。 ト ラ イステートでない場合、 この 2 つの規格には並列終端はあ り ませんが、 内部直列抵抗

(2.5V のと き 25Ω 、 1.8V のと き 20Ω ) が 1 つあ り ます。

Page 58: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

58 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

SSTL2 クラス I (2.5V) 図 1-56 に、 SSTL2 ク ラス I における有効な終端テクニッ クを使用した回路の例を示します。

表 1-20 に、 SSTL2 ク ラス I の DC 電圧仕様を示します。

X-Ref Target - Figure 1-56

図 1-56 : SSTL2 クラス I 終端

表 1-20 : SSTL2 クラス I の DC 電圧仕様

パラメータ 小 標準 大

VCCO 2.3 2.5 2.625

VREF = 0.5 × VCCO 1.13 1.25 1.38

VTT = VREF + N (1) 1.09 1.25 1.42

VIH ≥ VREF + 0.15 1.28 1.4 VCCO + 0.3 (2)

VIL ≤ VREF – 0.15 –0.3 (3) 1.1 1.23

VOH ≥ VREF + 0.61 1.74 1.84 1.94

VOL ≤ VREF – 0.61 (4) 0.56 0.66 0.76

VOH で IOH (mA) –8.1 – –

VOL で IOL (mA) 8.1 – –

メモ : 1. N は -0.04 以上かつ 0.04 以下である必要があ り ます。

2. VIH の 大値は、 VCCO + 0.3 です。

3. VIL の 小値は、 式には従っていません。

4. SSTL2_I_DCI は制御インピーダンス ド ラ イバを使用するため、 VOH と VOL は異なり ます。

Z0

IOB

SSTL2_IRS = 25Ω

IOB

SSTL2_I_DCI

R0 = 25Ω

Z0

IOB

SSTL2_I

ug361_c1_56_022309

VTT = 1.25V

RP = Z0 = 50Ω

Z0

IOB

SSTL2_I_DCI

VCCO = 2.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

VREF = 1.25V

+

VREF = 1.25V

+

External Termination

DCI

Page 59: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 59UG361 (v1.2) 2010 年 1 月 18 日

Virtex-6 でサポート される I/O 規格のガイド ライン

差動 SSTL2 クラス I (2.5V) 図 1-57 に、 単一終端がある差動 SSTL2 ク ラス I (2.5V) で有効な終端テクニッ クを使用した回路の

例を示します。

図 1-58 に、 単一方向 DCI 終端がある差動 SSTL2 ク ラス I (2.5V) で有効な終端テクニッ クを使用し

た回路の例を示します。

X-Ref Target - Figure 1-57

図 1-57 : 差動 SSTL2 クラス I 単一終端

X-Ref Target - Figure 1-58

図 1-58 : 差動 SSTL2 (2.5V) クラス I 単一方向 DCI 終端

ug361_c1_57_022309

+

External Termination

Z0

IOB IOB

DIFF_SSTL2_I

DIFF_SSTL2_I

Z0

DIFF_SSTL2_I

VTT = 1.25V

VTT = 1.25V

RP = Z0 = 50Ω

50ΩRS = 25Ω

RS = 25Ω

ug361_c1_58_022309

IOB

DIFF_SSTL2_I_DCI

DIFF_SSTL2_I_DCI

VCCO = 2.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

+

DCI

DIFF_SSTL2_I_DCI

VCCO = 2.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

Z0

Z0

R0 = 25Ω

R0 = 25Ω

Page 60: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

60 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

表 1-21 に、 差動 SSTL2 ク ラス I の DC 電圧仕様を示します。

表 1-21 : 差動 SSTL2 クラス I の DC 電圧仕様

パラメータ 小 標準 大

VCCO 2.3 2.5 2.625

入力パラメータ

VTT – VCCO × 0.5 –

VIN (DC) (1) –0.30 – VCCO + 0.30

VID (DC) (2) 0.3 – VCCO + 0.60

VID (AC) 0.62 – VCCO + 0.60

VIX (AC) (3) 0.95 – 1.55

出力パラメータ

VOX (AC) (4) 1.0 – 1.5

メモ : 1. VIN (DC) は、 各差動入力の DC 電圧の超過許容範囲を示します。

2. VID (DC) は、 スイ ッチングで必要な入力差動電圧を示します。

3. VIX (AC) は、 差動入力信号がクロスする電圧を示します。

4. VOX (AC) は、 差動出力信号がクロスする電圧を示します。

Page 61: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 61UG361 (v1.2) 2010 年 1 月 18 日

Virtex-6 でサポート される I/O 規格のガイド ライン

SSTL2 クラス II (2.5V) 図 1-59 に、 SSTL2 ク ラス II で有効な単一終端テクニッ クを使用した回路の例を示します。

X-Ref Target - Figure 1-59

図 1-59 : SSTL2 クラス II の単一終端

Z0

IOB IOB

SSTL2_IISSTL2_II

ug361_c1_59_022309

VTT = 1.25V

RP = Z0 = 50Ω

VTT = 1.25V

RP = Z0 = 50Ω

Z0

IOB IOB

SSTL2_II_DCISSTL2_II_DCI

VCCO = 2.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

VREF = 1.25V

+

VREF = 1.25V

+

External Termination

DCI

VCCO = 2.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100ΩR0 = 25Ω

25Ω

Page 62: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

62 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

図 1-60 に、 SSTL2 ク ラス II で有効な双方向終端テクニッ クを使用した回路の例を示します。

表 1-22 に、 SSTL2 ク ラス II の DC 電圧仕様を示します。

X-Ref Target - Figure 1-60

図 1-60 : SSTL2 クラス II の双方向終端

Z0

IOB

SSTL2_II

ug361_c1_60_022309

VTT = 1.25V

RP = Z0 = 50Ω

VTT = 1.25V

RP = Z0 = 50Ω

Z0

IOB IOB

SSTL2_II_DCISSTL2_II_DCI

VCCO = 2.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

VREF = 1.25V

+

VREF = 1.25V

+

External Termination

DCI

VCCO = 2.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

IOB

SSTL2_IIRS = 25Ω RS = 25Ω

R0 = 25Ω

VREF = 1.25V

VREF = 1.25V

R0 = 25Ω

表 1-22 : SSTL2 クラス II の DC 電圧仕様

パラメータ 小 標準 大

VCCO 2.3 2.5 2.625

VREF 1.13 1.25 1.38

VTT = VREF + N (1) 1.09 1.25 1.42

VIH ≥ VREF + 0.15 1.28 1.40 VCCO + 0.3 (2)

VIL ≤ VREF – 0.15 –0.3 (3) 1.1 1.27

VOH ≥ VREF + 0.81 1.93 2.03 2.13

VOL ≤ VREF – 0.81 (4) 0.36 0.46 0.55

VOH で IOH (mA) –16.2 – –

Page 63: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 63UG361 (v1.2) 2010 年 1 月 18 日

Virtex-6 でサポート される I/O 規格のガイド ライン

差動 SSTL2 クラス II (2.5V) 図 1-61 に、 単一終端がある差動 SSTL2 ク ラス II (2.5V) で有効な終端テクニッ クを使用した回路

の例を示します。

VOL で IOL (mA) 16.2 – –

メモ : 1. N は -0.04 以上かつ 0.04 以下である必要があ り ます。

2. VIH の 大値は、 VCCO + 0.3 です。

3. VIL の 小値は、 式には従っていません。

4. SSTL2_I_DCI は制御インピーダンス ド ラ イバを使用するため、 VOH と VOL は異なり ます。

表 1-22 : SSTL2 クラス II の DC 電圧仕様 (続き)

パラメータ 小 標準 大

X-Ref Target - Figure 1-61

図 1-61 : 差動 SSTL2 クラス II 単一終端

ug361_c1_61_022309

+

External Termination

Z0

IOB IOB

DIFF_SSTL2_II

DIFF_SSTL2_II

Z0

DIFF_SSTL2_II

VTT = 1.25V

VTT = 1.25V

50Ω

50Ω

VTT = 1.25V

VTT = 1.25V

50Ω

50ΩRS = 25Ω

RS = 25Ω

Page 64: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

64 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

図 1-62 に、単一方向 DCI 終端がある差動 SSTL2 ク ラス II (2.5V) で有効な終端テクニッ クを使用

した回路の例を示します。

図 1-63 に、双方向終端がある差動 SSTL2 ク ラス II (2.5V) で有効な終端テクニッ クを使用した回路

の例を示します。

X-Ref Target - Figure 1-62

図 1-62 : 差動 SSTL2 (2.5V) クラス II 単一方向 DCI 終端

ug361_c1_62_022309

IOB

DIFF_SSTL2_II_DCI

DIFF_SSTL2_II_DCI

VCCO = 2.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

+

DCI

DIFF_SSTL2_II_DCI

VCCO = 2.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

IOB

VCCO = 2.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

VCCO = 2.5V

Z0

Z0

R0 = 25Ω

R0 = 25Ω

X-Ref Target - Figure 1-63

図 1-63 : 差動 SSTL2 (2.5V) クラス II 双方向終端

Z0

IOB IOB

DIFF_SSTL2_II DIFF_SSTL2_II

+

External TerminationVTT = 1.25V

DIFF_SSTL2_II

ug361_c1_63_022309

Z0

DIFF_SSTL2_II

DIFF_SSTL2_II DIFF_SSTL2_II

+

VTT = 1.25V

50Ω

50Ω

VTT = 1.25V

VTT = 1.25V

50Ω25Ω

25Ω 25Ω

25Ω

50Ω

Page 65: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 65UG361 (v1.2) 2010 年 1 月 18 日

Virtex-6 でサポート される I/O 規格のガイド ライン

図 1-64 に、双方向 DCI 終端がある差動 SSTL2 ク ラス II (2.5V) で有効な終端テクニッ クを使用し

た回路の例を示します。

表 1-23 に、 差動 SSTL2 ク ラス II の DC 電圧仕様を示します。

X-Ref Target - Figure 1-64

図 1-64 : 差動 SSTL2 (2.5V) クラス II の DCI 双方向終端

Z0

IOB IOB

DIFF_SSTL2_II_DCI DIFF_SSTL2_II_DCI

VCCO = 2.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

+

DCI

VCCO = 2.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

DIFF_SSTL2_II_DCI

ug361_c1_64_022309

Z0

DIFF_SSTL2_II_DCI

DIFF_SSTL2_II_DCI DIFF_SSTL2_II_DCIVCCO = 2.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

+

VCCO = 2.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

R0 = 25Ω

R0 = 25Ω

R0 = 25Ω

R0 = 25Ω

表 1-23 : 差動 SSTL2 クラス II の DC 電圧仕様

パラメータ 小 標準 大

VCCO 2.3 2.5 2.625

入力パラメータ

VTT – VCCO × 0.5 –

VIN (DC) (1) –0.30 – VCCO + 0.30

VID (DC) (2) 0.3 – VCCO + 0.60

VID (AC) 0.62 – VCCO + 0.60

VIX (AC) (3) 0.95 – 1.55

出力パラメータ

VOX (AC) (4) 1.0 – 1.5

メモ : 1. VIN (DC) は、 各差動入力の DC 電圧の超過許容範囲を示します。

2. VID (DC) は、 スイ ッチングで必要な入力差動電圧を示します。

3. VIX (AC) は、 差動入力信号がクロスする電圧を示します。

4. VOX (AC) は、 差動出力信号がクロスする電圧を示します。

Page 66: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

66 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

SSTL2_II_T_DCI (2.5V) 分割テブナン終端

図 1-65 に、オンチップ分割テブナン終端がある SSTL2_II_T_DCI (2.5V) で有効な終端テクニッ ク

を使用した回路の例を示します。 このよ うな双方向 I/O 規格では、 ト ラ イステートの場合、 終端は

ド ライバ側ではなく レシーバ側に付きます。

X-Ref Target - Figure 1-65

図 1-65 : SSTL2_II_T_DCI (2.5V) 分割テブナン終端

ug361_c1_65_022309

Z0

IOB IOB

SSTL2_II_T_DCISSTL2_II_T_DCI

VCCO = 2.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

VREF = 1.25V

+

DCI

R0 = 25Ω

VREF = 1.25V

R0 = 25Ω

Not 3-stated 3-stated

Page 67: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 67UG361 (v1.2) 2010 年 1 月 18 日

Virtex-6 でサポート される I/O 規格のガイド ライン

SSTL18 クラス (1.8V) 図 1-66 に、 SSTL ク ラス I (1.8V) で有効な終端テクニッ クを使用した回路の例を示します。

X-Ref Target - Figure 1-66

図 1-66 : SSTL18 (1.8V) クラス I 終端

Z0

IOB

SSTL18_I

RS = 20Ω

IOB

SSTL18_I_DCI

R0 = 20Ω

Z0

IOB

SSTL18_I

ug361_c1_66_022309

VTT = 0.9V

50Ω

Z0

IOB

SSTL18_I_DCI

VCCO = 1.8V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

VREF = 0.9V

+

VREF = 0.9V

+

External Termination

DCI

Page 68: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

68 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

差動 SSTL クラス I (1.8V) 図 1-67 に、 単一終端がある差動 SSTL ク ラス I (1.8V) で有効な終端テクニッ クを使用した回路の

例を示します。

図 1-68 に、 単一方向 DCI 終端がある差動 SSTL ク ラス I (1.8V) で有効な終端テクニッ クを使用し

た回路の例を示します。

X-Ref Target - Figure 1-67

図 1-67 : 差動 SSTL (1.8V) クラス I の単一終端

X-Ref Target - Figure 1-68

図 1-68 : 差動 SSTL (1.8V) クラス I の単一 DCI 終端

ug361_c1_67_022309

+

External Termination

Z0

IOB IOB

DIFF_SSTL18_I

DIFF_SSTL18_I

Z0

DIFF_SSTL18_I

VTT = 0.9V

50Ω

VTT = 0.9V

RS = 20Ω

RP = Z0 = 50ΩRS = 20Ω

ug361_c1_68_022309

IOB

DIFF_SSTL18_I_DCI

DIFF_SSTL18_I_DCI

VCCO = 1.8V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

+

DCI

DIFF_SSTL18_I_DCI

VCCO = 1.8V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

IOB

Z0

Z0

R0 = 20Ω

R0 = 20Ω

Page 69: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 69UG361 (v1.2) 2010 年 1 月 18 日

Virtex-6 でサポート される I/O 規格のガイド ライン

表 1-24 に、 差動 SSTL ク ラス I (1.8V) の DC 電圧仕様を示します。

表 1-24 : 差動 SSTL (1.8V) クラス I およびクラス II の DC 電圧仕様

パラメータ 小 標準 大

VCCO 1.7 1.8 1.9

入力パラメータ

VTT – VCCO × 0.5 –

VIN (DC) (1) –0.30 – VCCO + 0.30

VID (DC) (3) 0.25 – VCCO + 0.60

VID (AC) 0.50 – VCCO + 0.60

VIX (AC) (4) 0.675 – 1.125

出力パラメータ

VOX (AC) (5) 0.725 – 1.075

メモ : 1. VIN (DC) は、 各差動入力の DC 電圧の超過許容範囲を示します。

2. EIA/JESD8-6 では、 「ユーザーが指定する使用条件に 適なノ イズ マージンを確保するには、 ユーザーが VREF の値を指定する」 と規定されています。

3. VID (DC) は、 スイ ッチングで必要な入力差動電圧を示します。

4. VIX (AC) は、 差動入力信号がクロスする電圧を示します。

5. VOX (AC) は、 差動出力信号がクロスする電圧を示します。

Page 70: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

70 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

SSTL18 クラス II (1.8V)図 1-69 に、 SSTL ク ラス II (1.8V) で有効な単一終端テクニッ クを使用した回路の例を示します。

X-Ref Target - Figure 1-69

図 1-69 : SSTL18 (1.8V) クラス II 単一終端

Z0

IOB IOB

SSTL18_IISSTL18_II

ug361_c1_69_022309

VTT = 0.9V

RP = Z0 = 50Ω

VTT = 0.9V

RP = Z0 = 50Ω

Z0

IOB IOB

SSTL18_II_DCISSTL18_II_DCI

VCCO = 1.8V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

VREF = 0.9V

+

VREF = 0.9V

+

External Termination

DCI

VCCO = 1.8V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

RS = 20Ω

R0 = 20Ω

Page 71: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 71UG361 (v1.2) 2010 年 1 月 18 日

Virtex-6 でサポート される I/O 規格のガイド ライン

図 1-70 に、 SSTL ク ラス II (1.8V) で有効な双方向終端テクニッ クを使用した回路の例を示します。

X-Ref Target - Figure 1-70

図 1-70 : SSTL (1.8V) クラス II 終端

Z0

IOB

SSTL18_II

ug3661_c1_70_022309

VTT = 0.9V

RP = Z0 = 50Ω

VTT = 0.9V

RP = Z0 = 50Ω

Z0

IOB IOB

SSTL18_II_DCISSTL18_II_DCI

VCCO = 1.8V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

VREF = 0.9V

+

VREF = 0.9V

+

External Termination

DCI

VCCO = 1.8V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

IOB

SSTL18_IIRS = 20Ω RS = 20Ω

R0 = 20Ω

VREF = 0.9V

VREF = 0.9V

R0 = 20Ω

Page 72: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

72 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

表 1-25 および表 1-26 に、 SSTL (1.8V) ク ラス I およびク ラス II の DC 電圧仕様を示します。

表 1-25 : SSTL クラス I (1.8V) の DC 電圧仕様

パラメータクラス I

小 標準 大

VCCO 1.7 1.8 1.9

VREF 0.833 0.9 0.969

VTT = VREF + N (1) 0.793 0.9 1.009

VIH ≥ VREF + 0.125 0.958 – VCCO + 0.3 (2)

VIL ≤ VREF – 0.125 –0.3 (3) – 0.844

VOH ≥ VTT + 0.47 (4) 1.263 – –

VOL ≤ VTT – 0.47 (4) – – 0.539

VOH で IOH (mA) –6.7 – –

VOL で IOL (mA) 6.7 – –

メモ : 1. N は -0.04 以上かつ 0.04 以下である必要があ り ます。

2. VIH の 大値は、 VCCO + 0.3 です。

3. VIL の 小値は、 式には従っていません。

4. SSTL_I_DCI は、 制御インピーダンス ド ライバを使用するため、 VOH と VOL は異なり ます。

表 1-26 : SSTL クラス II (1.8V) の DC 電圧仕様

パラメータクラス II

小 標準 大

VCCO 1.7 1.8 1.9

VREF 0.833 0.9 0.969

VTT = VREF + N (1) 0.793 0.9 1.009

VIH ≥ VREF + 0.125 0.958 – VCCO + 0.3 (2)

VIL ≤ VREF – 0.125 –0.3 (3) – 0.844

VOH ≥ VTT + 0.603 (4) 1.396 – –

VOL ≤ VTT – 0.603 (4) – – 0.406

VOH で IOH (mA) –13.4 – –

VOL で IOL (mA) 13.4 – –

メモ : 1. N は -0.04 以上かつ 0.04 以下である必要があ り ます。

2. VIH の 大値は、 VCCO + 0.3 です。

3. VIL の 小値は、 式には従っていません。

4. SSTL_I_DCI は、 制御インピーダンス ド ライバを使用するため、 VOH と VOL は異なり ます。

Page 73: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 73UG361 (v1.2) 2010 年 1 月 18 日

Virtex-6 でサポート される I/O 規格のガイド ライン

差動 SSTL クラス II (1.8V) 図 1-71 に、 単一終端がある差動 SSTL ク ラス II (1.8V) で有効な終端テクニッ クを使用した回路の

例を示します。

図 1-72 に、 単一 DCI 終端がある差動 SSTL ク ラス II (1.8V) で有効な終端テクニッ クを使用した回

路の例を示します。

X-Ref Target - Figure 1-71

図 1-71 : 差動 SSTL (1.8V) クラス II 単一終端

ug361_c1_71_022309

+

External Termination

Z0

IOB IOB

DIFF_SSTL18_II

DIFF_SSTL18_II

Z0

DIFF_SSTL18_II

VTT = 0.9V

50Ω

50Ω

VTT = 0.9V

VTT = 0.9V

50Ω

50Ω

VTT = 0.9V

RS = 20Ω

RS = 20Ω

X-Ref Target - Figure 1-72

図 1-72 : 差動 SSTL (1.8V) クラス II 単一 DCI 終端

ug361_c1_72_022309

IOB

DIFF_SSTL18_II_DCI

DIFF_SSTL18_II_DCI

VCCO = 1.8V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

+

DCI

DIFF_SSTL18_II_DCI

VCCO = 1.8V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

IOB

VCCO = 1.8V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

VCCO = 1.8V

Z0

Z0

R0 = 20Ω

R0 = 20Ω

Page 74: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

74 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

図 1-73 に、 双方向終端がある差動 SSTL ク ラス II (1.8V) で有効な終端テクニッ クを使用した回路

の例を示します。

図 1-74 に、 双方向 DCI 終端がある差動 SSTL ク ラス II (1.8V) で有効な終端テクニッ クを使用した

回路の例を示します。

X-Ref Target - Figure 1-73

図 1-73 : 差動 SSTL (1.8V) クラス II の双方向終端

Z0

IOB IOB

DIFF_SSTL18_II DIFF_SSTL18_II

+

External Termination

VTT = 0.9V

50Ω

VTT = 0.9V

50Ω

DIFF_SSTL18_II

ug361_c1_73_022309

Z0

DIFF_SSTL18_II

DIFF_SSTL18_II DIFF_SSTL18_II

+

VTT = 0.9V

50Ω

VTT = 0.9V

50Ω20Ω

20Ω

20Ω

20Ω

X-Ref Target - Figure 1-74

図 1-74 : 差動 SSTL (1.8V) クラス II の DCI 双方向終端

Z0

IOB IOB

DIFF_SSTL18_II_DCI DIFF_SSTL18_II_DCI

VCCO = 1.8V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

+

DCI

VCCO = 1.8V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

DIFF_SSTL18_II_DCI

ug361_c1_74_022309

Z0

DIFF_SSTL18_II_DCI

DIFF_SSTL18_II_DCI DIFF_SSTL18_II_DCIVCCO = 1.8V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

+

VCCO = 1.8V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

R0 = 20Ω

R0 = 20Ω

R0 = 20Ω

R0 = 20Ω

Page 75: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 75UG361 (v1.2) 2010 年 1 月 18 日

Virtex-6 でサポート される I/O 規格のガイド ライン

表 1-27 に、 差動 SSTL ク ラス II (1.8V) の DC 電圧仕様を示します。

SSTL18_II_T_DCI (1.8V) 分割テブナン終端

図 1-75 に、 オンチップ分割テブナン終端がある SSTL18_II_T_DCI (1.8V) で有効な終端テクニッ

クを使用した回路の例を示します。 このよ うな双方向 I/O 規格では、 ト ラ イステートの場合、 終端

はド ライバ側ではなく レシーバ側に付きます。

表 1-27 : 差動 SSTL クラス II (1.8V) の DC 電圧仕様

パラメータ 小 標準 大

VCCO 1.7 1.8 1.9

入力パラメータ

VTT – VCCO × 0.5 –

VIN (DC) (1) –0.30 – VCCO + 0.30

VID (DC) (3) 0.25 – VCCO + 0.60

VID (AC) 0.50 – VCCO + 0.60

VIX (AC) (4) 0.675 – 1.125

出力パラメータ

VOX (AC) (5) 0.725 – 1.075

メモ : 1. VIN (DC) は、 各差動入力の DC 電圧の超過許容範囲を示します。

2. EIA/JESD8-6 では、「ユーザーが指定する使用条件に 適なノ イズ マージンを確保する には、ユーザーが VREF の値を指定する」 と規定されています。

3. VID (DC) は、 スイ ッチングで必要な入力差動電圧を示します。

4. VIX (AC) は、 差動入力信号がクロスする電圧を示します。

5. VOX (AC) は、 差動出力信号がクロスする電圧を示します。

X-Ref Target - Figure 1-75

図 1-75 : SSTL18_II_T_DCI (1.8V) 分割テブナン終端

ug361_c1_75_022309

Z0

IOB IOB

SSTL18_II_T_DCISSTL18_II_T_DCI

VCCO = 1.8V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

VREF = 0.9V

+

DCI Not 3-stated 3-stated

R0 = 20Ω

VREF = 0.9V

R0 = 20Ω

Page 76: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

76 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

SSTL15 (1.5V)図 1-76 に、 SSTL (1.5V) で有効な単一終端テクニッ クを使用した回路の例を示します。

X-Ref Target - Figure 1-76

図 1-76 : SSTL (1.5V) 単一終端

Z0

IOB IOB

SSTL15SSTL15

ug361_c1_76_011310

VTT = 0.75V

RP = Z0 = 50Ω

Z0

IOB IOB

SSTL15_DCISSTL15_DCI

VCCO = 1.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

VREF = 0.75V

+

VREF = 0.75V

+

External Termination

DCI

Page 77: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 77UG361 (v1.2) 2010 年 1 月 18 日

Virtex-6 でサポート される I/O 規格のガイド ライン

図 1-77 に、 SSTL (1.5V) で有効な双方向終端テクニッ クを使用した回路の例を示します。

表 1-28 に、 SSTL (1.5V) の DC 電圧仕様を示します。

X-Ref Target - Figure 1-77

図 1-77 : SSTL (1.5V) 双方向終端

Z0

IOB

SSTL15

ug361_c1_77_010610

VTT = 0.75V

RP = Z0 = 50Ω

VTT = 0.75V

RP = Z0 = 50Ω

VREF = 0.75V

+

External Termination

IOB

SSTL15

VREF = 0.75V

表 1-28 : SSTL (1.5V) の DC 電圧仕様

パラメータ 小 標準 大 単位

VCCO 1.425 1.5 1.575 V

VREF VCCO x 0.49 VCCO x 0.5 VCCO x 0.51 V

VTT VCCO x 0.5 V

VIH (DC) VREF + 0.1 – – V

VIL (DC) – – VREF – 0.1 V

VOH VTT + (VCCO x 0.1) – VCCO x 0.8 V

VOL VCCO x 0.2 – VTT – (VCCO x 0.1) V

IOH (1) – 14.3 – mA

IOL (1) – 14.3 – mA

メモ : 1. 0.5 x VCCO のパッ ド用に測定された IOH および IOL 値です。 50Ω ド ラ イバの 小レベルです。

Page 78: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

78 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

差動 SSTL (1.5V) 図 1-78 に、 単一終端がある差動 SSTL (1.5V) で有効な終端テクニッ クを使用した回路の例を示し

ます。

図 1-79 に、 単一 DCI 終端がある差動 SSTL (1.5V) で有効な終端テクニッ クを使用した回路の例を

示します。

X-Ref Target - Figure 1-78

図 1-78 : 差動 SSTL (1.5V) 単一終端

ug361_c1_78_010610

+

External Termination

Z0

IOB IOB

DIFF_SSTL15

DIFF_SSTL15

Z0

DIFF_SSTL15

VTT = 0.75V

RP = Z0 = 50Ω

RP = Z0 = 50Ω

VTT = 0.75V

X-Ref Target - Figure 1-79

図 1-79 : 差動 SSTL (1.5V) 単一 DCI 終端

ug361_c1_79_010610

IOB

DIFF_SSTL15_DCI

DIFF_SSTL15_DCI

VCCO = 1.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

+

DCI

DIFF_SSTL15_DCI

VCCO = 1.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

IOB

Z0

Z0

Page 79: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 79UG361 (v1.2) 2010 年 1 月 18 日

Virtex-6 でサポート される I/O 規格のガイド ライン

図 1-80 に、双方向終端がある 差動 SSTL (1.5V) で有効な終端テクニッ クを使用した回路の例を示

します。

表 1-29 に、 差動 SSTL (1.5V) の DC 電圧仕様を示します。

X-Ref Target - Figure 1-80

図 1-80 : 差動 SSTL (1.5V) の双方向終端

Z0

IOB IOB

DIFF_SSTL15 DIFF_SSTL15

+

External Termination

VTT = 0.75V VTT = 0.75V

DIFF_SSTL15

ug361_c1_80_010610

Z0

DIFF_SSTL15

DIFF_SSTL15 DIFF_SSTL15

+

VTT = 0.75VVTT = 0.75V

RP = Z0 = 50Ω

RP = Z0 = 50Ω

RP = Z0 = 50Ω

RP = Z0 = 50Ω

表 1-29 : 差動 SSTL (1.5V) の DC 電圧仕様

パラメータ 小 標準 大

VCCO 1.425 1.5 1.575

VTT – VCCO × 0.5 –

VIN (DC) (1) –0.3 – VCCO + 0.3

VID (DC) (3) 0.2 – VCCO + 0.4

VID (AC) 0.4 – VCCO + 0.4

VIX (AC) (4) VTT – 0.175 – VTT+ 0.175

VOX (AC) (5) VTT – 0.15 – VTT + 0.15

メモ : 1. VIN (DC) は、 各差動入力の DC 電圧の超過許容範囲を示します。

2. EIA/JESD8-6 では、 「ユーザーが指定する使用条件に 適なノ イズ マージンを確保する には、 ユーザーが VREF の値を指定する」 と規定されています。

3. VID (DC) は、 スイ ッチングで必要な入力差動電圧を示します。

4. VIX (AC) は、 差動入力信号がクロスする電圧を示します。

5. VOX (AC) は、 差動出力信号がクロスする電圧を示します。

Page 80: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

80 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

SSTL15_T_DCI (1.5V) 分割テブナン終端

図 1-81 に、オンチップ分割テブナン終端がある SSTL15_T_DCI (1.5V) で有効な終端テクニッ クを

使用した回路の例を示します。 このよ うな双方向 I/O 規格では、 ト ラ イステートの場合、 終端はド

ライバ側ではなく レシーバ側に付きます。

差動終端の属性 : DIFF_TERM Virtex-6 FPGA の IOB では、入力差動レシーバ ターミナルに 100Ω の差動終端を使用できます。こ

の属性は、 LVDS_25、 LVDSEXT_25、 HT_25、 および RSDS_25 に使用できます。

Virtex-6 デバイスのオンチップ入力差動終端を使用した場合、 レシーバでスタブを完全に除去でき

るため、 シグナル インテグ リティが大幅に向上します。

• DCI 終端よ り消費電力が低い

• VRP/VRN ピン (DCI) を使用しない

100Ω の有効な差動終端を行うため、 I/O バンクの VCCO を 2.5V ±5% に接続する必要があ り ます。

DIFF_TERM は、 入力専用であ り、 バンク電圧が VCCO = 2.5V の場合のみ使用できます。 「差動終

端の属性」 (DIFF_TERM) では、 この機能の使用方法について説明しています。

LVDS と拡張モード LVDS (低電圧差動信号)低電圧差動信号 (LVDS) は、多くのシステム アプリ ケーシ ョ ンで使用されている高性能の高速イン

ターフェイスです。Virtex-6 FPGA の I/O は、LVDS の EIA/TIA 規格に準拠するよ う設計されてお

り、システムおよびボードのデザインをよ り簡単に行う こ とができます。IOB の LVDS 電流モード

ド ラ イバを使用する と、Point-to-Point アプリ ケーシ ョ ンで外部ソース終端を使用する必要がなくな

り ます。その代わりに、Virtex-6 デバイスでは、拡張モードを選択して柔軟に FPGA の LVDS デザ

インを行う こ とができます。

X-Ref Target - Figure 1-81

図 1-81 : SSTL15_T_DCI (1.5V) 分割テブナン終端

ug361_c1_82_010610

Z0

IOB IOB

SSTL15_T_DCISSTL15_T_DCI

VCCO = 1.5V

2RVRP = 2Z0= 100Ω

2RVRN = 2Z0= 100Ω

VREF = 0.75V

+

+

DCI Not 3-stated 3-stated

VREF = 0.75V

Page 81: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 81UG361 (v1.2) 2010 年 1 月 18 日

Virtex-6 でサポート される I/O 規格のガイド ライン

この拡張モード LVDS は、駆動力が高くかつ電圧幅が広い (350 ~ 750mV) ため、長距離またはケー

ブル LVDS の伝送に適しています。この拡張モード LVDS ド ラ イバの出力 AC 特性は、EIA/TIA 規格外です。 拡張モード LVDS ド ラ イバは、 レシーバで EIA/TIA 規格範囲内の LVDS 信号を生成で

きる高い駆動力が必要な場合に使用します。

ト ランスミ ッ タの終端

Virtex-6 FPGA の LVDS ト ランス ミ ッ タに外部終端は必要あ り ません。表 1-30 に、Virtex-5 FPGAの LVDS 電流モード ド ラ イバに対応する属性を示します。Virtex-6 FPGA の LVDS 電流モード ドラ イバは、 真の電流ソースであ り、 EIA/TIA に準拠した適切な LVDS 信号を生成します。

レシーバの終端

図 1-82 に、 50Ω 伝送ラインの LVDS レシーバの差動終端の例を示します。

図 1-83 に、 50Ω 伝送ラインの LVDS レシーバの差動終端の例を示します。

表 1-30 に、 Virtex-6 FPGA の LVDS I/O 規格および使用できる属性を示します。

X-Ref Target - Figure 1-82

図 1-82 : LVDS_25 のレシーバ終端

X-Ref Target - Figure 1-83

図 1-83 : LVDS_25 の DIFF_TERM レシーバ終端

表 1-30 : LVDS I/O 規格で使用できる属性

属性プリ ミテ ィブ

IBUFDS/IBUFGDS OBUFDS/OBUFTDS

IOSTANDARD LVDS_25, LVDSEXT_25

DIFF_TERM TRUE、 FALSE N/A

ug361_c1_83_022309

+

External Termination

Z0

IOB IOB

LVDS_25 LVDS_25

Z0

RDIFF = 2Z0= 100Ω

ug361_c1_84_022309

Data in

Z0 = 50Ω

Z0 = 50Ω

RDIFF= 100Ω

LVDS_25LVDS_25

+

0

0

IOB IOB

Page 82: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

82 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

HyperTransport™ プロ ト コル (HT)LDT (Lightning Data Transport) と して知られている HyperTransport プロ ト コルは、高速インター

フェイス用の低電圧規格です。 差動信号を基本と したインターフェイスは、 LVDS インターフェイ

ス と非常に類似しています。Virtex-6 FPGA の IOB には、HT バッファがあ り ます。表 1-31 に、サ

ポート される HT I/O 規格および属性を示します。

低振幅差動信号 (RSDS)RSDS は、差動信号を使用する LVDS 高速インターフェイス と類似しています。 Virtex-6 デバイス

での RSDS のインプリ メンテーシ ョ ンは、 LVDS と類似しており、Point-to-Point アプリ ケーシ ョ ン

のみに使用します。 表 1-32 に、 サポート される RSDS I/O 規格および属性を示します。

BLVDS (バス LVDS)LVDS は、Point-to-Point アプリ ケーシ ョ ン用であるため、BLVDS は EIA/TIA 規格に準拠するイン

プリ メンテーシ ョ ンではあ り ません。したがって I/O および PCB レイアウ トのデザイン規則に注意

深く従う必要があ り ます。 双方向 LVDS 用のソフ ト ウェア ラ イブラ リにあるプリ ミ ティブは、

Virtex-6 FPGA の LVDS 電流モード ド ラ イバを使用しません。 その代わりに、 CSE 差動ド ライバ

を使用します。 このため、 ソース終端が必要になり ます。 図 1-84 に、 BLVDS ト ランス ミ ッ タ終端

を示します。

表 1-31 : HT I/O 規格で使用できる属性

属性プリ ミテ ィブ

IBUFDS/IBUFGDS OBUFDS/OBUFTDS

IOSTANDARD HT_25

DIFF_TERM TRUE、 FALSE N/A

表 1-32 : RSDS I/O 規格で使用できる属性

属性プリ ミテ ィブ

IBUFDS/IBUFGDS OBUFDS/OBUFTDS

IOSTANDARD RSDS_25

DIFF_TERM TRUE、 FALSE N/A

X-Ref Target - Figure 1-84

図 1-84 : BLVDS ト ランスミ ッ タの終端

ug361_c1_85_022309

Z0 = 50Ω

Z0 = 50Ω

RDIV140Ω

RDIFF = 100Ω

RS

165Ω

RS

165Ω

IN

INX

Data in

-

+

BLVDS_25

IOBBLVDS_25

BLVDS_25

IOB

Page 83: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 83UG361 (v1.2) 2010 年 1 月 18 日

Virtex-6 でサポート される I/O 規格のガイド ライン

差動 LVPECL (低電圧ポジティブ エミ ッ タ カップル ロジック) LVPECL は、多くのシステム アプリ ケーシ ョ ンで使用される高速インターフェイスです。 Virtex-6FPGA の I/O は、2.5V LVPECL の EIA/TIA 規格に準拠するよ う設計されており、システムおよび

ボードのデザインを容易に実行できます。

LVPECL ト ランシーバの終端

図 1-85 に、 Virtex-6 FPGA の LVPECL ト ランス ミ ッ タおよびレシーバが必要とする終端を示しま

す。 これは、 50Ω 伝送ラインの Virtex-6 FPGA の LVPECL ト ランス ミ ッ タおよびレシーバです。

LVPECL ド ラ イバは 2 つの LVCMOS ド ラ イバで構成されており、3 つの抵抗を結合する と出力終

端回路は LVPECL 出力に準拠します。

X-Ref Target - Figure 1-85

図 1-85 : LVPECL ト ランスミ ッ タの終端

ug361_c1_86_022309

Z0 = 50Ω

Z0 = 50Ω

RDIV187Ω

RDIFF = 100Ω

RS

70Ω

RS

70Ω

IN

INX

Data in

-

+

LVPECL_25

IOBLVPECL_25

LVPECL_25

IOB

Page 84: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

84 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

同じバンク内で異なる I/O 規格を使用する際の規則

同じバンク内の異なる入力、出力および双方向規格を使用する場合は、次の規則に従ってください。

1. 出力規格のみ使用する場合。 VCCO 要件が同じ出力規格は、 同一バンク内で使用できます。

互換性がある例 :

SSTL2_I および LVDCI_25 出力

互換性がない例 :

SSTL2_I (出力 VCCO = 2.5V) および LVCMOS18 (出力 VCCO = 1.8V) 出力

2. 入力規格のみ使用する場合。VCCO および VREF 要件が同じ入力規格は、同一バンク内で使用で

きます。

互換性がある例 :

LVCMOS15 および HSTL_II 入力

互換性がない例 :

LVCMOS15 (入力 VCCO = 1.5V) および LVCMOS18 (入力 VCCO = 1.8V) 入力

互換性がない例 :

HSTL_I_DCI_18 (VREF = 0.9V) および HSTL_I_DCI (VREF = 0.75V) 入力

3. 入力規格と出力規格を使用する場合。 VCCO が同じ要件の入力/出力規格は、同一バンク内で使

用できます。

互換性がある例 :

LVDS_25 出力および HSTL_I 入力

互換性がない例 :

LVDS_25 出力 (出力 VCCO = 2.5V) および HSTL_I_DCI_18 入力 (入力 VCCO = 1.8V)

4. 双方向規格の入力または出力を使用する場合。 双方向 I/O 規格の入力または出力を使用する場

合、 双方向 I/O 規格が規則 1、 2、 3 を満たしているこ とを確認してください。

5. DCI I/O 規格を使用する場合のその他の規則

a. 同一バンク内では、 1 つの単一終端タイプ (入力または出力) のみ使用できます。

互換性がない例 :

HSTL_III_DCI_18 入力および HSTL_III_DCI 入力

b. 同一バンク内では、 1 つの分割終端タイプ (入力または出力) のみ使用できます。

互換性がない例 :

HSTL_I_DCI 入力および HSTL_II_DCI 入力

インプリ メンテーシ ョ ン ツールでは、 上記の規則に従って実行されます。

Page 85: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 85UG361 (v1.2) 2010 年 1 月 18 日

同じバンク内で異なる I/O 規格を使用する際の規則

表 1-33 に、 Virtex-6 でサポート される I/O 規格を示します。

表 1-33 : I/O の互換性

I/O 規格VCCO VREF 終端タイプ

出力 入力 入力 出力 入力

LVDS_25

2.5

メモ (1)

N/R N/R N/R

LVDSEXT_25 N/R N/R N/R

HT_25 N/R N/R N/R

RSDS_25 (3) N/R N/R N/R

BLVDS_25 N/R N/R N/R

LVPECL_25 N/R N/R N/R

SSTL2_I 1.25 N/R N/R

SSTL2_II 1.25 N/R N/R

DIFF_SSTL2_I N/R N/R N/R

DIFF_SSTL2_II N/R N/R N/R

LVCMOS25

2.5

N/R N/R N/R

LVDCI_25 N/R 直列 N/R

HSLVDCI_25 VCCO/2 直列 N/R

LVDCI_DV2_25 N/R 直列 N/R

SSTL2_I_DCI 1.25 N/R 分割

SSTL2_II_DCI 1.25 分割 分割

SSTL2_II_T_DCI 1.25 N/R 分割

DIFF_SSTL2_I_DCI N/R N/R 分割

DIFF_SSTL2_II_DCI N/R 分割 分割

DIFF_SSTL2_II_T_DCI N/R N/R 分割

Page 86: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

86 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

HSTL_III_18

1.8

メモ (1)

1.08 N/R N/R

HSTL_I_18 0.9 N/R N/R

HSTL_II_18 0.9 N/R N/R

DIFF_HSTL_I_18 N/R N/R N/R

DIFF_HSTL_II_18 N/R N/R N/R

SSTL18_I 0.9 N/R N/R

SSTL18_II 0.9 N/R N/R

DIFF_SSTL18_I N/R N/R N/R

DIFF_SSTL18_II N/R N/R N/R

LVCMOS18

1.8

N/R N/R N/R

LVDCI_18 N/R 直列 N/R

HSLVDCI_18 VCCO/2 直列 N/R

LVDCI_DV2_18 N/R 直列 N/R

HSTL_III_DCI_18 1.08 N/R 単一

HSTL_I_DCI_18 0.9 N/R 分割

HSTL_II_DCI_18 0.9 分割 分割

HSTL_II_T_DCI_18 0.9 N/R 分割

DIFF_HSTL_I_DCI_18 N/R N/R 分割

DIFF_HSTL_II_DCI_18 N/R 分割 分割

DIFF_HSTL_II_T_DCI_18 N/R N/R 分割

SSTL18_I_DCI 0.9 N/R 分割

SSTL18_II_DCI 0.9 分割 分割

SSTL18_II_T_DCI 0.9 N/R 分割

DIFF_SSTL18_I_DCI N/R N/R 分割

DIFF_SSTL18_II_DCI N/R 分割 分割

DIFF_SSTL18_II_T_DCI N/R N/R 分割

表 1-33 : I/O の互換性 (続き)

I/O 規格VCCO VREF 終端タイプ

出力 入力 入力 出力 入力

Page 87: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 87UG361 (v1.2) 2010 年 1 月 18 日

同じバンク内で異なる I/O 規格を使用する際の規則

HSTL_III

1.5

メモ (1)

0.9 N/R N/R

HSTL_I 0.75 N/R N/R

HSTL_II 0.75 N/R N/R

DIFF_HSTL_I N/R N/R N/R

DIFF_HSTL_II N/R N/R N/R

LVCMOS15

1.5

N/R N/R N/R

LVDCI_15 N/R 直列 N/R

HSLVDCI_15 VCCO/2 直列 N/R

LVDCI_DV2_15 N/R 直列 N/R

HSTL_III_DCI 0.9 N/R 単一

HSTL_I_DCI 0.75 N/R 分割

HSTL_II_DCI 0.75 分割 分割

HSTL_II_T_DCI 0.75 N/R 分割

DIFF_HSTL_I_DCI N/R N/R 分割

DIFF_HSTL_II_DCI N/R 分割 分割

DIFF_HSTL_II_T_DCI N/R N/R 分割

SSTL15 0.75 N/R N/R

SSTL15_DCI 0.75 分割 分割

SSTL15_T_DCI 0.75 N/R 分割

DIFF_SSTL15 N/R N/R N/R

DIFF_SSTL15_DCI N/R 分割 分割

DIFF_SSTL15_T_DCI N/R N/R 分割

LVCMOS121.2 1.2

N/R N/R N/R

HSTL_I_12 0.6 N/R N/R

メモ : 1. 差動入力および VREF を使用する入力は、 VCCAUX から電源供給されます。 ただし、 VCCO にク ランプダ

イオードがあるため、 ピン電圧は、 VCCO を超えてはいけません。

2. N/R = 要件なし

3. RSDS_25 の DC 仕様は LVDS_25 と同一です。 LVDS_25 に関連するすべての情報は、 RSDS_25 に適用できます。

4. IOSTANDARD 属性を使用して I/O 規格を選択します。

表 1-33 : I/O の互換性 (続き)

I/O 規格VCCO VREF 終端タイプ

出力 入力 入力 出力 入力

Page 88: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

88 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 1 章 : SelectIO リソース

Page 89: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 89UG361 (v1.2) 2010 年 1 月 18 日

第 2 章

SelectIO ロジック リソース

概要

この章では、 第 1 章 「SelectIO リ ソース」 で説明した I/O ド ラ イバおよびレシーバのロジッ クにつ

いて説明します。

Virtex-6 FPGA には、Virtex-5 FPGA に含まれるすべての基本的な I/O ロジッ ク リ ソースがあ り ま

す。 これらのリ ソースを次に示します。

• 組み合わせ入力/出力

• ト ラ イステート出力制御

• レジスタ付き入力/出力

• レジスタ付き ト ライステート出力制御

• ダブル データ レート (DDR) 入力/出力

• DDR 出力ト ライステート制御

• IODELAYE1 は、 ユーザーが微細な リ ゾ リ ューシ ョ ンの遅延を制御できるエレ メン ト

• SAME_EDGE 出力 DDR モード

• SAME_EDGE および SAME_EDGE_PIPELINED 入力 DDR モード

ILOGIC リソース

図 2-1 に ILOGIC ブロ ッ クを示します。X-Ref Target - Figure 2-1

図 2-1 : ILOGIC ブロック図

D

DDLY

D Q1

Q2

Q1

O

Q2

CE

CKSR

CE1

CLK

SR

ug361_2_01_012209

Page 90: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

90 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 2 章 : SelectIO ロジック リソース

ILOGIC は次の動作をサポート します。

• エッジ ト リ ガ D 型フ リ ップフロ ップ

• IDDR モード (OPPOSITE_EDGE、 SAME_EDGE、 SAME_EDGE_PIPELINED)。入力 DDRの詳細は、 90 ページの 「入力 DDR について (IDDR)」 を参照して ください。

• レベル認識ラ ッチ

• 非同期/組み合わせ

すべての ILOGIC ブロ ッ ク レジスタには、 共通のクロ ッ ク イネーブル信号 (CE1) があ り、 デフォ

ルトはアクティブ High です。 未接続の場合、 記憶エレ メン トのクロ ッ ク イネーブルはデフォルト

でアクティブです。

すべての ILOGIC ブロ ッ ク レジスタには、共通の同期または非同期セッ ト / リセッ ト (SR 信号) があ

り ます。このセッ ト / リセッ ト入力ピン (SR) を使用して、記憶エレ メン ト を SRVAL 属性が指定する

ステートへ遷移させます。 リセッ トはセッ ト よ り も優先されます。

ILOGIC ブロ ッ クの各記憶エレ メン ト ごとに SRVAL 属性を設定できますが、 各記憶エレ メン ト ご

とに同期または非同期セッ ト / リセッ ト (SRTYPE) は設定できません。

次のセクシ ョ ンでは、 ILOGIC ブロ ッ ク内のリ ソースについて説明します。 ILOGIC リ ソース間の

接続には、 ザイ リ ンクスのソフ ト ウェアを使用します。

組み合わせ入力パス

組み合わせの入力パスは、入力ド ライバから FPGA への直接接続に使用します。次のよ うな場合、ソ

フ ト ウェアで自動的にこのパスを使用します。

1. 入力データから FPGA のロジッ ク リ ソースまでが直接接続である (レジスタなし ) 場合。

2. [Pack I/O Register/Latches into IOBs] がオフに設定されている場合。

入力 DDR について (IDDR)Virtex-6 デバイスには、ILOGIC ブロッ ク内に専用レジスタがあるため、入力ダブル データ レート

(DDR) レジスタをインプリ メン トできます。 この機能を使用するには、 IDDR プリ ミ ティブをイン

スタンシエート して ください。

IDDR プリ ミ ティブには、 ク ロ ッ ク入力が 1 つのみあ り ます。立ち下がりエッジ データは、入力ク

ロ ッ クをローカルで反転したクロ ッ クを使用します。 I/O タイルへ接続するすべてのクロ ッ クはマ

ルチプレクスされています。 ILOGIC ブロ ッ ク間または OLOGIC ブロ ッ ク間でクロ ッ クは共有し

ていません。 IDDR プリ ミ ティブでは、 次の動作モードを使用できます。

• OPPOSITE_EDGE モード

• SAME_EDGE モード

• SAME_EDGE_PIPELINED モード

SAME_EDGE モードおよび SAME_EDGE_PIPELINED モードは、 Virtex-5 アーキテクチャ と同

じモードです。 これらのモードを使用する と、 ILOGIC ブロッ ク内で、立ち下がりエッジ データを

立ち上がりエッジ ド メ インへ伝搬できるため、 CLB およびクロ ッ ク リ ソースを節約でき、 高いパ

フォーマンスが得られます。DDR_CLK_EDGE 属性を使用して、これらのモードをインプリ メン ト

します。 次のセクシ ョ ンでは、 各モードについて説明します。

Page 91: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 91UG361 (v1.2) 2010 年 1 月 18 日

ILOGIC リソース

OPPOSITE_EDGE モード

従来型の入力 DDR ソ リ ューシ ョ ンである OPPOSITE_EDGE モードは、 ILOGIC 内のシングル入

力信号で実現されます。データは、ク ロ ッ クの立ち上がりエッジで Q1 から出力され、ク ロ ッ クの立

ち下がりエッジで Q2 から出力されます。この構造は、 Virtex-5 FPGA のインプリ メンテーシ ョ ンと

類似しています。図 2-2 に、OPPOSITE_EDGE モードを使用する入力 DDR のタイ ミ ング図を示し

ます。

SAME_EDGE モード

SAME_EDGE モードの場合、 同一クロ ッ ク エッジで FPGA ファブリ ッ クにデータが出力されま

す。 ただし、データ ペアに 1 ク ロ ッ ク サイクル分のずれが生じます。 この構造は、 Virtex-5 FPGAインプリ メンテーシ ョ ンと類似しています。

図 2-3 に、SAME_EDGE モードを使用する入力 DDR のタイ ミ ング図を示します。出力ペア Q1 とQ2 は、(0) と (1) ではないこ とが確認できます。その代わり、 初のペア Q1 と Q2 は、 (0) と (don'tcare) であ り、 次のクロ ッ クでは、 (1) と (2) が出力されます。

X-Ref Target - Figure 2-2

図 2-2 : OPPOSITE_EDGE モードの入力 DDR

ug361_c2_02_022309

C

CE

D

Q1

Q2

D0A D1A D2A

D0A D2A D4A D6A D8A D10A D12A

D1A D3A D5A D7A D9A D11A

D3A D4A D5A D6A D7A D8A D9A D10A D11A D12A D13A

X-Ref Target - Figure 2-3

図 2-3 : SAME_EDGE モードの入力 DDR タイ ミング

ug361_c2_03_022309

C

CE

D

Q1

Q2

D1A

D0A D2A D4A D6A D8A D10A

D1A D3A D5A D7A D9A D11A

D3A D5A D7A D9A D11AD0A D2A D4A D6A D8A D10A

Don't care

Page 92: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

92 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 2 章 : SelectIO ロジック リソース

SAME_EDGE_PIPELINED モード

SAME_EDGE_PIPELINED モードの場合、 同一クロ ッ ク エッジで FPGA にデータが出力され

ます。

SAME_EDGE モード と異な り、 データ ペアに 1 ク ロ ッ ク サイクル分のずれは生じません。

SAME_EDGE モードで生じるずれを調整するには、 追加クロ ッ ク レイテンシが必要です。 図 2-4に、SAME_EDGE_PIPELINED モードを使用した場合の入力 DDR のタイ ミ ング図を示します。出

力ペア Q1 と Q2 は同時に FPGA に送信されます。

入力 DDR プリ ミテ ィブ (IDDR)図 2-5 に、 IDDR プリ ミ ティブのブロ ッ ク図を示します。セッ ト / リセッ トは同時にサポート されて

いません。 表 2-1 に、 IDDR ポート信号を示し、 表 2-2 には、 IDDR プリ ミ ティブのさまざまな属

性とデフォルト値を示します。

X-Ref Target - Figure 2-4

図 2-4 : SAME_EDGE_PIPELINED モードの入力 DDR のタイ ミング図

ug361_c2_04_022309

C

CE

D

Q1

Q2

D0A D1A D2A

D0A D2A D4A D6A D8A D10A

D1A D3A D5A D7A D9A D11A

D3A D4A D5A D6A D7A D8A D9A D10A D11A D12A D13A

X-Ref Target - Figure 2-5

図 2-5 : IDDR プリ ミテ ィブのブロック図

ug361_c2_05_022309

CCE

D

S

R

Q1

Q2IDDR

Page 93: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 93UG361 (v1.2) 2010 年 1 月 18 日

ILOGIC リソース

IDDR の VHDL および Verilog のテンプレート

『ラ イブラ リ ガイ ド』 に、 VHDL および Verilog を使用した IDDR プリ ミ ティブのインスタンシ

エーシ ョ ンの例があ り ます。

表 2-1 : IDDR ポート信号

ポート名 ファンクシ ョ ン 説明

Q1、 Q2 データ出力 IDDR レジスタ出力

C ク ロ ッ ク入力ポート C ピンは、 ク ロ ッ ク入力ピンを示す

CE ク ロ ッ ク イネーブル

ポート

イネーブル ピンは、 DDR フ リ ップフロ ップへのデータの

読み込みを制御する。

Low の場合、 クロ ッ ク遷移は無視され、 DDR フ リ ップフ

ロ ップに新しいデータは読み込まれない。

CE が High になる と、 DDR フ リ ップフロ ップに新しい

データが読み込まれる

D データ入力 (DDR) IOB からの IDDR レジスタ入力

R リセッ ト 同期/非同期リセッ ト ピン。 リセッ トは High にアサート さ

れる。 セッ ト を使用している場合はサポート されない

S セッ ト 同期/非同期セッ ト ピン。 セッ トは High にアサート され

る。 リセッ ト を使用している場合はサポート されない

表 2-2 : IDDR 属性

属性名 説明 設定可能な値

DDR_CLK_EDGE ク ロ ッ ク エッジに対する IDDR の動作モードを設定

OPPOSITE_EDGE (デフォルト )、SAME_EDGE、SAME_EDGE_PIPELINED

INIT_Q1 Q1 ポートの初期値を設定 0 (デフォルト )、 1

INIT_Q2 Q2 ポートの初期値を設定 0 (デフォルト )、 1

SRTYPE ク ロ ッ ク (C) のセッ ト / リセッ ト タイプ

ASYNC (デフォルト )、 SYNC

Page 94: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

94 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 2 章 : SelectIO ロジック リソース

ILOGIC のタイ ミング モデル

このセクシ ョ ンでは、 ILOGIC ブロッ ク内のさまざまな リ ソースのタイ ミ ングについて説明します。

ILOGIC のタイ ミング特性

図 2-6 に、 ILOGIC レジスタのタイ ミ ングを示します。 IDELAY を使用する場合、 TIDOCK は、

TIDOCKD に置き換えられます。

クロック イベン ト 1

• ク ロ ッ ク イベン ト 1 よ り TICE1CK 時間前に、入力レジスタの CE1 入力の入力クロ ッ ク イネー

ブル信号が High (有効) になる と、 入力レジスタでのデータ受信が可能になり ます。

• ク ロ ッ ク イベン ト 1 よ り TIDOCK 時間前に、入力レジスタの D 入力の入力信号が High (有効)になる と、ク ロ ッ ク イベン ト 1 よ り TICKQ 時間後に、入力レジスタの Q1 出力に反映されます。

クロック イベン ト 4

• ク ロ ッ ク イベン ト 4 よ り TISRCK 時間前に、 SR 信号 (この場合、 同期リセッ ト と して設定) がHigh (有効) になる と、 入力レジスタが リセッ ト されるため、 ク ロ ッ ク イベン ト 4 よ り TICKQ時間後に IOB の IQ 出力に反映されます。

DDR モード ILOGIC のタイ ミング特性

図 2-7 に、IDDR モードを使用した ILOGIC のタイ ミ ング特性を示します。IDELAY を使用する場

合、 TIDOCK は、 TIDOCKD に置き換えられます。 この例は、 OPPOSITE_EDGE モードの IDDR を使用したものです。その他のモードを使用する場合は、92 ページの図 2-4 に示す適切なレイテンシ

を追加して ください。

X-Ref Target - Figure 2-6

図 2-6 : ILOGIC 入力レジスタのタイ ミング特性

1 2 3 4 5

CLK

D

CE1

SR

Q1

TICKQ TICKQ

TIDOCK

TICE1CK

TISRCK

ug361_c2_06_022309

Page 95: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 95UG361 (v1.2) 2010 年 1 月 18 日

ILOGIC リソース

クロック イベン ト 1

• ク ロ ッ ク イベン ト 1 よ り TICE1CK 時間前に、 両方の DDR 入力レジスタの CE1 入力において

入力クロ ッ ク イネーブル信号が High (有効) になる と、 入力レジスタへのデータ送信が可能に

なり ます。2 つの DDR レジスタは、CE1 および D 信号を共有しているため、CLK の立ち上が

りエッジおよび立ち下がりエッジの間でこれらの信号を ト グルする場合は注意が必要です。 ま

た、 両クロ ッ クに関連するレジスタ セッ ト アップ タイムの一致にも注意が必要です。

• ク ロ ッ ク イベン ト 1 (CLK の立ち上がりエッジ) よ り TIDOCK 時間前に、 両方のレジスタの D入力の入力信号が High (有効) になり、ク ロ ッ ク イベン ト 1 よ り TICKQ 時間後に、入力レジス

タの Q1 出力に反映されます。

クロック イベン ト 2

• ク ロ ッ ク イベン ト 2 (CLK の立ち下がりエッジ) よ り TIDOCK 時間前に、 両方のレジスタの D入力で入力信号が Low (有効) になり、 ク ロ ッ ク イベン ト 2 よ り TICKQ 時間後に、入力レジス

タ 2 の Q2 出力に反映されます (この場合は変化しない)。

クロック イベン ト 9

• ク ロ ッ ク イベン ト 9 よ り TISRCK 時間前に、SR 信号 (この場合、同期リセッ ト と して設定) が、

High (有効) になり ます。これによ り、ク ロ ッ ク イベン ト 9 よ り TICKQ 時間後に、Q1 がリセッ

ト されます。 Q2 は、 クロ ッ ク イベン ト 10 よ り TICKQ 時間後にリセッ ト されます。

X-Ref Target - Figure 2-7

図 2-7 : IDDR モードを使用した ILOGIC のタイ ミング特性 (OPPOSITE_EDGE モード )

1 2 3 4 5 6 7 8 9 10 11

TIDOCK

TICE1CK

TISRCK

TICKQ

TICKQ

TICKQ

TIDOCK

CLK

D

CE1

SR(Reset)

Q1

Q2

TICKQ

UG361_c2_07_022309

Page 96: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

96 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 2 章 : SelectIO ロジック リソース

表 2-3 では、『Virtex-6 FPGA データシート 』 に記載されている ILOGIC のスイ ッチング特性の機能

および制御信号について説明します。

メモ : DDLY のタイ ミ ング図とパラ メータは、 D のタイ ミ ング図およびパラ メータ と同一です。

入力/出力遅延エレメン ト (IODELAY1)すべての I/O ブロ ッ クには、 IODELAYE1 というプログラマブル遅延エレ メン トが 1 つあ り ます。

IODELAYE1 は、 ILOGIC/ISERDES ブロ ッ クおよび OLOGIC/OSERDES ブロ ッ クの両方または

いずれか一方と接続できます。 IODELAYE1 は、 固定かつ確実なタップ精度を持つ、 31 タ ップの

ラ ップアラウンド遅延エレ メン トです ( 『Virtex-6 FPGA データシート 』 を参照)。これは、組み合わ

せ入力パス、 レジスタ付きの入力パス、 組み合わせ出力パス、 またはレジスタ付きの出力パスに対

して適用できます。 また、 ファブ リ ッ ク内で直接アクセス可能です。 IODELAYE1 は、 入力される

信号に対して個別に遅延を与えるこ とができます。 タ ップ遅延の精度は、 『Virtex-6 FPGA データ

シート 』 に記載している範囲内から IDELAYCTRL 参照クロ ッ クを選択するこ とによって変更しま

す。 IODELAYE1 リ ソースは、 IDELAY、 ODELAY、 または双方向の遅延と して機能します。

表 2-3 : ILOGIC のスイッチング特性

シンボル 説明

セッ トアップ/ホールド

TICE1CK/TICKCE1 CLK に対する CE1 ピンのセッ ト アップ/ホールド

TISRCK/TICKSR CLK に対する SR ピンのセッ ト アップ/ホールド

TIDOCK/TIOCKD CLK に対する D ピンのセッ ト アップ/ホールド

組み合わせ

TIDI D ピンから O ピンまでの伝搬遅延、 IDELAY 未使用

シーケンシャル遅延

TIDLO フ リ ップフロ ップをラ ッチと して使用する場合の D ピンから Q1 ピンま

での遅延、 IDELAY 未使用

TICKQ CLK から Q 出力までの遅延

TICE1Q フ リ ップフロ ップをラ ッチと して使用する場合の CE1 ピンから Q1 ピン

までの伝搬遅延

TRQ SR ピンから OQ/TQ 出力までの遅延

Page 97: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 97UG361 (v1.2) 2010 年 1 月 18 日

入力/出力遅延エレメン ト (IODELAY1)

IODELAYE1 プリ ミテ ィブ

図 2-8 に、 IODELAYE1 プリ ミ ティブを示します。

表 2-4 に、 IODELAYE1 プリ ミ ティブで使用可能なポート を示します。

X-Ref Target - Figure 2-8

図 2-8 : IODELAYE1 プリ ミテ ィブ

C DATAOUT

INC

CE

CLKIN

IDATAIN

ODATAIN

T

RST

CINVCTRL

CNTVALUEIN[4:0]

DATAIN

CNTVALUEOUT[4:0]

IODELAYE1

ug361_c2_08_022309

表 2-4 : IODELAYE1 プリ ミテ ィブ ポート

ポート名 方向 幅 ファンクシ ョ ン

C 入力 1 VARIABLE または VAR_LOADABLE モードで使用されるクロ ッ ク入力

T 入力 1 ト ラ イステート入力制御ポート。 IODELAYE1 が IDELAY または ODELAY のいずれで使用されるかを動的に決定する

RST 入力 1VARIABLE モードの場合、 IODELAYE1 エレ メン ト をあらかじめプログラムさ

れた値にリセッ トする。 VAR_LOADABLE モードの場合は、 CNTVALUEIN の値をロードする

CE 入力 1 インク リ メン ト /デク リ メン ト機能をイネーブルにする

INC 入力 1 タ ップ遅延数をインク リ メン ト /デク リ メン トする

CINVCTRL 入力 1 ク ロ ッ ク (C) 極性を動的に反転する

CNTVALUEIN 入力 5 動的にロード可能なタ ップ値と して FPGA から送信される値

CLKIN 入力 1 IODELAYE1 のクロ ッ ク入力 (I/O CLKMUX から )

IDATAIN 入力 1 IOB から IODELAYE1 へのデータ入力

DATAIN 入力 1 FPGA から IODELAYE1 へのデータ入力

ODATAIN 入力 1 OSERDES/OLOGIC から IODELAYE1 へのデータ入力

DATAOUT 出力 1 4 つのデータ入力ポート (IDATAIN、 ODATAIN、 DATAIN、 および CLKIN) のいずれかからの遅延データ

CNTVALUEOUT 出力 5 タ ップ値をモニタ リ ングするために FPGA へ渡す値

Page 98: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

98 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 2 章 : SelectIO ロジック リソース

IODELAYE1 ポート

IOB からデータ入力 - IDATAIN

IDATAIN 入力は、関連する IOB で駆動されます。IDELAY モードの場合、データは ILOGIC/ISERDESブロッ クへ、または直接 FPGA ファブリ ッ クへ、あるいは DATAOUT ポート を通ってこれら両方に送

信されます。

FPGA OLOGIC/OSERDES からデータ入力 - ODATAIN

ODATAIN 入力は、OLOGIC/OSERDES で駆動されます。ODELAY モードの場合、ODATAIN は、

ODELAY_VALUE で遅延を設定された IOB へ接続している DATAOUT ポート を駆動します。

FPGA からデータ入力 - DATAIN

遅延ラインにアクセス可能な場合、DATAIN 入力は FPGA ロジッ クで直接駆動されます。データは、

IDELAY_VALUE で遅延を設定された DATAOUT ポート を介して FPGA ロジッ クへ戻り ます。

DATAIN はローカル反転可能です。 データは IOB を駆動できません。

クロック バッファからクロック入力 - CLKIN

CLKIN 入力は、 クロ ッ ク バッファ (BUFIO、 BUFG、 または BUFR) から駆動されます。 ク ロ ッ

クは、 IDELAY_VALUE で遅延を設定された DATAOUT ポート を介して FPGA ロジッ クへ戻り

ます。

データ出力 - DATAOUT

3 つのデータ入力ポートからの遅延データです。DATAOUT は、FPGA ロジッ ク (IDELAY モード )、IOB (ODELAY モード )、 あるいは両方 (双方向遅延モード ) へ接続します。 双方向モードの場合、 Tポートは IDATAIN パスと ODATAIN パスを動的に切り替えて、 OLOGIC ブロッ クからのト ライス

テート信号 (T) で示される方向に基づいて入力遅延または出力遅延を与えます。

ト ライステート入力 - T

ト ラ イステート入力制御ポートです。双方向動作の場合、この T 信号ピンは OBUFT の T ピンも制

御します。

クロック入力 - C

IODELAYE1 プリ ミ ティブのすべての制御入力 (RST、 CE、 INC) は、 クロ ッ ク入力 (C) に同期し

ます。 IODELAY を VARIABLE または VAR_LOADABLE モードに設定した場合、 クロ ッ クを必

ずこのポートに接続して ください。

C はローカル反転可能で、 グローバルまたはリージ ョナル バッファから提供される必要があ り ま

す。 このクロ ッ クは、 ISERDES および OSERDES を使用する場合は、SelectIO ロジッ ク リ ソース

の同じクロ ッ クに接続される必要があ り ます。 C は CLKDIV に接続されます。

モジュール リセッ ト - RSTIODELAYE1

VARIABLE モードの場合、 IODELAYE1 のリセッ ト信号 RST は、遅延エレメン ト を IDELAY_VALUEまたは ODELAY_VALUE 属性で指定した値にリセッ ト されます。 これらの属性が指定されていない場

合は、0 にリセッ ト されます。RST 信号は、アクティブ High でリセッ ト し、入力クロック信号 (C) に同

期します。

VAR_LOADABLE モードの場合、 IODELAYE1 のリセッ ト信号 RST は、 遅延エレメン ト を

CNTVALUEIN 属性で指定した値にリセッ ト されます。つま り、 CNTVALUEIN [4:0] の値が新しい

タップ値となり、 その結果 IDELAY_VALUE および ODELAY_VALUE 属性は無視されます。

Page 99: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 99UG361 (v1.2) 2010 年 1 月 18 日

入力/出力遅延エレメン ト (IODELAY1)

C ピンの極性切り替え - CINVCTRL

CINVCTRL ピンを使用して C ピンの極性を動的に切り替えます。 この機能は、 グ リ ッチが問題に

ならないアプリ ケーシ ョ ンで使用します。極性を切り替える場合、 IDELAY 制御ピンを 2 ク ロ ッ ク

サイ クル間使用しないでください。

制御値の入力 - CNTVALUEIN

CNTVALUEIN ピンは、 遅延エレ メン トの値を動的に切り替える場合に使用します。

制御値の出力 - CNTVALUEOUT

CNTVALUEOUT ピンは、 動的に変更された遅延エレ メ ン ト値をレポートするために使用されま

す。 このピンは IODELAYE1 が VAR_LOADABLE モードの場合のみ使用できます。

表 2-5 に制御ピンを示します。

インクリ メン ト /デクリ メン ト信号 - CE、 INC

インク リ メン ト /デク リ メン ト機能は、 イネーブル信号 (CE) で制御されます。 このインターフェイ

ス は IDELAY タ イ プお よ び ODELAY タ イ プのいずれか ま たは両方が VARIABLE ま たは

VAR_LOADABLE モードの場合にのみ利用可能です。

CE が High を維持している間、IDELAY は 1 ク ロ ッ ク (C) サイクルごとに TIDELAYRESOLUTION 分インク リ メン ト またはデク リ メン ト します。 INC のステートによ り、 IDELAY のインク リ メン ト ま

たはデク リ メン トが決定されます。INC = 1 のと きインク リ メン ト 、INC = 0 のと きデク リ メン ト し

ます。いずれの場合も クロ ッ ク (C) に同期します。 CE が Low の場合は、 INC のステートに関係な

く IDELAY を通過する遅延は変更しません。

CE が High になる と、 次の立ち上がりエッジでインク リ メン ト /デク リ メン トが開始されます。 CEが Low になる と、 次の立ち上がりエッジでインク リ メン ト /デク リ メン トが開始されます。

IODELAYE1 は、 ラ ップアラウンド プログラマブル遅延エレ メン トです。 遅延エレ メン トの 後 (タ ップ 31) に到達する と、次のインク リ メン ト機能はタ ップ 0 に戻り ます。デク リ メン ト機能につ

いても同様で、 タ ップ 0 に到達する と タ ップ 31 に戻り ます。

表 2-5 : ピンの説明

ピン タイプ 値 説明

INC 入力 1 タ ップ遅延数をインク リ メン ト /デク リ メン トする

CE 入力 1 インク リ メン ト /デク リ メン ト機能をイネーブルにする

RST 入力 1

あらかじめプログラム された値に遅延エレ メ ン ト を リ

セッ トする。値がプログラムされていない場合は、0 にリ

セッ トする。

VARIABLE モードの場合は、あらかじめプログラムされ

た値に遅延エレ メン ト を リセッ トする。

VAR_LOADABLE の場合は、 遅延エレ メン ト を

CNTVALUEIN 値にリセッ トする

Page 100: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

100 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 2 章 : SelectIO ロジック リソース

IODELAYE1 属性

表 2-6 に、 IODELAYE1 属性を示します。

表 2-6 : IODELAYE1 属性のまとめ

属性 値デフォルト

値説明

IDELAY_TYPE 文字列 : DEFAULT、FIXED、

VARIABLE、VAR_LOADABLE

DEFAULT タ ップ遅延ラインのタイプを設定する。

DEAFAULT : ホールド タイムを 0 にする

FIXED : 固定遅延値を指定する

VARIABLE : 遅延値を動的に調整する

VAR_LOADABLE : タップ値を動的にロードする

ODELAY_TYPE 文字列 :

FIXED、

VARIABLE、VAR_LOADABLE

FIXED タ ップ遅延ラインのタイプを設定する。

FIXED : 固定遅延値を指定する

VARIABLE : 遅延値を動的に調整する

VAR_LOADABLE : タップ値を動的にロードする

DELAY_SRC 文字列 :

I、 CLKIN、

DATAIN、 IO、 O

I I : IODELAYE1 チェーン入力は IDATAIN

O : IODELAYE1 チェーン入力は ODATAIN

IO : IODELAYE1 チェーン入力は IDATAIN および

ODATAIN (T で制御)

DATAIN : IODELAYE1 チェーン入力は DATAIN

CLKIN : IODELAYE1 チェーン入力は CLKIN

IDELAY_VALUE 整数 : 0 ~ 31 0 固定モー ド の遅延タ ッ プ数を指定する、 または

VARIABLE モードの 初のタ ップ数を指定する (入力パス)。

IDELAY_TYPE が VAR_LOADABLE モードに設

定されている場合、 この属性値は無視される

ODELAY_VALUE 整数 : 0 ~ 31 0 固定モー ド の遅延タ ッ プ数を指定する、 または

VARIABLE モードの 初のタ ップ数を指定する (出力パス)。

IDELAY_TYPE が VAR_LOADABLE モードに設

定されている場合、 この属性値は無視される

HIGH_PERFORMANCE_MODE 論理値 : FALSE または TRUE

FALSE TRUE の場合、 出力ジッタを軽減する。 ザイ リ ンク

スの Power Estimator ツールを使用し、 消費電力の

差を計測する

SIGNAL_PATTERN 文字列 :DATA、 または

CLOCK

DATA SIGNAL_PATTERN 属性を使用する と、 TimingAnalizer でのタイ ミ ング解析にデータまたはクロ ッ

ク パスでの適切な遅延チェーン ジッタが含まれる

Page 101: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 101UG361 (v1.2) 2010 年 1 月 18 日

入力/出力遅延エレメン ト (IODELAY1)

IDELAY_TYPE 属性

IDELAY_TYPE 属性は、使用する遅延タイプを設定する場合に使用します。属性値は、 DEFAULT、FIXED および VARIABLE です。DEFAULT に設定する と、ホールド タイムが 0 の遅延エレメン ト

を選択したこ とになり ます。 MMCM を使用せずにグローバル クロ ッ クでデータ (Pin-to-Pin パラ

メータ) を取得する場合は、DEFAULT 遅延エレメン ト を使用してマイナスのホールド タイムにしま

す。 DEFAULT 遅延は、 バンクごとに使用される唯一の遅延モードで、 同一バンクにおいてほかの

遅延モード と組み合わせて使用できません。

IDELAY_TYPE を FIXED に設定する と、 IDELAY_VALUE 属性で設定したタ ップ数が遅延値と

して固定されます。 この値はプリセッ ト され、 コンフ ィギュレーシ ョ ン後は変更できません。

IDELAY_TYPE 属性を VARIABLE に設定する と、 可変モードの遅延エレ メン トが選択されます。

タ ップ遅延は、 CE = 1 および INC = 1 と設定してインク リ メン ト を実行します。 また、 CE = 1 および INC = 0 と設定してデク リ メン ト を実行します。 このインク リ メン ト /デク リ メン トの動作は、

入力クロ ッ ク信号 C に同期します。

IDELAY_TYPE を VAR_LOADABLE に設定すると、可変モードのタップ遅延エレメン ト を動的に変

更し、 ロードできます。 タップ遅延は、CE = 1 および INC = 1 と設定してインク リ メン ト を実行しま

す。また、CE = 1 および INC = 0 と設定してデク リ メン ト を実行します。このインク リ メン ト /デク リ

メン トの動作は、入力クロッ ク信号 C に同期します。このモードの RST ピンは、CNTVALUEIN の値

をロード します。 これによって、 タップ値が動的に設定されます。

属性設定の有効な組み合わせについては、 表 2-12 を参照してください。

IDELAY_VALUE 属性

IDELAY_VALUE 属性を使用してタップ遅延の初期値を指定します。有効な値は、0 から 31 までの整

数です。デフォルト値は 0 です。タップ遅延がリセッ ト されると、タップ遅延の値は、IDELAY_VALUE属性で指定した値に戻り ます。VARIABLE モードの場合、この属性によって遅延ラインの 初の値が

決定されます。 VAR_LOADABLE モードの場合、 この属性値は無視されます。

ODELAY_VALUE 属性

ODELAY_VALUE 属性 は、タ ップ遅延の値を指定します。有効な値は、0 ~ 31 までの整数です。デ

フォルト値は 0 です。 タップ遅延がリセッ ト される と、 タ ップ遅延の値は、 ODELAY_VALUE 属性

で指定した値に戻り ます。 VAR_LOADABLE モードの場合、 この属性値は無視されます。

REFCLK_FREQUENCY 定数 : 全スピード グレード

の場合は 190 ~ 210

-3 スピード グレード

の場合は 290 ~ 310

200 Timing Analyzer がスタティ ッ ク タイ ミ ング解析用

に使用するタ ップ値 (MHz) を設定する

CINVCTRL_SEL 論理値 : FALSE または

TRUE

FALSE CINVCTRL_SEL ピンをイネーブルにし、 C ピンの

極性を動的に切り替える

表 2-6 : IODELAYE1 属性のまとめ (続き)

属性 値デフォルト

値説明

Page 102: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

102 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 2 章 : SelectIO ロジック リソース

ODELAY_TYPE 属性

FIXED に設定する と、 ODELAY_VALUE 属性で設定したタ ップ数が遅延値と して固定されます。

この値はあらかじめ設定され、 コンフ ィギュレーシ ョ ン後は変更できません。

VARIABLE に設定する と、 VARIABLE モードの遅延エレ メン トが選択されます。 タ ップ遅延は、

CE = 1 および INC = 1 と設定してインク リ メン ト を実行します。 また、 CE = 1 および INC = 0 と設定してデク リ メン ト を実行します。 このインク リ メン ト /デク リ メン トの動作は、入力クロ ッ ク信

号 C に同期します。

VAR_LOADABLE に設定する と、VARIABLE モードのタ ップ遅延エレ メン ト を動的に変更できま

す。 タ ップ遅延は、CE = 1 および INC = 1 と設定してインク リ メン ト を実行します。 また、CE = 1および INC = 0 と設定してデク リ メン ト を実行します。 このインク リ メン ト /デク リ メン トの動作

は、 入力クロ ッ ク信号 C に同期します。 このモードの RST ピンは、 CNTVALUEIN の値をロード

します。 これによって、 タ ップ値が動的に設定されます。 このモードの場合、ODELAY_VALUE は無視されます。

属性設定の有効な組み合わせについては、 表 2-12 を参照してください。

HIGH_PERFORMANCE_MODE 属性

TRUE の場合、出力ジッ タを軽減します。 これによ り、 IODELAYE1 エレ メン トの電力消費がわず

かに増加します。 FALSE の場合には、 IODELAY エレ メン トで消費される電力が少なくな り ます。

SIGNAL_PATTERN 属性

ク ロ ッ ク信号とデータ信号は異なる電気特性を持つため、IODELAYE1 チェーンに累積するジッタ

の量は異なり ます。 この属性を設定する と、 タイ ミ ングを計算する と きに、 適切なジッタ量を計算

するため、Timing Analyzer が有効になり ます。ク ロ ッ ク信号は規則的であ り、1 や 0 を長期間連続

して出力しませんが、 データはランダムであ り、 1 や 0 を長期間連続して出力できます。

IODELAYE1 モード

IDELAY と して使用する場合、 データ入力は IBUF または FPGA ロジッ クから送信され、 出力は

ILOGIC/ISERDES へ送信されます。 動作モードは次の 4 つになり ます。

• ゼロ ホールド タイム遅延モード (IDELAY_TYPE = DEFAULT)

この動作モードは、Virtex-5 デバイスのゼロ ホールド タイム遅延機能を使用したデザインと下

位互換性があ り ます。MMCM を使用せずにグローバル クロ ッ クでデータ (Pin-to-Pin パラ メー

タ) を取得する場合は、この遅延エレ メン ト を使用してマイナスのホールド タイムにします。こ

の 遅延モードを使用する場合、IDELAYCTRL プリ ミ ティブをインスタンシエートする必要は

あ り ません。

DEFAULT 遅延モードは、同一バンクで別のコンフ ィギュレーシ ョ ンの IODELAYE1 と併用で

きません。

• 固定遅延モード (IDELAY_TYPE = FIXED)

固定遅延モードは、 コンフ ィギュレーシ ョ ンのと きに IDELAY_VALUE 属性でタップ数をあ

らかじめ設定します。 コンフ ィギュレーシ ョ ン後、 この値は変更できません。 このモードを使

用する場合は、 IDELAYCTRL プリ ミ ティブをインスタンシエートする必要があ り ます。

詳細は、 「IDELAYCTRL の使用法および設計のガイ ド ライン」 を参照してください。

• 可変遅延モード (IDELAY_TYPE = VARIABLE)

このモードの場合、制御信号 CE および INC を操作するこ とで、 コンフ ィギュレーシ ョ ン後に

遅延値を変更できます。 このモードを使用する場合は、必ず IDELAYCTRL プリ ミ ティブをイ

Page 103: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 103UG361 (v1.2) 2010 年 1 月 18 日

入力/出力遅延エレメン ト (IODELAY1)

ンスタンシエートする必要があ り ます。詳細は、「IDELAYCTRL の使用法および設計のガイ ド

ライン」 を参照して ください。 表 2-7 に、 制御ピンを示します。

• ロード可能な可変遅延モード (IDELAY_TYPE = VAR_LOADABLE)

このモードは、IDELAY_TYPE = VARIABLE と同じ機能を保有し、さ らに FPGA ロジッ クか

ら 5 入力ビッ ト CNTVALUEIN<4:0> を介して IDELAY タ ップ値をロードできます。 RST 信号がパルスする と、 CNTVALUEIN<4:0> にある値が新しいタ ップ値となり ます。 このため、

IDELAY_VALUE 属性は無視されます。このモードを使用する場合は、 IDELAYCTRL プリ ミ

ティブをインスタンシエートする必要があ り ます。詳細は、「IDELAYCTRL の使用法および設

計のガイ ド ライン」 を参照して ください。 表 2-8 に、 制御ピンを示します。

ODELAY と して使用する場合、データ入力は OLOGIC/OSERDES から送信され、出力は OBUF へ送信されます。 動作モードは次の 3 つになり ます。

• 固定遅延出力モード (ODELAY_TYPE = FIXED)

固定遅延モードの場合、ODELAY_VALUE 属性でタップ数を設定し、遅延値をあらかじめ設定

します。 コンフ ィギュレーシ ョ ン後、 この値は変更できません。 このモードを使用する場合は、

IDELAYCTRL プリ ミ ティブをインスタンシエートする必要があ り ます。

詳細は、 「IDELAYCTRL の使用法および設計のガイ ド ライン」 を参照してください。

• 可変遅延モード (ODELAY_TYPE = VARIABLE)

このモードの場合、制御信号 CE および INC を操作するこ とで、 コンフ ィギュレーシ ョ ン後に

遅延値を変更できます。 このモードを使用する場合は、 IDELAYCTRL プリ ミ ティブをインス

タンシエートする必要があ り ます。詳細は、「IDELAYCTRL の使用法および設計のガイ ド ライ

ン」 を参照して ください。 表 2-9 に、 制御ピンを示します。

表 2-7 : 制御ピン (IDELAY_TYPE = VARIABLE の場合)

C RST CE INC TAP の設定

0 x x x 変更なし

1 1 x x IDELAY_VALUE

1 0 0 x 変更なし

1 0 1 1 現在値 +1

1 0 1 0 現在値 –1

1 0 0 0 変更なし

表 2-8 : 制御ピン (IDELAY_TYPE = VAR_LOADABLE の場合)

C RST CE INC CNTVALUEIN CNTVALUEOUT TAP の設定

0 x x x x 変更なし 変更なし

1 1 x x CNTVALUEIN CNTVALUEIN CNTVALUEIN

1 0 0 x x 変更なし 変更なし

1 0 1 1 x 現在値 +1 現在値 +1

1 0 1 0 x 現在値 –1 現在値–1

1 0 0 0 0 変更なし 変更なし

Page 104: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

104 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 2 章 : SelectIO ロジック リソース

• ロード可能な可変遅延モード (ODELAY_TYPE = VAR_LOADABLE)

このモードは、 ODELAY_TYPE = VARIABLE と同じ機能を保有し、 さ らに FPGA ロジッ ク

から 5 入力ビッ ト CNTVALUEIN<4:0> を介して ODELAY タ ップ値をロードできます。RST信号がパルスする と、CNTVALUEIN<4:0> にある値が新しいタ ップ値とな り ます。 このため、

ODELAY_VALUE 属性は無視されます。このモードを使用する場合は、IDELAYCTRL プリ ミ

ティブをインスタンシエートする必要があ り ます。詳細は、「IDELAYCTRL の使用法および設

計のガイ ド ライン」 を参照して ください。 表 2-10 に、 制御ピンを示します。

双方向遅延と して使用される場合、 IOB は双方向モードでコンフ ィ ギュ レーシ ョ ン されます。

IODELAYE1 が、遅延を与えるパス (入力および出力) を切り替えます。動作モードは次の 4 つにな

り ます。

• 固定 IDELAY (IDELAY_TYPE = FIXED) モードおよび

固定 ODELAY (ODELAY_TYPE = FIXED) モード

このモードの場合、コンフ ィギュレーシ ョ ン時に IDELAY_VALUE および ODELAY_VALUE属性で IDELAY および ODELAY の値を設定します。コンフ ィギュレーシ ョ ン後、この値は変

更できません。 このモードを使用する場合は、 IDELAYCTRL プリ ミ ティブをインスタンシ

エートする必要があ り ます。詳細は、「IDELAYCTRL の使用法および設計のガイ ド ライン」 を

参照して ください。

• 可変 IDELAY (IDELAY_TYPE = VARIABLE) モードおよび

固定 ODELAY (ODELAY_TYPE = FIXED) モード

このモードの場合、制御信号 CE および INC を操作するこ とで、 コンフ ィギュレーシ ョ ン後に

IDELAY 値のみを動的に変更できます。 IODELAYE1 プリ ミ ティブの T ピンのロジッ ク レベ

ルによって、IDELAY または ODELAY のいずれかのモードで使用されるかが動的に決定され

ます。 このモードを使用する場合は、 IDELAYCTRL プリ ミ ティブをインスタンシエートする

表 2-9 : 制御ピン (ODELAY_TYPE = VARIABLE の場合)

C RST CE INC TAP の設定

0 x x x 変更なし

1 1 x x ODELAY_VALUE

1 0 0 x 変更なし

1 0 1 1 現在値 +1

1 0 1 0 現在値 –1

1 0 0 0 変更なし

表 2-10 : 制御ピン (ODELAY_TYPE = VAR_LOADABLE の場合)

C RST CE INC CNTVALUEIN CNTVALUEOUT TAP の設定

0 x x x x 変更なし 変更なし

1 1 x x CNTVALUEIN CNTVALUEIN CNTVALUEIN

1 0 0 x x 変更なし 変更なし

1 0 1 1 x 現在値 +1 現在値 +1

1 0 1 0 x 現在値 –1 現在値 –1

1 0 0 0 0 変更なし 変更なし

Page 105: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 105UG361 (v1.2) 2010 年 1 月 18 日

入力/出力遅延エレメン ト (IODELAY1)

必要があ り ます。

詳細は、 「IDELAYCTRL の使用法および設計のガイ ド ライン」 を参照してください。

• 可変 ODELAY (ODELAY_TYPE = VARIABLE) モードおよび

固定 IDELAY (IDELAY_TYPE = FIXED) モード

このモードの場合、制御信号 CE および INC を操作するこ とで、 コンフ ィギュレーシ ョ ン後に

ODELAY 値のみを変更できます。 IODELAYE1 プリ ミ ティブの T ピンのロジッ ク レベルに

よって、 IDELAY または ODELAY のいずれかのモードで使用されるかが動的に決定されま

す。 このモードを使用する場合は、 IDELAYCTRL プリ ミ ティブをインスタンシエートする必

要があ り ます。

詳細は、 「IDELAYCTRL の使用法および設計のガイ ド ライン」 を参照してください。

• ロード可能な可変 IDELAY (IDELAY_TYPE = VAR_LOADABLE) および

ロード可能な可変 ODELAY (ODELAY_TYPE = VAR_LOADABLE)

ODELAY_TYPE = VARIABLE の機能を保有し、 さ らに FPGA ロジッ クから 5 入力ビッ ト

CNTVALUEIN<4:0> を介して IDELAY タ ップ値および ODELAY タ ップ値をロードできま

す。RST 信号がパルスする と、CNTVALUEIN<4:0> にある値が新しいタ ップ値となり ます。こ

のため、 ODELAY_VALUE 属性は無視されます。 表 2-11 に、 制御ピンを示します。

表 2-11 : 制御ピン (IDELAY_TYPE = VAR_LOADABLE および ODELAY_TYPE = VAR_LOADABLE の場合)

C T RST CE INC CNTVALUEIN CNTVALUEOUT TAP の設定 DATAOUT

0 0 x x x x 変更なし 変更なし ODATAIN

1 0 1 x x CNTVALUEIN CNTVALUEIN CNTVALUEIN ODATAIN

1 0 0 0 x x 変更なし 変更なし ODATAIN

1 0 0 1 1 x 現在値 +1 現在値 +1 ODATAIN

1 0 0 1 0 x 現在値 –1 現在値 –1 ODATAIN

1 0 0 0 0 0 変更なし 変更なし ODATAIN

0 1 x x x x 変更なし 変更なし IDATAIN

1 1 1 x x CNTVALUEIN CNTVALUEIN CNTVALUEIN IDATAIN

1 1 0 0 x x 変更なし 変更なし IDATAIN

1 1 0 1 1 x 現在値 +1 現在値 +1 IDATAIN

1 1 0 1 0 x 現在値 –1 現在値 –1 IDATAIN

1 1 0 0 0 0 変更なし 変更なし IDATAIN

Page 106: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

106 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 2 章 : SelectIO ロジック リソース

表 2-12 に、 サポート される IODELAYE1 のコンフ ィギュレーシ ョ ンを示します。

IODELAYE1 タイ ミ ング

表 2-13 に、 IODELAYE1 のスイ ッチング特性を示します。

表 2-12 : サポート される IODELAYE1 のコンフ ィギュレーシ ョ ン

IODELAYE1 モード

IODELAYE1 の方向

IODELAYE1 エレメン トで

使用される入力ピン

ソース デスティネーシ ョ ン サポート される遅延モード

IDELAY、

単一方向の

入力遅延

I

IDATAIN IBUF

ILOGIC、

ISERDES、 または ファブリ ッ ク

DEFAULT、 FIXED、

VARIABLE、 または

VAR_LOADABLE

CLKINBUFIO、 BUFG、

BUFR

FIXED、VARIABLE、または

VAR_LOADABLE

DATAIN ファブ リ ッ クFIXED、VARIABLE、または

VAR_LOADABLE

ODELAY、

単一方向の

出力遅延

O ODATAINOLOGIC、

OSERDES (OFB または Q)

OBUF または CLKPERFDELAY

FIXED、VARIABLE、または

VAR_LOADABLE

双方向の遅延

I (T = 1 の場合)

IDATAIN IBUFILOGIC、

ISERDES、 または ファブリ ッ ク

I = FIXED、 O = FIXED

I = VARIABLE、O = FIXED

I = FIXED、O = VARIABLEI = VAR_LOADABLEO = VAR_LOADABLE

O (T = 0 の場合)

ODATAINOLOGIC、

OSERDES (OFB または Q)

OBUF または CLKPERFDELAY

表 2-13 : IODELAYE1 のスイッチ特性

シンボル 説明

TIDELAYRESOLUTION IDELAY タ ップの精度

TICECK/TICKCE C に対する CE ピンのセッ ト アップ/ホールド

TIINCCK/TICKINC C に対する INC ピンのセッ ト アップ/ホールド

TIRSTCK/TICKRST C に対する RST ピンのセッ ト アップ/ホールド

Page 107: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 107UG361 (v1.2) 2010 年 1 月 18 日

入力/出力遅延エレメン ト (IODELAY1)

図 2-9 に、 IODELAYE1 (IDELAY_TYPE = VARIABLE、 IDELAY_VALUE = 0、 および DATAIN_SRC = I) のタイ ミ ング図を示します。 IDELAY_VALUE = 0 と仮定します。

クロック イベン ト 1

C の立ち上がりエッジでリセッ トが認識され、31 タ ップ チェーンからの出力と して DATAOUT 出力には タ ップ 0 が選択されます。

クロック イベン ト 2

C の立ち上がりエッジで CE と INC のパルスが認識されます。 これは、 インク リ メン ト動作が開始

されたこ とを示します。この出力は、グ リ ッジなしでタ ップ 0 からタ ップ 1 へ変化します。詳細は、

「インク リ メン ト /デク リ メン ト動作後の安定」 を参照して ください。

クロック イベン ト 3

CE と INC は、 この後アサート されるこ とがないので、 インク リ メン ト動作が完了します。 この出

力は、 RST、 CE、 または INC ピンで変化がない限り、 無限にタ ップ 1 を保持します。

図 2-10 に、 IODELAYE1 のタイ ミ ング図を示します。

X-Ref Target - Figure 2-9

図 2-9 : IDELAY のタイ ミング図

X-Ref Target - Figure 2-10

図 2-10 : VAR_LOADABLE モードの IODELAYE1 のタイ ミング図

UG361_c2_09_022309

RST

C

1 2 3

CE

INC

DATAOUT Tap 0 Tap 1

C

RST

INC

CE

CNTVALUEIN

CNTVALUEOUT

DATAOUT

5’b00010 5’b00011 5’b01010

5’b00010 5’b01010

0 1 2 3

Tap 2 Tap 3 Tap 10

UG361_c2_10_012309

Page 108: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

108 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 2 章 : SelectIO ロジック リソース

クロック イベン ト 0

RST 信号がパルスされる前であ り、 タ ップ値および CNTVALUEOU は無効の値です。

クロック イベン ト 1

C の立ち上がりエッジでリセッ トが認識され、 DATAOUT 出力と CNTINVALUE が同等になり、

タ ップ 2 が選択されます。そして CNTVALUEOUT がアップデート され新しいタップ値を示します。

クロック イベン ト 2

C の立ち上がりエッジで CE と INC のパルスが認識されます。 これは、 インク リ メン ト動作が開始

されたこ とを示します。 この出力は、 グ リ ッジなしでタ ップ 2 からタ ップ 3 へ変化します。 そして

CNTVALUEOUT がアップデート され新しいタ ップ値を示します。

クロック イベン ト 3

C の立ち上がりエッジで リセッ トが認識され、 DATAOUT 出力と CNTINVALUE が同等になり ま

す。 CNTVALUEOUT はタップ設定の値を示します。 この出力は、 RST、 CE、 または INC ピンで

変化がない限り、 無限にタ ップ 10 を保持します。

インクリ メン ト /デクリ メン ト動作後の安定

図 2-9 は、 タ ップ出力が変化する際の不安定な期間を示します。 タ ップ 0 のデータ値がタップ 1 のデータ値と明らかに異なる場合、 出力ステートは必ず変わり ます。 ただし、 タ ップ 0 と タ ップ 1 のデータ値が同じ場合 (例 : 両方と も 0 または 1)、タ ップ 0 からタ ップ 1 への遷移による出力のグ リ ッ

チまたはノ イズは生じません。これは、IODELAYE1 タ ップ チェーンでのレシーバ データ信号を想

像する と理解できます。タ ップ 0 と タ ップ 1 の両方がレシーバ データ アイの中央にある場合、タ ッ

プ 0 でサンプルされたデータ と タ ップ 1 でサンプルされたデータは同一になり ます。 このよ うな場

合、 タ ップ 0 からタ ップ 1 への遷移による出力への変化はあ り ません。 これを確実にするため、

IODELAYE1 のインク リ メン ト /デク リ メン ト動作はグ リ ッチがないよ うに設計されています。

ユーザーは、IODELAYE1 素子を用いて生のユーザー データが送信されている と き、リ アル タイム

に IODELAYE1 タ ップの設定を動的に変更できます。 この変更によって生のユーザー データが破

損するこ とはあ り ません。

ク ロ ッ ク信号に IODELAYE1 素子が使用される場合にも、 グ リ ッチフ リー動作は適用されます。

タ ップ設定を変更しても、 出力にグ リ ッチやノ イズは生じません。 また、 ク ロ ッ ク パスの IODELAYE1 素子のタップ設定を変更しても、そのクロ ッ クで実行されるステート マシンを混乱さ

せるこ とはあ り ません。

IODELAYE1 の VHDL および Verilog インスタンシエーシ ョ ン テンプレート

すべてのプリ ミ ティブとサブモジュールの VHDL および Verilog インスタンシエーシ ョ ン テンプ

レートが、 『ラ イブラ リ ガイ ド』 に記載されています。

VHDL 記述の場合、 各テンプレートにコンポーネン ト宣言とアーキテクチャ セクシ ョ ンがあ り ま

す。テンプレートの両セクシ ョ ンを VHDL デザイン ファ イルに挿入する必要があ り ます。アーキテ

クチャ セクシ ョ ンのポート マップには、 デザインの信号名を含めます。

Page 109: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 109UG361 (v1.2) 2010 年 1 月 18 日

入力/出力遅延エレメン ト (IODELAY1)

IODELAYE1 のターンアラウンド タイムの使用モデル

双方向モードで IODELAYE1 を使用している場合、 ターンアラウンド タイムを考慮する必要があ

り ます。 図 2-11 に、 双方向 IODELAYE1 動作に適用する Virtex-6 FPGA IOB の IODELAYE1 簡略ブロッ ク図を示します。

DELAY_SRC = IO の場合、 IODELAYE1 ブロ ッ ク内では MUXE および MUXF が ODATAIN または IDATAIN の選択、 そして ODELAY_VALUE または IDELAY_VALUE の選択を動的に実行

します。

I/O ピン 1 つにおいて、双方向 IODELAY の機能性を使用する 2 つのケースが重要です。1 つは、出

力 I/O が入力に切り替えられる場合に双方向 IODELAYE1 を使用するケースです。 図 2-12 に、

ODDR フ リ ップフロ ップから送信される TSCONTROL ネッ トによって設定されるこ とで、 入力

モードへ切り替わる IOB および IODELAY を示します。MUX E および MUX F でそれぞれ IOB 入力パスと IDELAY_VALUE が選択されるよ うに制御されます。 OBUF はト ライステートです。

X-Ref Target - Figure 2-11

図 2-11 : IODELAYE1 ターンアラウンドに関連する基本的なブロックの一部 (関連パス付き)

ug361_c2_11_022309

IOB

IODELAYE1 T

Q1Q2

T2

CLK

CLK

MUX E

DelayChain

ODATAINIDATAIN

MUX F

OBUF PAD

IBUF

D1

T1

D2

ODELAY_VALUE

IDELAY_VALUE

ODDR TSCONTROL

ODATAIN

DATAOUT

ODDR

IDDR

Page 110: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

110 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 2 章 : SelectIO ロジック リソース

X-Ref Target - Figure 2-12

図 2-12 : ト ライステートが無効の場合での、 IODELAYE1 と入力モードの IOB

ug361_c2_12_022309

IOB

IODELAYE1 T

Q1Q2

T2

CLK

CLK

MUX E

DelayChain

ODATAINIDATAIN

MUX F

OBUF PAD

IBUF

D1

T1

D2

ODELAY_VALUE

IDELAY_VALUE

ODDR TSCONTROL

ODATAIN

DATAOUT

ODDR

IDDR

Page 111: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 111UG361 (v1.2) 2010 年 1 月 18 日

入力/出力遅延エレメン ト (IODELAY1)

図 2-13 に、出力 I/O が ト ライステート制御によって入力へと切り替わる場合の適切な信号タイ ミ ン

グを示します。 図のスイ ッチ特性は、 『Virtex-6 FPGA データシート 』 に記述されています。

OBUFT ピンの動作は、 ODDR フ リ ップフロ ップから送信される TSCONTROL 信号のステートで

制御されます。 ト ライステート制御による OBUF でのデータ受信と、 PAD から IDDR フ リ ップフ

ロ ップへの送信はパラレルであり、 PAD がト ライステート制御で駆動される前または後で有効にな

るクロ ッ クエッジに対応した IDDR フ リ ップフロ ップ入力 の 終値は、IDELAY_VALUE 値で調整

されます。ト ラ イステートが PAD への伝搬を制御し、IODELAYE1 が入力に方向転換した後、IDDRセッ ト アップ タイムを決定付ける要素は、IDELAY_VALUE やザイ リ ンクスのスピード仕様で定義

されているタイ ミ ング パラ メータ、 そして ISE ツールで設定されるパラ メータに基づきます。

X-Ref Target - Figure 2-13

図 2-13 : IOB が出力から入力へ切り替わる際での IODELAYE1 のタイ ミング図

ug361_c2_13_022309

ODDR CLK

IDDR CLK

Pad to IDDR Setup Time is:

TIOPI + TIODDO_IDATAIN + TIDOCKD

(where TIODDO_IDATAIN is a

function of IDELAY_VALUE)

Previous PADOutput Value

New PADInput Value

TSCONTROL

PAD

ODDR CLK to IDELAY ready

TOCKQ

TIOTP

TIODDO_T

ODDR CLK to 3-statedeassertion time.

Page 112: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

112 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 2 章 : SelectIO ロジック リソース

2 つ目のケースでは、 入力の I/O が出力へ切り替わる際に双方向 IODELAYE1 を使用します。 図

2-14 に、ODDR T フ リ ップフロ ップから送信される ト ライステートの TSCONTROL 信号によって

出力モードへ切り替わる IOB と IODELAYE1 を示します。MUX E および MUX F でそれぞれ IOB出力パスと ODELAY_VALUE が選択されるよ うに制御されています。OBUF はト ライステートで

はなくな り、 PAD を駆動します。

X-Ref Target - Figure 2-14

図 2-14 : ト ライステートが有効な場合での出力モードの IODELAYE1 および IOB

ug361_c2_14_022309

IOB

IODELAYE1 T

Q1Q2

T2

CLK

CLK

MUX E

DelayChain

ODATAINIDATAIN

MUX F

OBUF PAD

IBUF

D1

T1

D2

ODELAY_VALUE

IDELAY_VALUE

ODDR TSCONTROL

ODATAIN

DATAOUT

ODDR

IDDR

Page 113: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 113UG361 (v1.2) 2010 年 1 月 18 日

入力/出力遅延エレメン ト (IODELAY1)

図 2-15 に、入力 I/O が ト ライステート制御によって出力へと切り替わる場合の適切な信号タイ ミ ン

グを示します。 図のスイ ッチ特性は、 『Virtex-6 FPGA データシート 』 に記述されています。

IOB での OBUF の ト ラ イステート動作と ODDR フ リ ップフロ ップから PAD へのタイ ミ ングはパ

ラレルです。 PAD が ト ライステートで駆動される前または後で有効になる ODDR CLK ピンのク

ロ ッ ク エッジに対応した 終出力値は、ODELAY_VALUE の値を設定するこ とで調節できます。ト

ラ イステートが PAD への伝搬を制御し、 IODELAYE1 が方向転換した後、 IODELAYE1 エレ メン

ト (ODELAY_VALUE を設定) を通過する ODDR フ リ ップフロ ップの Clock-to-Out タイムは、単

に PAD の Clock-to-Out タイムを決定します。

IDELAYCTRL のまとめ

IODELAYE1 または ISERDES プリ ミ ティブの IOBDELAY_TYPE 属性が、FIXED、VARIABLE、または VAR_LOADABLE の場合は、IDELAYCTRL モジュールをインスタンシエートする必要が

あ り ます。 IDELAYCTRL モジュールは、領域内の各遅延エレ メン ト (IODELAYE1) を調整し、プ

ロセス、電圧、温度による影響を抑制します (116 ページの図 2-18 参照)。 IDELAYCTRL モジュー

ルは、 ユーザー指定の REFCLK を使用して IODELAYE1 を制御します。

X-Ref Target - Figure 2-15

図 2-15 : IOB が入力から出力へ切り替わる際での IODELAYE1 のタイ ミング図

ug361_c2_15_010610

ODDR CLK

DATAOUT

Previous PADinput value

TSCONTROL

PAD

Clock to DATAOUT is variablebased on internal timing theODELAY_VALUE (0-31)

Clock-to-Out withODELAY_VALUE = 0

Clock to PAD being driven or TOCKQ + TIODDO_ODATAIN + TIOOP

TOCKQ

TIOTP

TOCKQ + TIODDO_ODATAIN

Clock-to-Out withODELAY_VALUE = 31

Page 114: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

114 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 2 章 : SelectIO ロジック リソース

IDELAYCTRL プリ ミテ ィブ

図 2-16 に、 IDELAYCTRL プリ ミ ティブを示します。

IDELAYCTRL ポート

RST - リセッ ト

リセッ ト入力ピン (RST) は、アクティブ High の非同期リセッ トです。正常に IODELAYE1 を動作

させるため、 コンフ ィギュレーシ ョ ン後 (REFCLK 信号が安定している と き) に IDELAYCTRL はリセッ ト しなければなり ません。 このと き、 リセッ ト パルス幅 TIDELAYCTRL_RPW が必要です。

IDELAYCTRL は、 コンフ ィギュレーシ ョ ン後にリセッ ト される必要があ り ます。

REFCLK - リファレンス クロック

リ ファレンス ク ロ ッ ク (REFCLK) は、 IDELAYCTRL が同じ領域内にあるすべての IODELAYE1モジュールを制御するために使用される時間参照用のクロ ッ クです。 このクロ ッ クは、 必ずグロー

バル ク ロ ッ ク バッファ (BUFGCTRL) で駆動してください。 指定した IODELAYE1 精度 (TIDELAYRESOLUTION) を確実にするには、 REFCLK を、 「FIDELAYCTRL_REF ± 特定の ppm 範囲

(IDELAYCTRL_REF_PRECISION)」 にして ください。REFCLK は、ユーザー指定のソースまたは

MMCM から直接供給され、 グローバル ク ロ ッ ク バッファに配線する必要があ り ます。

RDY - Ready 信号

Ready (RDY) 信号は、 ある特定の領域にある IODELAYE1 モジュールが調整変更されたと きにア

サート されます。REFCLK が High または Low を 1 ク ロ ッ ク以上継続する と、RDY 信号がディア

サート されます。 RDY 信号が Low にディアサート される と、 IDELAYCTRL モジュールはリセッ

ト しなければなり ません。 インプリ メンテーシ ョ ン ツールで、 RDY 信号を未接続に (無視) するよ

うに設定できます。 図 2-17 に、 RDY および RST のタイ ミ ング関係を示します。

X-Ref Target - Figure 2-16

図 2-16 : IDELAYCTRL プリ ミテ ィブ

REFCLK RDY

RST

IDELAYCTRL

ug361_c2_16_022309

Page 115: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 115UG361 (v1.2) 2010 年 1 月 18 日

入力/出力遅延エレメン ト (IODELAY1)

IDELAYCTRL のタイ ミング

表 2-14 に、 IDELAYCTRL のスイ ッチング特性を示します。

図 2-17 に示すよ うに、 Virtex-6 FPGA の RST はエッジ ト リ ガ信号です。

IDELAYCTRL の位置

IDELAYCTRL モジュールは、すべてのクロ ッ ク領域の I/O カラム内にあ り ます。IDELAYCTRL モジュールは、クロ ッ ク領域内のすべての IDELAY モジュールを調整します。ク ロ ッ ク領域の定義は

『Virtex-6 FPGA ク ロ ッキング リ ソース ユーザー ガイ ド』 を参照して ください。

表 2-14 : IDELAYCTRL のスイッチ特性

シンボル 説明

FIDELAYCTRL_REF REFCLK 周波数

IDELAYCTRL_REF_PRECISION REFCLK 精度

TIDELAYCTRLCO_RDY IDELAYCTRL のリセッ ト /スタート アップの準備完了

X-Ref Target - Figure 2-17

図 2-17 : RST と RDY のタイ ミング関係

RST

REFCLK

RDYug361_c2_17_022309

TIDELAYCTRLCO_RDY

Page 116: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

116 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 2 章 : SelectIO ロジック リソース

図 2-18 に、 IDELAYCTRL モジュールの位置関係を示します。

IDELAYCTRL の使用法および設計のガイド ライン

IDELAYCTRL の配置については、 制約ガイ ドを参照してください。

OLOGIC リソース

OLOGIC は、 2 つの主要ブロッ クで構成されており、 1 つは出力データ パスのコンフ ィギュレー

シ ョ ン用、 も う 1 つはト ライステート制御パスのコンフ ィギュレーシ ョ ン用です。 これらの 2 つの

ブロ ッ クには、 共通クロ ッ ク (CLK) があ り ますが、 イネーブル信号 (OCE と TCE) は異なり ます。

両ブロ ッ クには個別の SRVAL 属性で制御される非同期および同期セッ ト / リセッ ト (SR 信号) があ

り ます。

出力パスおよびト ライステート パスは、 次のモードで個別にコンフ ィギュレーシ ョ ンできます。

• エッジ ト リ ガ D 型フ リ ップフロ ップ

• DDR モード (SAME_EDGE または OPPOSITE_EDGE)

• レベル認識ラ ッチ

• 非同期/組み合わせ

X-Ref Target - Figure 2-18

図 2-18 : IDELAYCTRL モジュールの位置関係

Left Edge I/O

Right Edge I/O

Left Center I/O

Right Center I/O

hclkrow

I/O bank(40 I/O)

IDE

LAY

CT

RL

ug361_c2_18_022309

Page 117: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 117UG361 (v1.2) 2010 年 1 月 18 日

OLOGIC リソース

図 2-19 に、 OLOGIC ブロ ッ ク内のさまざまなロジッ ク リ ソースを示します。

このセクシ ョ ンでは、 OLOGIC リ ソースを使用した場合のさまざまな機能について説明します。

OLOGIC リ ソース間のすべての接続は、 ザイ リ ンクスのソフ ト ウェアを使用して行います。

組み合わせ出力データおよびト ライステート制御パス

組み合わせ出力パスを使用し、FPGA から出力ド ライバ (または出力ド ライバ制御) まで直接接続し

ます。 次のよ うな場合に、 組み合わせ出力パスを使用できます。

1. FPGA 内のロジッ ク リ ソースから出力データまたはト ライステート制御までがレジスタなし

の直接接続である場合

2. [Pack I/O Register/Latches into IOBs] がオフに設定されている場合

出力 DDR のまとめ (ODDR)Virtex-6 デバイスには、 OLOGIC ブロッ ク内に専用レジスタがあ り、出力 DDR レジスタをインプ

リ メン トできます。 この機能は、ODDR プリ ミ ティブをインスタンシエート した場合に使用できま

す。 OLOGIC を使用中、 DDR マルチプレクサ処理は自動的に実行されます。 マルチプレクサを手

動で制御する必要はあ り ません。 この制御はクロ ッ クで行われます。

ODDR プリ ミ ティブには、ク ロ ッ ク入力が 1 つあ り ます。立ち下がりエッジ データは、入力クロ ッ

クをローカル反転したクロ ッ クを使用します。 I/O タイルへ接続するすべてのクロ ッ クはマルチプ

レクスされています。 ILOGIC ブロ ッ ク間または OLOGIC ブロ ッ ク間でクロ ッ クは共有していま

せん。 ODDR プリ ミ ティブでは、 次の動作モードを使用できます。

• OPPOSITE_EDGE モード

• SAME_EDGE モード

SAME_EDGE モードは、 Virtex-5 アーキテクチャ と同一モードです。 このモードを使用する と、

ODDR ク ロ ッ クの立ち上がりエッジで、 ODDR プリ ミ ティブの両方のデータ入力を同時に取得で

きるよ うにな り ます。これによ り、CLB およびクロ ッ ク リ ソースを節約でき、パフォーマンスが向

上します。 このモードを実行するには、DDR_CLK_EDGE 属性を使用します。 このモードは、 ト ラ

イステート制御もサポート しています。 次のセクシ ョ ンで、 各モードについて説明します。

X-Ref Target - Figure 2-19

図 2-19 : OLOGIC ブロックの図

D1

D2

T1

T2

TCE

CLK

SR

QTQ

CE

CK

SR

ug361_c2_19_022309

D1

D2

D1

D2

OCE

QOQ

CE

CK

SR

Page 118: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

118 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 2 章 : SelectIO ロジック リソース

OPPOSITE_EDGE モード

OPPOSITE_EDGE モードでは、ク ロ ッ ク (CLK) の両エッジを使用し、2 倍のスループッ トで FPGAファブリ ッ クからデータを取得します。 この構造は、 Virtex-5 FPGA インプリ メンテーシ ョ ンと類

似しています。両出力は、IOB のデータ入力またはト ライステート制御入力に転送されます。図 2-20に、 OPPPOSITE_EDGE モードを使用した出力 DDR のタイ ミ ング図を示します。

SAME_EDGE モード

この方法を使用した場合、同一クロ ッ ク エッジで、IOB にデータを送るこ とができます。同一クロ ッ

ク エッジで IOB にデータを送るこ とによ り、 セッ ト アップ タイムの違反を回避できます。 また、

CLB レジスタを使用する場合に生じるレジスタ間の遅延を 小限に抑えるこ とができ、 大の DDR周波数で実行できます。図 2-21 に、 SAME_EDGE モードを使用した出力 DDR のタイ ミ ング図を

示します。

クロック転送

出力 DDR を使用すると、複製したクロッ クを出力に転送できます。これは、クロッ ク と DDR データ

を同様の遅延で伝搬する場合、複数クロッ クの生成、またすべてのクロッ ク ロードに独自のクロッ ク

ド ライバがある場合での複数クロッ ク生成に大変有効です。この手法を実行する場合は、ODDR プリ

ミティブの D1 入力を High に、D2 入力を Low に接続します。ザイ リ ンクスは、この手法を使用して

FPGA ファブリ ッ クから出力ピンへクロッ クを転送するこ とを推奨しています。

X-Ref Target - Figure 2-20

図 2-20 : OPPOSITE_EDGE モードの出力 DDR タイ ミング

ug361_c2_20_022309

CLK

OCE

OQ

D1

D2

D1A D2A D1B

D1A D1B D1C D1D

D2A D2B D2C D2D

D2B D1C D2C D1D

X-Ref Target - Figure 2-21

図 2-21 : SAME_EDGE モードの出力 DDR のタイ ミング

ug361_c2_21_022309

CLK

OCE

OQ

D1

D2

D1A D2A D1B

D1A D1B D1C D1D

D2A D2B D2C D2D

D2B D1C D2C D1D

Page 119: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 119UG361 (v1.2) 2010 年 1 月 18 日

OLOGIC リソース

出力 DDR プリ ミテ ィブ (ODDR)図 2-22 に ODDR プリ ミ ティブ ブロ ッ ク図を示します。セッ トおよびリセッ トは同時にサポート さ

れていません。表 2-15 に ODDR ポート信号、 表 2-16 に ODDR プリ ミ ティブで使用できる属性お

よびデフォルト値を示します。

X-Ref Target - Figure 2-22

図 2-22 : ODDR プリ ミテ ィブ ブロック図

表 2-15 : ODDR ポート信号

ポート名 ファンクシ ョ ン 説明

Q データ出力 (DDR) ODDR レジスタ出力

C ク ロ ッ ク入力ポート CLK ピンは、 ク ロ ッ ク入力ピンを示す

CE ク ロ ッ ク イネーブル

ポート

CE は、クロ ッ ク イネーブル ピンを示す。Low にアサート

された場合、このポートはポート Q の出力クロ ッ クを無効

にする

D1、 D2 データ入力 ODDR レジスタ入力

R リセッ ト 同期/非同期リセッ ト ピン リセッ ト ピン。リセッ トは Highにアサート される。 セッ ト を使用している と きはサポート

されない

S セッ ト 同期/非同期セッ ト ピン。 セッ トは High にアサート され

る。 リセッ ト を使用している と きはサポート されない

表 2-16 : ODDR 属性

属性名 説明 設定可能な値

DDR_CLK_EDGE ク ロ ッ ク エッジの ODDR 動作モードを

設定する OPPOSITE_EDGE (デフォ

ルト )、 SAME_EDGE

INIT Q ポートの初期値を設定 0 (デフォルト )、 1

SRTYPE ク ロ ッ ク (C) に対するセッ ト / リセッ ト

タイプ

ASYNC、 SYNC (デフォルト )

ug361_c2_22_022309

C

CE

D1

S

R

Q

D2 ODDR

Page 120: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

120 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 2 章 : SelectIO ロジック リソース

ODDR の VHDL および Verilog テンプレート

『ラ イブラ リ ガイ ド』 には、 VHDL および Verilog を使用した ODDR モジュールのインスタンシ

エーシ ョ ン テンプレートがあ り ます。

OLOGIC のタイ ミング モデル

このセクシ ョ ンでは、 OLOGIC ブロ ッ クに関連するすべてのタイ ミ ングについて説明します。

表 2-17 に、『Virtex-6 FPGA データシート 』 に記載されている 「OLOGIC のスイ ッチ特性」 の機能

および制御信号を示します。

タイ ミング特性

図 2-23 に、 OLOGIC 出力レジスタのタイ ミ ングを示します。

表 2-17 : OLOGIC のスイッチ特性

シンボル 説明

セッ トアップ/ホールド

TODCK/TOCKD CLK に対する D1/D2 ピンのセッ ト アップ/ホールド

TOOCECK/TOCKOCE CLK に対する OCE ピンのセッ ト アップ/ホールド

TOSRCK/TOCKSR CLK に対する SR ピンのセッ ト アップ/ホールド

TOTCK/TOCKT CLK に対する T1/T2 ピンのセッ ト アップ/ホールド

TOTCECK/TOCKTCE CLK に対する TCE ピンのセッ ト アップ/ホールド

クロックから出力

TOCKQ CLK から OQ/TQ 出力まで

TRQ SR ピンから OQ/TQ 出力まで

X-Ref Target - Figure 2-23

図 2-23 : OLOGIC 出力レジスタのタイ ミング特性

1 2 3 4 5

CLK

D1

OCE

SR

OQ

TOCKQ

TODCK

TOOCECK

TOSRCK

ug361_c2_23_09

Page 121: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 121UG361 (v1.2) 2010 年 1 月 18 日

OLOGIC リソース

クロック イベン ト 1

• ク ロ ッ ク イベン ト 1 よ り TOOCECK 時間前に、出力クロ ッ ク イネーブル信号が出力レジスタの

OCE 入力で High (有効) になり、 出力レジスタでデータ受信が可能になり ます。

• クロ ッ ク イベン ト 1 よ り TODCK 時間前に、 出力レジスタの D1 入力で出力信号が High (有効)になり、 クロ ッ ク イベン ト 1 よ り TOCKQ 時間後に Q 出力に反映されます。

クロック イベン ト 4

ク ロ ッ ク イベン ト 4 よ り TOSRCK 時間前に、 SR 信号 (この場合同期リセッ ト と してコンフ ィギュ

レーシ ョ ン) が High (有効) になり、出力レジスタを リセッ ト します。ク ロ ッ ク イベン ト 4 よ り TRQ時間後に Q 出力に反映されます。

図 2-24 に、 OLOGIC ODDR レジスタのタイ ミ ングを示します。

クロック イベン ト 1

• ク ロ ッ ク イベン ト 1 よ り TOOCECK 時間前に、 ODDR レジスタの OCE 入力で ODDR ク ロ ッ

ク イネーブル信号が High (有効) になり、 データ送信が可能になり ます。 ODDR レジスタの

OCE 信号が CLK の立ち上がりエッジと立ち下がりエッジを ト グルする場合は注意が必要で

す。 また、両ク ロ ッ ク エッジに対するレジスタ セッ ト アップ タイムを満たす必要もあ り ます。

• ク ロ ッ ク イベン ト 1 (CLK の立ち上がりエッジ) よ り TODCK 時間前に、ODDR レジスタの D1入力でデータ信号が High (有効) になり、ク ロ ッ ク イベン ト 1 よ り TOCKQ 時間後に、OQ 出力

に反映されます。

クロック イベン ト 2

• ク ロ ッ ク イベン ト 2 (CLK の立ち下がりエッジ) よ り TODCK 時間前に、ODDR レジスタの D2入力でデータ信号 D2 が High (有効) になり、 ク ロ ッ ク イベン ト 2 よ り TOCKQ 時間後に、OQ出力に反映されます (この場合、 OQ 出力は変更しない)。

X-Ref Target - Figure 2-24

図 2-24 : OLOGIC ODDR レジスタのタイ ミング特性

1 2 3 4 5 6 7 8 9 10 11

TODCK

TOOCECK

TODCK

TOSRCK

TRQ

CLK

D1

D2

OCE

SR

OQTOCKQ

ug361_c2_24_022309

Page 122: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

122 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 2 章 : SelectIO ロジック リソース

クロック イベン ト 9

ク ロ ッ ク イベン ト 9 (CLK の立ち上がりエッジ) よ り TOSRCK 時間前に、 SR 信号 (この場合、同期

リセッ ト と してコンフ ィギュレーシ ョ ン) が High (有効) になり、 ODDR レジスタを リセッ ト しま

す。 ク ロ ッ ク イベン ト 9 よ り TRQ 時間後に、 OQ 出力に反映され (この場合、 OQ 出力は変化しな

い)、 ODDR レジスタを リセッ ト します。 ク ロ ッ ク イベン ト 10 よ り TRQ 時間後に、 OQ 出力に反

映されます (この場合、 OQ 出力は変化しない)。

図 2-25 に、 OLOGIC ト ラ イステート レジスタのタイ ミ ングを示します。

クロック イベン ト 1

• ク ロ ッ ク イベン ト 1 よ り TOTCECK 時間前に、 ト ラ イステート レジスタの TCE 入力でト ライ

ステート ク ロ ッ ク イネーブル信号が High (有効) になり、ト ラ イステート レジスタへのデータ

送信が可能になり ます。

• ク ロ ッ ク イベン ト 1 よ り TOTCK 時間前に、ト ラ イステート レジスタの T 入力でト ライステー

ト信号が High (有効) になり、ク ロ ッ ク イベン ト 1 よ り TOCKQ 時間前に、パッ ドが再びハイ インピーダンスになり ます。

クロック イベン ト 2

• ク ロ ッ ク イベン ト 2 よ り TOSRCK 時間前に、 SR 信号 (この場合同期リセッ ト と してコンフ ィ

ギュレーシ ョ ン) が High (有効) になり、ク ロ ッ ク イベン ト 2 よ り TRQ 時間後に、ト ラ イステー

ト レジスタがリセッ ト されます。

図 2-26 に IOB DDR ト ライステート レジスタ タイ ミ ングを示します。 これは、 OPPOSITE_EDGEモードの DDR を使用した例です。その他のモードの場合は、92 ページの図 2-4 に示す適切なレイテ

ンシを追加してください。

X-Ref Target - Figure 2-25

図 2-25 : OLOGIC ト ライステート レジスタのタイ ミング特性

1 2 3 4 5

CLK

T1

TCE

SR

TQ

TOCKQ TRQ

TOTCK

TOTCECK

TOSRCK

UG361_c2_25_022309

Page 123: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 123UG361 (v1.2) 2010 年 1 月 18 日

OLOGIC リソース

クロック イベン ト 1

• ク ロ ッ ク イベン ト 1 よ り TOTCECK 時間前に、 ト ラ イステート ODDR レジスタの TCE 入力で

ト ライステート ク ロ ッ ク イネーブル信号が High (有効) になり、ト ラ イステート レジスタへの

データ送信が可能になり ます。 ODDR レジスタの TCE 信号が CLK の立ち上がりエッジと立

ち下がりエッジを ト グルする場合は注意が必要です。 また、両クロ ッ ク エッジに対するレジス

タ セッ ト アップ タイムを満たす必要もあ り ます。

• ク ロ ッ ク イベン ト 1 (CLK の立ち上がりエッジ) よ り TOTCK 時間前に、 ト ラ イステート レジ

スタの T1 入力でト ライステート信号 T1 入力が High (有効) になり、ク ロ ッ ク イベン ト 1 よ り

TOCKQ 時間後に TQ 出力に反映されます。

クロック イベン ト 2

• ク ロ ッ ク イベン ト 2 (CLK の立ち下がりエッジ) よ り TOTCK 時間前に、 ト ラ イステート レジ

スタの T2 入力でト ライステート信号 T2 が High (有効) になり、 ク ロ ッ ク イベン ト 2 よ り

TOCKQ 時間後に TQ 出力に反映されます (この場合、 TQ 出力は変化しない)。

クロック イベン ト 9

• ク ロ ッ ク イベン ト 9 (CLK の立ち上がりエッジ) よ り TOSRCK 時間前に、 SR 信号 (この場合、

同期リセッ ト と してコンフ ィギュレーシ ョ ン) が High (有効) になり、 ト ラ イステート レジス

タを リセッ ト します。 ク ロ ッ ク イベン ト 9 よ り TRQ 時間後に TQ 出力に反映され (この場合、

TQ 出力は変化しない)、 ト ラ イステート レジスタを リセッ ト します。 ク ロ ッ ク イベン ト 10 より TRQ 時間後に TQ 出力に反映されます (この場合、 TQ 出力は変化しない)。

X-Ref Target - Figure 2-26

図 2-26 : OLOGIC ODDR ト ライステート レジスタのタイ ミング特性

1 2 3 4 5 6 7 8 9 10 11

TOTCK

TOTCECK

TOTCK

TOSRCK

TRQ

CLK

T1

T2

TCE

SR

TQTOCKQ

ug361_c2_26_022309

Page 124: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

124 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 2 章 : SelectIO ロジック リソース

Page 125: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 125UG361 (v1.2) 2010 年 1 月 18 日

第 3 章

アドバンス SelectIO ロジック リソース

概要

このユーザー ガイ ドの 第 1 章 ~ 第 3 章では、 Virtex-6 FPGA の I/O の機能について説明します。

• 第 1 章では、入力レシーバと出力ド ライバの電気的特性および業界規格との互換性について説

明します。

• 第 2 章では、 SDR または DDR データの送受信専用のレジスタ構造について説明します。

• 本章では、 次の追加リ ソースについて説明します。

♦ 入力 Serial-to-Parallel コンバータ (ISERDES) および出力 Parallel-to-Serial コンバータ

(OSERDES) は、非常に高速な I/O データ レート をサポートするため、内部ロジッ クは

大で I/O の 1/10 の速度で動作できます。

♦ Bitslip サブモジュールは、 ト レーニング パターンを使用してワードの境界を検出し、デー

タ とその境界を一致させます。

入力 Serial-to-Parallel ロジック リソース (ISERDES)Virtex-6 FPGA の ISERDES は、 高速なソース同期アプリ ケーシ ョ ンの実現を容易にするために設

計された、 特定のクロ ッキングと ロジッ ク機能を備えた Serial-to-Parallel コンバータです。

ISERDES を使用するこ とによって、 デシ リ アライザを FPGA ファブリ ッ クで設計する際に、 タイ

ミ ングが一層複雑になるこ とを回避できます。

ISERDES には、 次の機能があ り ます。

• 専用デシ リ アライザ/Serial-to-Parallel コンバータ

ISERDES デシ リ アライザによって、 FPGA ファブリ ッ クでの入力データ周波数と一致させる

必要なく、高速でデータを転送できます。このコンバータは、シングル データ レート (SDR) およびダブル データ レート (DDR) の両方をサポート します。SDR モードでは、Serial-to-Parallelコンバータが 2、 3、 4、 5、 6、 7 または 8 ビッ ト幅のパラレル ワードを作成し、 DDR モードで

は、 4、 6、 8 または 10 ビッ ト幅のパラレル ワードを作成します。

• Bitslip サブモジュール

Bitslip サブモジュールを使用するこ とによって、 FPGA ファブリ ッ クに入るパラレル データ

ス ト リームの順序を並べ替えるこ とができます。 このサブモジュールは、 ト レーニング パター

ンがある ト レーニング ソース同期インターフェイスに使用できます。

• ス ト ローブ ベースのメモ リ インターフェイス専用サポート

ISERDES には、 OCLK 入力ピンを含む専用回路があ り、 ブロ ッ ク内でス ト ローブから FPGAク ロ ッ ク ド メ インへの切り換えを行います。 このため、 パフォーマンスが向上し、 インプ リ メ

ンテーシ ョ ンが簡潔になり ます。

Page 126: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

126 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 3 章 : アドバンス SelectIO ロジック リソース

• ネッ ト ワーキング インターフェイス専用サポート

• DDR3 インターフェイスの専用サポート

• QDR インターフェイスの専用サポート

図 3-1 に、 ISERDES のブロ ッ ク図の主要なコンポーネン ト と機能 (オプシ ョ ンのインバータを含

む) を示します。

X-Ref Target - Figure 3-1

図 3-1 : ISERDES ブロック図

DDLY

OFB

D

Serial to ParallelConverter

BITSLIPModule

CEModule

IOBMUXs

CE1

CE2

DYNCLKSEL Q1 - Q6

O

SHIFTOUT1/2

SHIFTIN1/2

RST

BITSLIPug361_3_01_092909

CLKB

CLK

OCLK

DYNCLKDIVSEL

CLKDIV

Page 127: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 127UG361 (v1.2) 2010 年 1 月 18 日

入力 Serial-to-Parallel ロジック リソース (ISERDES)

ISERDES プリ ミテ ィブ (ISERDESE1)Virtex-6 デバイスの ISERDES プリ ミ ティブは、 ISERDESE1 とな り ます (図 3-2 参照)。

表 3-1 に、 ISERDESE1 プリ ミ ティブのポート を示します。

X-Ref Target - Figure 3-2

図 3-2 : ISERDESE1 プリ ミテ ィブ

BITSLIP

CE1

CE2

CLK

CLKB

OCLK

CLKDIV

DYNCLKSEL

DYNCLKDIVSEL

SHIFTIN1

SHIFTIN2

RST

D

DDLY

OFB

SHIFTOUT1

SHIFTOUT2

O

Q5

Q6

Q3

Q4

Q1

Q2

ug361_c3_02_010610

ISERDESE1Primitive

表 3-1 : ISERDESE1 のポート一覧およびその定義

ポート名 タイプ 幅 説明

Q1 – Q6 出力 各 1 レジスタ付き出力。 「レジスタ付き出力 – Q1 ~ Q6」 を参照

O 出力 1 組み合わせ出力。 「組み合わせ出力 – O」 を参照

SHIFTOUT1 出力 1 データ幅拡張用のキャ リー出力。 スレーブ IOB の SHIFTIN1 に接続。

「ISERDES のビッ ト幅の拡張」 を参照

SHIFTOUT2 出力 1 データ幅拡張用のキャ リー出力。 スレーブ IOB の SHIFTIN2 に接続。

「ISERDES のビッ ト幅の拡張」 を参照

D 入力 1 IOB からのシ リ アル入力データ。 「IOB からのシ リアル入力データ - D」 を参照

DDLY 入力 1 IODELAY からのシ リ アル入力データ。「IODELAYE1 からのシ リ アル入力デー

タ- DDLY」 を参照

CLK 入力 1 高速クロ ッ ク入力。 シ リ アル入力データ ス ト リームをクロ ッ ク。

「高速クロ ッ ク入力 - CLK」 を参照

Page 128: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

128 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 3 章 : アドバンス SelectIO ロジック リソース

ISERDESE1 ポート

レジスタ付き出力 – Q1 ~ Q6出力ポート Q1 から Q6 は、 ISERDESE1 モジュールのレジスタ付き出力です。1 つの ISERDESE1ブロ ッ クは 大 6 ビッ ト までサポート可能 (例、1:6 デシ リ アル化) です。 また、6 よ り大きい ( 大

10) ビッ ト幅のサポート も可能です (詳細は 「ISERDES のビッ ト幅の拡張」 を参照)。受信される

初のデータ ビッ トは、 出力 Q の 上位に現れます。

図 3-3 に示すよ うに、 OSERDES の入力のビッ ト順序は、 ISERDESE1 ブロ ッ クの出力のビッ ト順

序と逆になり ます。たとえば、FEDCBA の 下位ビッ ト A が OSERDESE1 の D1 入力に配置され、

同じビッ ト A が ISERDESE1 ブロ ッ クの Q6 から出力されます。つま り、D1 は OSERDESE1 の下位入力、Q6 は ISERDESE1 の 下位出力になり ます。ビッ ト幅の拡張が実行される と、マスタの

OSERDESE1 の D1 が 下位入力に、スレーブ ISERDESE1 ブロッ クの Q4 が 下位出力になり ます。

CLKB 入力 1 MEMORY_QDR モード用のセカンダ リ高速クロ ッ ク入力。 MEMORY_QDR モードでない限り、常に反転 CLK へ接続する。「MEMORY_QDR インターフェ

イス タイプ」 を参照

CE1、 CE2 入力 各 1 ク ロ ッ ク イネーブル入力。「ク ロ ッ ク イネーブル入力 - CE1 および CE2」を参照

RST 入力 1 アクティブ High のリセッ ト。 「 リ セッ ト入力- RST」 を参照

CLKDIV 入力 1 分周クロ ッ ク入力。遅延エレ メン ト、デシ リ アライズされたデータ、Bitslip サブ

モジュールおよび CE ユニッ トへのクロ ッ ク入力。

「分周クロ ッ ク入力 - CLKDIV」 を参照

OCLK 入力 1 メモ リ アプリ ケーシ ョ ン用の高速クロ ッ ク入力。 「ス ト ローブ ベースのメモ リ

インターフェイス用の高速クロ ッ ク - OCLK」 を参照

BITSLIP 入力 1 Bitslip 処理を開始。 「Bitslip 処理 - BITSLIP」 を参照

SHIFTIN1 入力 1 データ幅拡張用のキャ リー入力。 マスタ IOB の SHIFTOUT1 に接続。

「ISERDES のビッ ト幅の拡張」 を参照

SHIFTIN2 入力 1 データ幅拡張用のキャ リー入力。 マスタ IOB の SHIFTOUT2 に接続。

「ISERDES のビッ ト幅の拡張」 を参照

OFB 入力 1 OLOGIC/OSERDES 出力からのフ ィードバッ ク。

「OSERDESE1 からの ISERDESE1 フ ィードバッ ク」 を参照

DYNCLKDIVSEL 入力 1 CLKDIV の反転を動的に選択する。 「動的クロ ッ ク反転」 を参照

DYNCLKSEL 入力 1 CLK および CLKB の反転を動的に選択する。 「動的クロ ッ ク反転」 を参照

表 3-1 : ISERDESE1 のポート一覧およびその定義 (続き)

ポート名 タイプ 幅 説明

Page 129: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 129UG361 (v1.2) 2010 年 1 月 18 日

入力 Serial-to-Parallel ロジック リソース (ISERDES)

組み合わせ出力 – O組み合わせ出力ポート (O) は、 ISERDESE1 モジュールのレジスタなし出力です。この出力は、デー

タ入力 (D) から直接接続、 または IODELAYE1 を介すデータ入力 (DDLY) から接続されます。

Bitslip 処理 - BITSLIP BITSLIP ピンがアサート される と (アクティブ High)、CLKDIV に同期して Bitslip 処理が実行され

ます。 その結果、 Bitslip が実行されるごとに、 Q1 ~ Q6 出力ポートに現れるデータが、 バレル シフタのよ うに 1 つシフ ト します (DDR と SDR では動作が異なり ます)。詳細は、 「BITSLIP サブモ

ジュール」 を参照して ください。

クロック イネーブル入力 - CE1 および CE2 入力クロ ッ ク イネーブル モジュールは、 各 ISERDESE1 ブロッ クに 1 つあ り ます (図 3-4 参照)。

X-Ref Target - Figure 3-3

図 3-3 : ISERDESE1 ポートの Q1–Q6 出力のビッ ト順序

F EQ D

OSERDESE1 ISERDESE1Data Bits

D1D C B A

A

D2B

D3C

D4D

D5E

D6

Q1

Q2

Q3

Q4

Q5

Q6F

F

E

D

C

B

A

CLKDIV_TX CLK_TX CLK_RX CLKDIV_RX

UG361_c3_03_022309

X-Ref Target - Figure 3-4

図 3-4 : 入力クロック イネーブル モジュール

D

ARCE1R

ICE

NUM_CE ICECLKDIV

1 CE1X

2 CE2R0

2 CE1R1

(To ISERDES Input Registers)CE1 Q

RST

CLKDIV

D

ARCE2R

CE2 Q

RST

CLKDIV

UG361_c3_04_022309

Page 130: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

130 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 3 章 : アドバンス SelectIO ロジック リソース

NUM_CE = 1 の場合、 CE2 入力は使用されず、 CE1 入力は、 ISERDESE1 の入力レジスタに直接

接続されるアクティブ High のクロ ッ ク イネーブルになり ます。NUM_CE = 2 の場合、CE1 と CE2入力の両方が使用され、CE1 が CLKDIV サイクルの 1/2 の間 ISERDESE1 をイネーブルにし、CE2が残りの 1/2 サイクルの間 ISERDESE1 をイネーブルにします。図 3-4 に示すよ うに、内部クロ ッ

ク イネーブル信号の ICE が CE1 および CE2 入力から派生 します。 133 ページの図 3-5 に示すよ

うに、 ICE は FF0、 FF1、 FF2、 FF3 レジスタのクロ ッ ク イネーブル入力を駆動します。 残りのレ

ジスタには、 133 ページの図 3-5 に示すよ うにクロ ッ ク入力があ り ません。

ク ロ ッ ク イネーブル モジュールは CLKDIV でクロ ッ ク入力される 2:1 Serial-to-Parallel コンバー

タ と して機能します。ISERDESE1 を DDR モードの 1:4 デシ リ アル化回路と して構成する場合、特

に、双方向メモリ インターフェイスではこのクロ ッ ク イネーブル モジュールが必要とな り ます。属

性 NUM_CE = 2 に設定する と、 ク ロ ッ ク イネーブル モジュールが有効になり、 CE1 および CE2ポートが共に使用可能になり ます。 NUM_CE = 1 の場合、 CE1 のみ使用でき、 通常のクロ ッ ク イネーブルと して機能します。

高速クロック入力 - CLK入力シ リ アル データ ス ト リームでは、 高速クロ ッ ク入力 (CLK) がクロ ッ ク と して使用されます。

高速クロック入力 - CLKB入力シ リ アル データ ス ト リームでは、 セカンダ リ高速クロ ッ ク入力 (CLKB) がクロ ッ ク と して使

用されます。 MEMORY_QDR モード以外のモードの場合は、 CLKB を CLK の反転バージ ョ ンへ

接続します。 MEMORY_QDR モードの場合は、 CLKB を位相シフ ト したクロ ッ クへ接続する必要

があ り ます。 詳細は、 「ISERDESE1 のクロ ッキング手法」 を参照してください。

分周クロック入力 - CLKDIV通常、分周クロ ッ ク入力 (CLKDIV) は CLK の分周バージ ョ ンであ り、周波数はデシ リ アル化の幅

によって異なり ます。 これは、 Serial-to-Parallel コンバータの出力、 Bitslip サブモジュール、 およ

び CE モジュールを駆動します。

IOB からのシリアル入力データ - D シ リ アル入力データ ポート (D) は、 ISERDESE1 のシ リ アル (高速) データ入力ポートです。 この

ポートは、Virtex-6 FPGA の IOB にのみ接続されます。詳細は、「ISERDESE1 の D および DDLYの使用」 を参照して ください。

IODELAYE1 からのシリアル入力データ - DDLYシ リ アル入力データ ポート (DDLY) は、ISERDESE1 のシ リ アル (高速) データ入力ポートです。こ

のポートは、 Virtex-6 FPGA の IODELAY にのみ接続されます。 詳細は、 「ISERDESE1 の D およ

び DDLY の使用」 を参照してください。

OSERDESE1 からのシリアル入力データ - OFBシ リ アル入力データ ポート (OFB) は、 ISERDESE1 のシ リ アル (高速) データ入力ポートです。

このポートは、 Virtex-6 FPGA OSERDESE1 の OFB ポートにのみ接続されます。

詳細は、 「OSERDESE1 からの ISERDESE1 フ ィードバッ ク」 を参照してください。

Page 131: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 131UG361 (v1.2) 2010 年 1 月 18 日

入力 Serial-to-Parallel ロジック リソース (ISERDES)

スト ローブ ベースのメモリ インターフェイス用の高速クロック - OCLK ス ト ローブ ベースのメモ リ インターフェイスでは、 OCLK ク ロ ッ ク入力がデータ転送を同期しま

す。 OCLK は、 INTERFACE_TYPE が MEMORY に設定されている場合にのみ使用されます。

OCLK ク ロ ッ ク入力は、 ス ト ローブ ベースのメモ リ データをフ リーランニングのクロ ッ ク ド メ イ

ンに送信するために使用されます。OCLK は、CLK 入力のス ト ローブと同じ周波数のフ リーランニ

ング FPGA ク ロ ッ クです。図 3-5 に、 CLK から OCLK へのド メ イン送信を示しています。 ド メ イ

ン送信のタイ ミ ングは、ユーザーが IDELAY などを使用して CLK 入力へのス ト ローブ信号の遅延

を調整します。 ド メ イン送信のタイ ミ ング設定の例では、 MIG ツールを使用しています。

INTERFACE_TYPE が NETWORKING の場合、 このポートは使用されません。

リセッ ト入力- RSTリセッ ト入力によって、CLK および CLKDIV ド メ インのすべてのデータ フ リ ップフロ ップの出力

が非同期に Low 駆動します。 タイ ミ ングがク リ ティカルな CLK ド メ インで実行される ISERDESE1 回路では、 内部の専用回路を使用して RST 入力を リ タイ ミ ングし、 CLKDIV ド メ イ

ンと同期のリセッ ト信号を生成します。 ISERDESE1 は、CLKDIV ド メ インで非同期にリセッ ト さ

れますがリセッ ト解除は同期動作します。 このため、 同期リセッ ト と して扱われるため、 小のパ

ルス (CLKDIV の 1 サイ クル分) を要します。

複数の ISERDESE1 ポート を含むインターフェイスを構築する場合、 そのインターフェイスのすべ

ての ISERDESE1 ポートが同期する必要があり ます。 RST 入力の内部リ タイ ミ ングは、 同じ リセッ

ト パルスを受信する ISERDESE1 ブロッ クすべてが同時にリセッ トから解除されるよ うに設計され

ています。 140 ページの図 3-11 に、 複数の ISERDESE1 ポートのリセッ ト タイ ミ ングを示します。

ISERDESE1 属性

表 3-2 に、適用可能なすべての ISERDESE1 属性および各属性の説明を示します。 これらの属性を

UCF、VHDL、 または Verilog コードに適用する場合の詳細は、『ISE ソフ ト ウェア マニュアル』 を

参照して ください。

表 3-2 : ISERDESE1 の属性

属性名 説明 値デフォルト

DATA_RATE 入力されるデータ ス ト リーム レート を SDRまたは DDR 処理のいずれかに指定。

「DATA_RATE 属性」 を参照

文字列 : SDR、 DDR DDR

DATA_WIDTH Serial-to-Parallel コンバータの幅を指定。 適

切な値は DATA_RATE 属性で設定し た値

(SDR または DDR) によって異なる。

「DATA_WIDTH 属性」 を参照

整数 : 2、 3、 4、 5、 6、 7、 8、 10DATA_RATE = DDR の場合、

4、 6、 8、 10DATA_RATE = SDR の場合、

2、 3、 4、 5、 6、 7、 8

4

DYN_CLKDIV_INV_EN TRUE の場合、 DYNCLKDIVINVSEL での

反転を有効にして、CLKDIV での HDL 反転

を無効にする。 「動的クロ ッ ク反転」 を参照

論理値 : TRUE、 FALSE

FALSE

DYN_CLK_INV_EN TRUE の場合、 DYNCLKINVSEL での反転

を有効にして、 CLK ピンおよび CLKB ピン

の HDL での反転を無効にする。「動的クロ ッ

ク反転」 を参照

論理値 : TRUE、 FALSE

FALSE

Page 132: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

132 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 3 章 : アドバンス SelectIO ロジック リソース

DATA_RATE 属性

DATA_RATE 属性は、 入力データ ス ト リームがシングル データ レート (SDR) であるか、 ダブル

データ レート (DDR) であるかを指定します。有効な設定値は SDR および DDR であ り、デフォル

トは DDR です。

DATA_WIDTH 属性

DATA_WIDTH 属性は、Serial-to-Parallel コンバータのパラレル データ出力幅を指定します。有効

な値は INTERFACE_TYPE および DATA_RATE 属性で決定されます。表 3-3 に、サポート される

データ幅を示します。

DATA_WIDTH を 6 よ り大きい値に設定した場合は、 2 つの ISERDESE1 をマスタ とスレーブと し

て構成する必要があり ます。詳細は、「ISERDES のビッ ト幅の拡張」 を参照してください。MEMORYモードの場合は、 ビッ ト幅を拡張できません。

INTERFACE_TYPE 属性

INTERFACE_TYPE 属性は、 ISERDESE1 を メモ リ モードまたはネッ ト ワーク モードのいずれに

するかを指定します。 有効な設定値は MEMORY、 MEMORY_DDR3、 MEMORY_QDR、 または

NETWORKING であ り、 デフォルトのモードは MEMORY です。

図 3-5 に、 MEMORY モードの ISERDESE1 の内部接続を示します。

INTERFACE_TYPE ISERDESE1 を使用するモードを選択する。

「INTERFACE_TYPE 属性」 を参照

文字列 : MEMORY、 MEMORY_DDR3、MEMORY_QDR、 または

NETWORKING

MEMORY

NUM_CE ク ロ ッ ク イネーブル数を定義する。

「NUM_CE 属性」 を参照

整数 : 1 または 2 2

OFB_USED OSERDES OFB ピンから ISERDES OFB ピンへのパスを有効にする。 D 入力ピンを無効

にする

論理値 : TRUE、 FALSE

FALSE

SERDES_MODE データ幅を拡張する場合に、 ISERDESE1 モジュールをマスタにするかスレーブにするか

を指定する。

「SERDES_MODE 属性」 を参照

文字列 : MASTER または SLAVE MASTER

表 3-2 : ISERDESE1 の属性 (続き)

属性名 説明 値デフォルト

表 3-3 : サポート されるデータ幅

INTERFACE_TYPE DATA_RATE サポート されるデータ幅

NETWORKINGSDR 2、 3、 4、 5、 6、 7、 8

DDR 4、 6、 8、 10

MEMORYMEMORY_DDR3MEMORY_QDR

SDR なし

DDR 4

Page 133: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 133UG361 (v1.2) 2010 年 1 月 18 日

入力 Serial-to-Parallel ロジック リソース (ISERDES)

NUM_CE 属性

NUM_CE 属性は、使用するクロ ッ ク イネーブル (CE1 および CE2) 数を指定します。設定可能な値

は 1 および 2 であ り、 デフォルトでは 2 です。

SERDES_MODE 属性

SERDES_MODE 属性は、データ幅を拡張する際に、ISERDESE1 モジュールにマスタまたはスレー

ブのいずれかを指定します。 有効な設定値は MASTER および SLAVE で、 デフォルト値は

MASTER です。 詳細は、 「ISERDES のビッ ト幅の拡張」 を参照してください。

ISERDESE1 のクロッキング手法

NETWORKING インターフェイス タイプ

シ リ アルからパラレルへの変換プロセスでは、 CLK と CLKDIV の位相関係が重要です。 CLK とCLKDIV の位相は許容範囲内で揃います (理想)。FPGA には、CLK と CLKDIV の位相関係の要件

を満たすためのクロ ッ ク配置方法が複数あ り ます。

CLK 入力と CLKDIV 入力の位相は一致する必要があ り ます。 たとえば、 図 3-6 の CLK 入力と

CLKDIV 入力がユーザーによって ISERDES 入力で反転される と、 ク ロ ッ クの配置が有効な BUFIO/BUFR コンフ ィギュレーシ ョ ンであったと しても、 ク ロ ッ クは位相不一致になってしまい

ます。また、このインターフェイスは、DYNCLKINVSEL および DYNCLKDIVINVSEL の使用を

無効にします。

X-Ref Target - Figure 3-5

図 3-5 : MEMORY モードの ISERDESE1 の内部接続

Q1D

CLK

ICE

ICE

ICE

ICE

OCLK

CLKDIV

Q2

Q3

Q4

ug361_c3_05_022309

FF0 FF2 FF6

FF1 FF3 FF7

FF4 FF8

FF5 FF9

Page 134: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

134 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 3 章 : アドバンス SelectIO ロジック リソース

ネッ ト ワーキング インターフェイス タイプを使用する ISERDESE1 ブロ ッ クで有効なクロ ッ ク配

置は次のとおりです。

• CLK は BUFIO で、 CLKDIV は BUFR で駆動

• CLK は MMCM で、 CLKDIV は同じ MMCM の CLKOUT[0:6] で駆動

MMCM を使用して ISERDESE1 の CLK および CLKDIV を駆動する場合、 ISERDESE1 へ供給す

るバッファ タイプを混合使用できません。 たとえば、 CLK が BUFG で駆動されている場合は、

CLKDIV も BUFG で駆動される必要があ り ます。

MEMORY インターフェイス タイプ

メモ リ インターフェイス タイプを使用する ISERDESE1 ブロ ッ クで有効なクロ ッ ク配置は次のと

おりです。

• CLK は BUFIO または BUFG で駆動

• OCLK は MMCM で、 CLKDIV は同じ MMCM の CLKOUT[0:6] で駆動

図 3-6 に、 BUFIO および BUFR を使用したクロ ッ ク配置を示します。 CLK および CLKDIV 入力

の位相は一致する必要があ り ます。たとえば、図 3-6 の CLK および CLKDIV がユーザーによって

ISERDES 入力で反転される と、 ク ロ ッ ク配置が有効な BUFIO/BUFR コンフ ィギュレーシ ョ ンで

あったと しても、 ク ロ ッ クは位相不一致になってしまいます。 CLK および OCLK 間には、 位相関

係はあ り ません。CLK から OCLK ド メ インへの安定したデータ送信を行うには、キャ リブレーシ ョ

ンを実行する必要があ り ます。CLK と OCLK 間のデータ送信の詳細については、「ス ト ローブ ベー

スのメモ リ インターフェイス用の高速クロ ッ ク - OCLK」 を参照してください。

MEMORY_QDR インターフェイス タイプ

MEMORY_QDR インターフェイス タイプを使用する ISERDESE1 ブロ ッ クで有効なクロ ッ ク配

置は次のとおりです。

• CLK は BUFIO で駆動

• CLKB は、 CLK と同じ領域にある BUFIO で駆動

• CLKDIV は、 CLK と同じ ソースを使用する BUFR で駆動

図 3-7 に、MEMORY_QDR モードの ISERDESE1 ブロ ッ クを使用する場合の有効なクロ ッキング

手法を示します。QDR メモ リは、ク ロ ッ ク (CQ) およびクロ ッ ク バー (CQ#) をデータ (Q0) と共に

転送します。この位相関係を保持するため、専用の BUFIO リ ソースを使用する必要があ り ます。こ

のクロ ッキング手法の例では、 MIG ツールを使用しています。

X-Ref Target - Figure 3-6

図 3-6 : BUFIO および BUFR を使用したクロック配置

BUFIO

ClockInput

ug361_c3_06_022309

BUFR (÷X)

ISERDESE1

CLK

CLKDIV

Page 135: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 135UG361 (v1.2) 2010 年 1 月 18 日

入力 Serial-to-Parallel ロジック リソース (ISERDES)

MEMORY_DDR3 インターフェイス タイプ

MEMORY_DDR3 モードの場合、 DDR3 メモリ要件があるため、 複雑なクロッキング構造となり ま

す。 INTERFACE_TYPE 属性は、 MIG ツールを使用する場合のみ使用できます。 MEMORY_DDR3インターフェイス タイプを使用する ISERDESE1 ブロッ クで有効なクロッ ク配置は次のとおりです。

• CLK は BUFIO または BUFG MMCM の組み合わせで駆動

• CLK は MMCM で、 CLKDIV は同じ MMCM の CLKOUT[0:6] で駆動

X-Ref Target - Figure 3-7

図 3-7 : MEMORY_QDR モードの ISERDESE1 ブロックのクロッキング手法

BUFIO

IODELAY

IBUF

BUFR

CQ IOB

Data IOB

1/2

CQ

Q0iserdes_data

clk_cq

BUFIOclk_cq

clk_rd

CLK

D

CLKDIV

Q1-Q4

CLKB

IODELAYISERDES

(MEMORY_QDRMode)

IBUF

CQ# IOB

CQ# IODELAY

IBUF

ug361_c3_07_022309

Page 136: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

136 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 3 章 : アドバンス SelectIO ロジック リソース

ISERDES のビッ ト幅の拡張

2 つの ISERDES モジュールを使用する と、1:6 よ り大きな Serial-to-Parallel コンバータを作成でき

ます。すべての I/O タイルには、2 つの ISERDES モジュールがあ り、 一方がマスタ、 も う一方がス

レーブです。マスタ ISERDES の SHIFTOUT ポート をスレーブ ISERDES の SHIFTIN ポートに接

続するこ とによって、Serial-to-Parallel コンバータを 大で 1:10 (DDR) または 1:8 (SDR) まで拡張

できます。

図 3-8 に、 マスタおよびスレーブ ISERDES モジュールを使用した 1:10 DDR Serial-to-Parallel コンバータのブロ ッ ク図を示します。スレーブ ISERDES 上にあるポート Q3 から Q6 は、パラレル インターフェイスの 後の 4 ビッ トに使用されます。

差動入力の場合、 マスタ ISERDES がその差動入力ペアの正の側にある必要があ り ます。 入力が差

動入力ではない場合、 スレーブ ISERDES に接続された入力バッファは使用できません。

Serial-to-Parallel コンバータ ビッ ト幅拡張のガイド ライン

1. 2 つの ISERDES は隣接したマスタおよびスレーブ ペアである必要があ り ます。 ビッ ト幅の拡

張は MEMORY モードでは使用できないので、 ISERDES モジュールは両方と も

NETWORKING モードにする必要があ り ます。

2. マスタ ISERDES の SERDES_MODE 属性を MASTER に、 スレーブ ISERDES の SERDES_MODE 属性を SLAVE に設定します。

3. スレーブの SHIFTIN ポート をマスタの SHIFTOUT ポートに接続します。

4. スレーブは、 出力と してポート Q3 ~ Q6 のみを使用します。

5. 図 3-8 では DATA_WIDTH をマスタおよびスレーブの両方に適用します。

X-Ref Target - Figure 3-8

図 3-8 : 幅を拡張した ISERDES のブロック図

Q1D

Data Input

Q2Q3Q4

ISERDES(Slave)

SERDES_MODE=SLAVE

Q5Q6

Q1DQ2Q3Q4

ISERDES(Master)

SERDES_MODE=MASTER

Q5Q6

SHIFTOUT1 SHIFTOUT2

SHIFTIN1 SHIFTIN2

Data_internal [0:5]

Data_internal [6:9]

ug361_c3_08_022309

Page 137: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 137UG361 (v1.2) 2010 年 1 月 18 日

入力 Serial-to-Parallel ロジック リソース (ISERDES)

ISERDES レイテンシ

ISERDES インターフェイス タイプが MEMORY の場合、 OCLK ステージを介したレイテンシは

CLKDIV で 1 ク ロ ッ ク サイ クル分になり ますが、ISERDES を介したレイテンシの合計は、CLK および OCLK ク ロ ッ ク入力間の位相関係によって異なり ます。ISERDES インターフェイス タイプが NETWORKING の場合、レイテンシは CLKDIV で 2 ク ロ ッ ク サイクル分になり ます。143 ページ

の図 3-14 および 144 ページの図 3-15 は、ネッ ト ワーキング モードのレイテンシを表した図を示し

ています。 メモ リ モードに比べて、ネッ ト ワーキング モードで余分にレイテンシの CLKDIV サイ

クルがかかるのは、 Bitslip サブモジュールがあるからです。

MEMORY_QDR および MEMORY_DDR3 のレイテンシは、 CLKDIV で 2 クロ ッ ク サイクルです。

動的クロック反転

動的クロ ッ ク反転ピン (DYNCLKSEL および DYNCLKDIVSEL) を DYN_CLK_SEL_EN および

DYN_CLKDIV_SEL_EN と共に使用する と、ユーザーが各クロ ッ ク ソースの極性を動的に切り替

えるこ とが可能になり ます。この動作は、ISERDESE1 へ入力されるクロ ッ クを非同期反転させるた

め、 ISERDESE1 がリセッ ト されるまで不正データが生成される可能性があ り ます。 この動作は、

MEMORY_QDR および MEMORY_DDR3 モードでのみサポート されています。

OSERDESE1 からの ISERDESE1 フ ィードバック

ISERDESE1 および OSERDESE1 の OFB ポート を使用し、 OSERDESE1 に送信されたデータを

ISERDESE1 へフィードバッ クするこ とが可能です (図 3-9 参照)。この機能は、OFB_USED = TRUEの場合のみ有効です。 正しいフ ィードバッ ク送信を行うため、 OSERDESE1 および ISERDESE1 では DATA_RATE および DATA_WIDTH の設定を同一にする必要があり ます。 ビッ ト幅拡張モード

で ISERDESE1 お よ び OSERDESE1 を 使用 し てい る 場合は、 マ ス タ OSERDESE1 を マ ス タ

ISERDESE1 へ接続してください。

ISERDESE1 をフ ィードバッ ク ポート と して使用する場合、 このポートは外部データの入力用に使

用できません。

Page 138: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

138 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 3 章 : アドバンス SelectIO ロジック リソース

ISERDESE1 の D および DDLY の使用

D ピンおよび DDLY ピンは、 ISERDESE1 の専用入力です。D ピンは IOB へ直接接続し、DDLY ピンは IODELAYE1 へ直接接続します。これによって、ユーザーはレジスタ出力 (Q1-Q6) または組み

合わせ出力 (O) へ遅延あ りバージ ョ ンと遅延なしバージ ョ ンのいずれかの入力を提供できます。

IOBDELAY 属性の値が、出力へ適用される入力を決定します。表 3-4 に、 D と DDLY が両方接続

される場合の IOBDELAY 値の設定を示します。

X-Ref Target - Figure 3-9

図 3-9 : OFB ポートを使用する ISERDESE1 と OSERDESE1 の接続

ISERDESE1

OSERDESE1

OFB

OFB

OQ

ug361_c3_09_022309

表 3-4 : IOBDELAY 属性の値

IOBDELAY 値 組み合わせ出力 (O) レジスタ付き出力 (Q1-Q6)

NONE D D

IBUF DDLY D

IFD D DDLY

BOTH DDLY DDLY

メモ : 1. ISERDESE1 の D ピンと DDLY ピンが両方と も接続される場合を示しています。

Page 139: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 139UG361 (v1.2) 2010 年 1 月 18 日

入力 Serial-to-Parallel ロジック リソース (ISERDES)

ISERDES タイ ミング モデルおよびパラ メータ

表 3-5 では、『Virtex-6 FPGA データ シート 』 に記載されている ISERDES のスイ ッチング特性の機

能および制御信号について説明します。

タイ ミング特性

図 3-10 に示すタイ ミ ング図では、 モード (SDR/DDR) によってタイ ミ ング パラ メータ名が異なり

ます。ただし、これらのパラ メータ名は 2 つの ISERDES をカスケード接続して 10 ビッ ト幅に拡張

する場合を含み、バス入力幅が異なるこ とによっては変更されません。DDR モードの場合、データ

入力 (D) はすべての CLK エッジ (立ち上がりおよび立ち下がり ) でスイ ッチします。

表 3-5 : ISERDES のスイッチ特性

シンボル 説明

制御ラインのセッ トアップ/ホールド

TISCCK_BITSLIP/ TISCKC_BITSLIP CLKDIV に対する BITSLIP ピンのセッ ト アップ/ホールド

TISCCK_CE /TISCKC_CE CLK に対する CE ピン (CE1) のセッ ト アップ/ホールド

TISCCK_CE /TISCKC_CE CLKDIV に対する CE ピン (CE2) のセッ ト アップ/ホールド

データ ラインのセッ トアップ/ホールド

TISDCK_D / TISCKD_D CLK に対する D ピンのセッ ト アップ/ホールド

CLK に対する D ピンのセッ ト アップ/ホールド

CLK に対する D ピンのセッ ト アップ/ホールド

TISDCK_DDR / TISCKD_DDR CLK に対する DDR モードの D ピンのセッ ト アップ/ホールド

CLK に対する DDR モードの D ピンのセッ ト アップ/ホールド

CLK に対する DDR モードの D ピンのセッ ト アップ/ホールド

シーケンシャル遅延

TISCKO_Q CLKDIV から Q ピンで出力されるまでの遅延

X-Ref Target - Figure 3-10

図 3-10 : ISERDES への入力データのタイ ミング図

ug361_c3_10_022309

CLK

CE

TISCCK_CE

TISDCK_D

1 2

D

Page 140: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

140 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 3 章 : アドバンス SelectIO ロジック リソース

クロック イベン ト 1

• ク ロ ッ ク イベン ト 1 よ り TISCCK_CE 時間前に、 クロ ッ ク イネーブル信号が有効 (High) にな

り、 ISERDES でデータをサンプルできる状態になり ます。

クロック イベン ト 2

• ク ロ ッ ク イベン ト 2 よ り TISDCK_D 時間前に、入力データピン (D) が有効になり、入力データ

は次の立ち上がりエッジでサンプルされます。

リセッ ト入力のタイ ミング

クロック イベン ト 1

図 3-11 に示すよ うに、 リセッ ト パルスは CLKDIV の立ち上がりエッジで生成されます。このパル

スは ISERDES0 と ISERDES1 に到達するまでに 2 つの異なるパスを使用し、それぞれのパスの伝

搬遅延は異なり ます。 この伝搬遅延の違いは図に示されています。各 ISERDES のリセッ ト パルス

を受信する CLK サイクルが異なるよ うに、 ISERDES0 のパスは長く、 ISERDES1 へのパスは短く

な り ます。CLK と CLKDIV の内部リセッ トは、RST 入力がアサート される と非同期にリセッ ト さ

れます。

クロック イベン ト 2

リセッ ト パルスは、CLKDIV の立ち上がりエッジでディアサート されます。2 つの ISERDES の伝

搬遅延の違いによ り、 2 つの異なる CLK サイ クルで RST 入力のリセッ トが解除されます。 内部リ

タイ ミ ングがない場合、ISERDES1 は ISERDES0 よ り CLK の 1 サイクル分前にリセッ ト解除され

ます。 2 つの ISERDES は非同期です。

X-Ref Target - Figure 3-11

図 3-11 : リセッ トから同期で発生する 2 つの ISERDES

UG361_c3_11_022309

CLKDIV

ISERDES0Signal at

RST InputISERDES1

ISERDES0

ISERDES1

ISERDES0

ISERDES1

CLK

ClockEvent 1

ClockEvent 2

ClockEvent 3

ClockEvent 4

Internal Reset(CLKDIV)

Internal Reset(CLK)

Page 141: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 141UG361 (v1.2) 2010 年 1 月 18 日

入力 Serial-to-Parallel ロジック リソース (ISERDES)

クロック イベン ト 3

RST 入力のリセッ ト信号解除によ り、 CLKDIV に対して内部でリ タイ ミ ングされます。 これによ

り、 ISERDES0 と ISERDES1 が同期します。

クロック イベン ト 4

RST 入力のリセッ ト信号解除によ り、 CLK に対して内部でリ タイ ミ ングされます。

ISERDESE1 の VHDL および Verilog インスタンシエーシ ョ ン テンプレート

すべてのプリ ミ ティブとサブモジュールの VHDL および Verilog インスタンシエーシ ョ ン テンプ

レートが、 ライブラ リ ガイ ドに記載されています。

VHDL 記述の場合、各テンプレートにコンポーネン ト宣言セクシ ョ ンとアーキテクチャ セクシ ョ ン

があ り ます。

両セクシ ョ ンを VHDL デザイン ファ イルに挿入する必要があ り ます。アーキテクチャ セクシ ョ ン

のポート マップには、 デザインの信号名を含めます。

BITSLIP サブモジュール

Virtex-6 デバイスにあるすべての ISERDES ブロッ クには、Bitslip サブモジュールが含まれます。こ

れは、 ソース同期のネッ ト ワーキング タイプ アプリ ケーシ ョ ンでのワード アライ メン トに使用さ

れます。 Bitslip は、 ISERDES ブロッ ク内でパラレル データを並べ替え、 デシ リ アライザで受信さ

れる繰り返しのシ リ アル パターンすべての組み合わせが FPGA ファブリ ッ クに現れるよ うにしま

す。通常、 この繰り返しのシ リ アル パターンはト レーニング パターンと呼ばれ、多数のネッ ト ワー

キングおよびテレコム規格でサポート されています。

Bitslip の処理

ISERDES ブロ ッ クの Bitslip ピンをアサートするこ とによって、 パラレル サイ ドで入力シ リ アル

データ ス ト リームが並べ替えられます。これは、 ト レーニング パターンが確認されるまで繰り返さ

れます。図 3-12 に、SDR および DDR モードでの Bitslip 処理の結果を示します。こ こで示すのは、

データ幅が 8 の場合です。また、Bitslip の処理は CLKDIV に同期します。SDR モードでは、Bitslipの処理ごとに出力パターンが左に 1 つずつシフ ト します。 一方、 DDR モードでは、 Bitslip の処理

ごとに、右への 1 シフ ト と左への 3 シフ トが交互に行われます。こ こに示す例では、8 回目の Bitslipの処理で出力パターンが 初のパターンに戻り ます。尚、 こ こではシ リ アル データが 8 ビッ トの繰

り返しのパターンであるこ とを前提と しています。

X-Ref Target - Figure 3-12

図 3-12 : Bitslip の処理例

ug361_c3_12_022309

BitslipOperationsExecuted

OutputPattern (8:1)

00100111010011101001110000111001011100101110010011001001

10010011Initial1234567

Bitslip Operation in SDR Mode

BitslipOperationsExecuted

OutputPattern (8:1)

10010011100111000100111001110010001110011100100111100100

00100111Initial1234567

Bitslip Operation in DDR Mode

Page 142: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

142 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 3 章 : アドバンス SelectIO ロジック リソース

図 3-13 に、 Bitslip_ENABLE を TRUE に設定、 1:8 SDR モードで構成された ISERDES を示しま

す。 2 つの ISERDES モジュールがマスタ とスレーブと して構成され、 データ幅は 8 です。

Bitslip サブモジュールを使用する際のガイドライン

Bitslip サブモジュールは、NETWORKING モードの場合のみ有効です。その他のモードではこのモ

ジュールを使用できません。

Bitslip の処理を開始するには、 BITSLIP ポート を CLKDIV で 1 サイクル間 High にアサートする

必要があ り ます。SDR モードの場合、Bitslip を CLKDIV で 2 サイクル間連続してアサートするこ

とはできません。つま り、2 つの Bitslip をアサートする場合は、その間に Bitslip を 低限 CLKDIVで 1 サイクル間ディアサート しなければなり ません。 SDR および DDR モードいずれの場合でも、

アサート された Bitslip 入力を ISERDES がキャプチャしてから、Bitslip が挿入された ISERDES 出力 Q1 ~ Q6 が CLKDIV によってサンプルされるまでの合計レイテンシは CLKDIV の 2 サイクル

分になり ます。

X-Ref Target - Figure 3-13

図 3-13 : Bitslip コンフ ィギュレーシ ョ ンの回路図 (1:8 SDR モード )

Initial1st

Bitslip2nd

Bitslip3th

Bitslip4th

Bitslip5th

Bitslip6th

Bitslip7th

BitslipBITSLIP_ENABLE = TRUE

BITSLIP_ENABLE = TRUE

BITSLIP

BITSLIP

Bitslip signal from system

8th Bitslip(Back to initial)

Q1D

1001 0011

Q2(Q7)Q3(Q8)Q4

ISERDES(Slave)

SERDES_MODE=SLAVE

IOB

Q5Q6

Q1DQ2Q3Q4

ISERDES(Master)

SERDES_MODE=MASTER

Q5Q6

100100

11

110010

01

111001

00

011100

10

001110

01

100111

00

010011

10

001001

11

100100

11

SHIFTOUT1 SHIFTOUT2

SHIFTIN1 SHIFTIN2

ug361_c3_13_022309

(Repeating Pattern)

Page 143: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 143UG361 (v1.2) 2010 年 1 月 18 日

入力 Serial-to-Parallel ロジック リソース (ISERDES)

Bitslip タイ ミ ング モデルおよびパラメータ

このセクシ ョ ンでは、 1:4 DDR コンフ ィギュレーシ ョ ンの Bitslip コン ト ローラに関連するタイ ミ

ング モデルについて説明します。データ (D) は、 4 ビッ トの ト レーニング パターン ABCD を繰り

返します。 ISERDES のパラレル出力 Q1 ~ Q4 に現れる ABCD の配列パターンは 4 つ (ABCD、

BCDA、 CDAB、 DABC) あ り ます。 ユーザーのダウンス ト リーム ロジッ クでは、 これら 4 つの配

列のうち 1 つだけが意味を持ち、 ISERDES の Q1 ~ Q4 出力からデータを読み出すこ とができま

す。この場合、ABCD は意味を持たせるためのワード アライ メン ト と して考えられます。Bitslip をアサートする と、 ユーザーには可能な ABCD 配列パターンがすべて提示され、 予測される配列

(ABCD) を選択できるよ うにな り ます。 図 3-14 に、 2 つの Bitslip 動作のタイ ミ ングおよび対応す

る ISERDES パラレル出力 Q1 ~ Q4 のリ アライ メン ト を示します。

クロック イベン ト 1

初のワード CDAB が ISERDES の入力サイ ドのレジスタへサンプルされます。 Bitslip ピンはア

サート されていません。 つま り、 ワードは再アライ メン ト されずに ISERDES へ送られます。

クロック イベン ト 2

2 番目のワード CDAB が ISERDES の入力サイ ドのレジスタへサンプルされます。 こ こで Bitslipピンがアサート されます。 これによ り、 Bitslip コン ト ローラによってすべてのビッ トが内部で 1ビッ ト分右方向へシフ ト されます。

クロック イベン ト 3

3 番目のワード CDAB が ISERDES の入力サイ ドのレジスタへサンプルされます。2 度目の Bitslipピンがアサート されます。 これによ り、 Bitslip コン ト ローラによってすべてのビッ トが内部で 3ビッ ト分左方向へシフ ト されます。

サンプルされた 初のワードは、再アライ メン ト されずに CLKDIV の同じエッジで Q1–Q4 から出

力されます。図 3-15 に、 このサイ クル中に Q1–Q4 で出力された実際の入力ス ト リームのビッ ト を

示します。

X-Ref Target - Figure 3-14

図 3-14 : Bitslip のタイ ミング図

ug361_c3_14_022309

C D A B C D C DA B C D A B

CLK

BITSLIP

CLKDIV

D

1 2

Bitslip1 Bitslip2

43 5

Q4–Q1 CDAB BCDA ABCD

Page 144: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

144 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 3 章 : アドバンス SelectIO ロジック リソース

クロック イベン ト 4

4 番目のワード CD の 初の 2 ビッ トが ISERDES の入力側のレジスタへサンプルされます。 サン

プルされた 2 番目のワードが CLKDIV の同じエッジで、 1 ビッ ト右にシフ ト された状態で Q1-Q4に渡されます。図 3-15 の B に、 このサイクル中における Q1-Q4 で出力された実際の入力ス ト リー

ムのビッ ト を示します。

Q1-Q4 の再アライ メン ト されたビッ トは CLKDIV ド メ インの FPGA ロジッ クへサンプルされま

す。 アサート された Bitslip 入力を ISERDES がキャプチャしてから、 再アライ メン ト された

ISERDES 出力の Q1-Q4 が CLKDIV でサンプルされるまでの合計レイテンシは、CLKDIV の 2 サイクル分になり ます。

クロック イベン ト 5

3 ビッ ト左へシフ ト された状態でサンプルされた 3 番目のワードが Q1-Q4 に渡されます。 図 3-15の C に、 このサイクル中に Q1-Q4 で出力された実際の入力ス ト リームのビッ ト を示します。

X-Ref Target - Figure 3-15

図 3-15 : 図 3-14 のデータ入力スト リーム (D) のビッ ト

ug361_c3_15_022309

C(2nd Bitslip, Rotate 3 Bits to Left)Q1–Q4 During Clock Event 5

B(1st Bitslip, Rotate 1 Bit to Right)Q1–Q4 During Clock Event 4

C D A B C D C DA B C D A B

C D A B C D C DA B C D A B

C D A B C D C DA B C D A B

A(No Bitslip)Q1–Q4 During Clock Event 3

Page 145: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 145UG361 (v1.2) 2010 年 1 月 18 日

出力 Parallel-to-Serial ロジック リソース (OSERDES)

出力 Parallel-to-Serial ロジック リソース (OSERDES)Virtex-6 デバイスの OSERDES は、高速ソース同期インターフェイスの実現を容易にするよ う設計

された、特定のクロ ッキングと ロジッ ク リ ソースを備えた Parallel-to-Serial コンバータです。すべ

ての OSERDES モジュールには、データおよびト ライステート制御用の専用シ リ アライザがあ り ま

す。データ シ リ アライザおよびト ライステート シ リ アライザは共に SDR および DDR モードに設

定できます。 大 6:1 までのデータ シ リ アル化が可能です ( 「OSERDES のビッ ト幅の拡張」 を使

用した場合は 10:1)。ト ラ イステートのシ リ アライズ化は 大 4:1 です。高速メモ リ アプリ ケーシ ョ

ンをサポートする専用の DDR3 モードがあ り ます。

図 3-16 に、 OSERDES ブロ ッ クのすべての主要なコンポーネン ト と機能を示します。

データ Parallel-to-Serial コンバータ OSERDES ブロ ッ クにある データ Parallel-to-Serial コンバータは、パラレル データの 2 から 6 ビッ

ト をファブ リ ッ クから受信し ( 「OSERDES のビッ ト幅の拡張」 を使用する場合は 10:1)、データを

シ リ アル化して、OQ 出力から IOB に出力します。パラレル データは、データ入力の 下位から

上位の順にシ リ アル化されます。つま り、D1 入力ピンのデータが OQ ピンに送信される 初のデー

タになり ます。データ Parallel-to-Serial コンバータは、シングル データ レート (SDR) とダブル デー

タ レート (DDR) の 2 つのモードで使用できます。

X-Ref Target - Figure 3-16

図 3-16 : OSERDES のブロック図

OutputCircularBuffer

3-State Parallel-to-Serial Converter and Circular Buffer

DataParallel-to-Serial

Converter

CLKPERF

CLKPERFDELAY

ODV

WC

TCE

T1-T4

CLK

CLKDIV

RST

OCE

D1

D2

D3

D4

D5

D6

OFB

OCBEXTEND

TFB

TQ

OQ

UG361_c3_16_011710

Page 146: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

146 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 3 章 : アドバンス SelectIO ロジック リソース

OSERDES では、 CLK および CLKDIV の 2 つのクロ ッ クを使用し、データ レート を変換します。

CLK は高速シ リ アル ク ロ ッ ク、CLKDIV は分周パラレル ク ロ ッ クであ り、これら 2 つのクロ ッ ク

位相は一致する必要があり ます。 詳細は、 「OSERDES のクロ ッキング手法」 を参照してください。

リセッ トは、使用する前に OSERDES に適用しておく必要があ り ます。OSERDES には、データフ

ローを制御する内部カウンタが含まれます。 リセッ ト と CLKDIV を同期させるこ とができなかっ

た場合は、 予測しない出力になり ます。

ト ライステート Parallel-to-Serial コンバータ

OSERDES モジュールには、 データの Parallel-to-Serial コンバータだけでなく、 IOB のト ライス

テート制御の Parallel-to-Serial コンバータがあ り ます。データの変換と異なり、 ト ラ イステート コンバータでは、パラレルで入力される ト ライステート信号の 大 4 ビッ ト までをシ リ アル変換でき

ます。 また、 ト ラ イステート コンバータはカスケード接続できません。

OSERDES プリ ミテ ィブ

図 3-17 に OSERDES のプリ ミ ティブを示します。

X-Ref Target - Figure 3-17

図 3-17 : OSERDESE1 プリ ミテ ィブ

ug361_3_17_022309

CLK

CLKDIV

CLKPERF

CLKPERFDELAY

D5

D6

D1

D2

D3

D4

ODV

RST

SHIFTIN1

SHIFTIN2

SR

T1

T2

T3

T4

WC

OCE

TCE

OQ

OFB

TQ

TFB

SHIFTOUT1

SHIFTOUT2

OCBEXTEND

OSERDESE1Primitive

Page 147: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 147UG361 (v1.2) 2010 年 1 月 18 日

出力 Parallel-to-Serial ロジック リソース (OSERDES)

OSERDES ポート

表 3-6 に、 OSERDES プリ ミ ティブで使用可能なポート を示します。

表 3-6 : OSERDES のポート とその説明

ポート名 タイプ 幅 説明

OQ 出力 1 IOB へのデータ パス出力。 「データ パス出力 - OQ」 を参照

OFB 出力 1 ISERDESE1 へのデータ パス出力フ ィードバッ ク、 IODELAYE1 を介して CLKPERF へ遅延を与えるパス、または IODELAYE1 へ接続するパス と して使

用する。 「出力フ ィードバッ クおよび CLKPERF」 を参照

TQ 出力 1 IOB へのト ライステート制御出力。 「 ト ラ イステート制御出力 - TQ」 を参照

TFB 出力 IODELAYE1 へのト ライステート制御出力。 「 ト ラ イステート制御出力 - TFB」

を参照

SHIFTOUT1 出力 1 データ幅拡張用のキャ リー出力。 スレーブ OSERDES の SHIFTOUT1 に接続。

「OSERDES のビッ ト幅の拡張」 を参照

SHIFTOUT2 出力 1 データ幅拡張用のキャ リー出力。 スレーブ OSERDES の SHIFTOUT2 に接続。

「OSERDES のビッ ト幅の拡張」 を参照。

OCBEXTEND 出力 1 DDR3 モードで使用される。CLK が CLKPERF または CLKPERFDELAY と一

致するよ うに出力循環バッファがレイテンシを拡張したこ とを示す。 「出力循環

バッファの拡張 - OCBEXTEND」 を参照

CLK 入力 1 高速クロ ッ ク入力。 「高速クロ ッ ク入力 - CLK」 を参照

CLKDIV 入力 1 分周クロ ッ ク入力。遅延エレ メン ト、デシ リ アライズされたデータ、Bitslip サブ

モジュールおよび CE ユニッ トへのクロ ッ ク入力。

「分周クロ ッ ク入力 - CLKDIV」 を参照。

CLKPERF 入力 1 MMCM からの専用高速クロ ッ ク用に DDR3 モードで使用。

CLKPERFDELAYED 入力 1 IODELAYE1 を介して遅延された後、 MMCM から送信される専用高速

クロ ッ ク用に DDR3 モードで使用。

D1 ~ D6 入力 各 1 パラレル データ入力。 「パラレル データ入力 - D1 ~ D6」 を参照

TCE 入力 1 ト ラ イステート ク ロ ッ ク イネーブル。 「 ト ラ イステート信号クロ ッ ク イネーブ

ル - TCE」 を参照

OCE 入力 1 出力データ ク ロ ッ ク イネーブル。 「出力データ ク ロ ッ ク イネーブル - OCE」 を

参照

WC 入力 1 出力循環バッファを リセッ トするために、 データ送信からデータ受信へ切り替

える と きに、 DDR3 モードで使用。

ODV 入力 1 ODELAY 遅延値が高速クロ ッ ク周期の 180° よ り大きい場合に、DDR3 で使用。

RST 入力 1 アクティブ High のリセッ ト

SHIFTIN1 入力 1 データ幅拡張用のキャ リー入力。 マスタ OSERDES の SHIFTIN1 に接続。

「OSERDES のビッ ト幅の拡張」 を参照

Page 148: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

148 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 3 章 : アドバンス SelectIO ロジック リソース

データ パス出力 - OQOQ ポートは、 OSERDES モジュールのデータ出力ポートであ り、 入力ポート D1 のデータが 初

に OQ に出力されます。このポートによって、データ Parallel-to-Serial コンバータの出力が IOB のデータ入力に接続されます。OQ ポートは IODELAYE1 を駆動できません (OFB ピンを使用する必

要があ り ます)。

OSERDESE1 からの出力フ ィードバック- OFBOFB ポートは、 OSERDESE1 のシ リ アル (高速) データ出力ポート と して使用、 または CLKPERFを回避するパス と して使用できます。 ODELAYUSED が 0 の場合は、 OFB ポート を使用してシ リ

アル データを ISERDESE1 へ送信します。 詳細は、 「出力フ ィードバッ クおよび CLKPERF」 を参

照して ください。

ODELAYUSED が 1 に設定されており OSERDESE1 が MEMORY_DDR3 モードの場合は、OFBによって IODELAYE1 を使用する高性能クロ ッ ク入力 (CLKPERF) が可能になり ます。

ト ライステート制御出力 - TQTQ ポートは、 OSERDES モジュールのト ライステート制御出力です。 このポート を使用する と、

ト ラ イステート Parallel-to-Serial コンバータの出力が IOB の制御/ ト ラ イステート入力に接続され

ます。

ト ライステート制御出力 - TFBTFB ポートは、IODELAY へ接続する OSERDES モジュールのト ライステート制御出力です。この

ポート を使用する と、ト ラ イステート Parallel-to-Serial コンバータの出力が IODELAY の制御/ ト ラ

イステート入力に接続されます。

高速クロック入力 - CLKCLK は、 Parallel-to-Serial コンバータのシ リ アル サイ ドを駆動します。

分周クロック入力 - CLKDIVこの分周高速クロ ッ ク入力は、 Parallel-to-Serial コンバータのパラレル サイ ドを駆動します。 これ

は、 CLK ポートに接続されたクロ ッ クを分周したものです。

MMCM からの高性能クロック - CLKPERFCLKPERF ポートは、MMCM から OSERDESE1 へ高性能クロ ッ クを提供する専用パスです。この

ポートは、 DDR3 アプリ ケーシ ョ ン用の MEMORY_DDR3 モードでのみ使用できます。 詳細は、

「OSERDES のクロ ッキング手法」 を参照してください。

SHIFTIN2 入力 1 データ幅拡張用のキャ リー入力。 マスタ OSERDES の SHIFTIN2 に接続。

「OSERDES のビッ ト幅の拡張」 を参照。

T1 ~ T4 入力 各 1 パラレル ト ライステート入力。「パラレル ト ラ イステート入力 - T1 ~ T4」を参照

表 3-6 : OSERDES のポート とその説明 (続き)

ポート名 タイプ 幅 説明

Page 149: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 149UG361 (v1.2) 2010 年 1 月 18 日

出力 Parallel-to-Serial ロジック リソース (OSERDES)

遅延 MMCM からの高性能クロック (IODELAYE1 使用) - CLKPERFDELAYCLKPERFDELAY ポートは、IODELAYE1 を介して遅延させ、MMCM から OSERDESE1 へ高性

能クロ ッ クを提供する専用パスの一部です。 このポートは、 DDR3 アプリ ケーシ ョ ン用の

MEMORY_DDR3 モードで使用できます。 詳細は、 「OSERDES のクロ ッキング手法」 を参照して

ください。IODELAYE1 を使用せずに CLKPERF を遅延させる場合は、このポート を CLKPERF と同じソースに接続する必要があ り ます。

パラレル データ入力 - D1 ~ D6すべての入力パラレル データは、 D1 ~ D6 ポートから OSERDES に入力されます。 これらのポー

トは FPGA ファブリ ッ クに接続され、 2 から 6 ビッ ト と して設定できます。 6:1 のシ リ アル化はそ

の一例です。また、6 よ り大きい ( 大 10) ビッ ト幅の場合は、2 つ目の OSERDES をスレーブ モー

ドで使用してサポートできます。 詳細は、 「OSERDES のビッ ト幅の拡張」 を参照してください。

OSERDES の入力 / 出力におけるビッ ト順序および ISERDESE1 に対応するビッ ト順序について

は、 129 ページの図 3-3 を参照してください。

リセッ ト入力 - RSTリセッ ト入力によって、CLK および CLKDIV ド メ インのすべてのデータ フ リ ップフロ ップの出力

が非同期に Low 駆動します。タイ ミ ングがク リ ティカルな CLK ド メ インで実行される OSERDES回路では、 内部の専用回路を使用して RST 入力を リ タイ ミ ングし、 CLKDIV ド メ インと同期する

リセッ ト信号を生成します。RST 入力を リ タイ ミ ングする OSERDES 回路があるため、ユーザーは CLKDIV 周波数ド メ インでタイ ミ ングを満たす (CLKDIV に同期する) RST 入力を駆動するだけ

です。 RST は CLKDIV で 1 ク ロ ッ ク サイクル間 ( 小) High 駆動する必要があ り ます。

複数の OSERDES ポート を含むインターフェイスを構築する場合、 すべての OSERDES ポートが

同期する必要があ り ます。RST 入力は内部でリ タイ ミ ングされるよ うに設計されているため、すべ

ての OSERDES ブロ ッ クは互いに同期する リセッ トからの同じ リセッ ト パルスを受信します。159ページの図 3-22 に複数の OSERDES ポートの リセッ ト タイ ミ ングを示します。

出力データ クロック イネーブル - OCEOCE は、 データ パスのアクティブ High ク ロ ッ ク イネーブルです。

書き込みコマンド - WCWC ポートは、MEMORY_DDR3 モードの専用ロジッ クです。データ書き込みからデータ読み出し

へ切り替える と きに、 この書き込みコマンドが発行されます。 WC は、 DDR3 アプリ ケーシ ョ ン用

の MEMORY_DDR3 モードでのみ使用できます。MEMORY_DDR3 モードを使用しない場合、 こ

のポートは GND に接続します。

ODELAY 値 - ODVODVポートは、 MEMORY_DDR3 モードの専用ロジッ クです。 IODELAYE1 をする CLKPERFDELAY 遅延がクロ ッ クの 1/2 周期以上の場合に、ユーザーが ODV を High にアサート

し ます。 ODV は DDR3 アプ リ ケーシ ョ ン用の MEMORY_DDR3 モードでのみ使用でき ます。

MEMORY_DDR3 モードを使用しない場合、 このポートは GND に接続します。

出力循環バッファの拡張 - OCBEXTENDOCBEXTEND ポートは、 MEMORY_DDR3 モードの専用ロジッ クであ り、 出力循環バッファが

OSERDESE1 のレイテンシを拡張したこ とを示します。

Page 150: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

150 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 3 章 : アドバンス SelectIO ロジック リソース

ト ライステート信号クロック イネーブル - TCETCE は、 ト ラ イステート制御パスのアクティブ High ク ロ ッ ク イネーブルです。

パラレル ト ライステート入力 - T1 ~ T4すべてのパラレル ト ラ イステート信号は、 T1 ~ T4 ポートから OSERDES に入力されます。 これ

らのポートは FPGA ファブリ ッ クに接続され、1、2 または 4 ビッ ト と してコンフ ィギュレーシ ョ ン

できます。

OSERDES 属性

表 3-7 に、 OSERDES プリ ミ ティブで使用可能な属性を示します。 この表には、 デフォルト値も記

載します。

DATA_RATE_OQ 属性

DATA_RATE_OQ 属性は、 データ レートがシングル データ レート (SDR) であるか、 ダブル デー

タ レート (DDR) であるかを指定します。有効な設定値は SDR および DDR であ り、デフォルトは

DDR です。

DATA_RATE_TQ 属性

DATA_RATE_TQ 属性は、 ト ラ イステート制御のレートがシングル データ レート (SDR) である

か、ダブル データ レート (DDR) であるかを指定します。有効な設定値は SDR および DDR であ り、

デフォルトは DDR です。

表 3-7 : OSERDES 属性の一覧

属性 説明 値 デフォルト値

DATA_RATE_OQ CLK に対して、 データ (OQ) をすべてのク

ロ ッ ク エッジで変化させるか、 立ち上がり

エッジごとに変化させるかを指定

文字列 : SDR または DDR DDR

DATA_RATE_TQ ク ロ ッ クに対して、 ト ラ イステート (TQ) をすべてのクロ ッ ク エッジで変化させるか、立

ち上がりエッジごとに変化させるか、 または

バッファ と して構成するかを指定

文字列 : BUF、 SDR または DDR DDR

DATA_WIDTH Parallel-to-Serial データ コンバータの幅を

指定。 指定可能な値は DATA_RATE_OQ の設定値によって異なる

整数 : 2、3、4、5、6、7、8、または 10

有効な組み合わせは OSERDESE1 属性 (表 3-7) を参照

4

SERDES_MODE ビ ッ ト 幅を拡張する場合に OSERDES モ

ジュールをマスタにするかスレーブにする

かを指定

文字列 : MASTER または SLAVE MASTER

TRISTATE_WIDTH Parallel-to-Serial ト ラ イ ステー ト コ ンバー

タの幅を指定

整数 : 1 または 4

有効な組み合わせは OSERDESE1 属性 (表 3-7) を参照

4

ODELAY_USED

INTERFACE_TYPE OSERDESE1 で使用するモードを選択する 文字列 : DEFAULT または MEMORY_DDR3

DEFAULT

Page 151: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 151UG361 (v1.2) 2010 年 1 月 18 日

出力 Parallel-to-Serial ロジック リソース (OSERDES)

DATA_WIDTH 属性

DATA_WIDTH 属性は、Parallel-to-Serial コンバータのパラレル データ入力幅を指定します。有効

な値は DATA_RATE_OQ 属性によって異なり ます。 DATA_RATE_OQ を SDR に設定した場合、

DATA_WIDTH 属性は 2、 3、 4、 5、 6、 7、 および 8 のいずれかに指定できます。

一方、 DATA_RATE_OQ を DDR に設定する と、 4、 6、 8、 または 10 のいずれかに指定できます。

DATA_WIDTH を 6 よ り大きな値に設定した場合には、OSERDES のペアをマスタ と スレーブと し

て構成して ください。 詳細は、 「OSERDES のビッ ト幅の拡張」 を参照してください。

SERDES_MODE 属性

SERDES_MODE 属性は、 データ幅を拡張する場合に、 OSERDES モジュールをマスタまたはス

レーブのいずれかに指定します。 有効な値は MASTER および SLAVE であ り、 デフォルト値は

MASTER です。 詳細は、 「OSERDES のビッ ト幅の拡張」 を参照してください。

TRISTATE_WIDTH 属性

TRISTATE_WIDTH 属性は、 ト ラ イステート制御 Parallel-to-Serial コンバータのパラレル ト ラ イ

ステート入力幅を指定します。 有効な値は DATA_RATE_TQ 属性によって決定されます。

DATA_RATE_TQ を SDR または BUF に設定する場合、指定できる TRISTATE_WIDTH 属性値は

1 のみです。 一方、 DATA_RATE_TQ を DDR に設定した場合、 4 に指定できます。

TRISTATE_WIDTH は 4 よ り大きい値に指定できません。DATA_WIDTH が 4 よ り大きい場合は、

TRISTATE_WIDTH を 1 に指定してください。

ODELAY_USED 属性

ODELAY_USED 属性は、 DDR3 モードでのみ使用し、 ODELAY を使用する場合に出力循環バッ

ファの正しいモード設定をサポート します。 DDR3 モード以外の場合は、 デザインで ODELAY が使用されている場合でも ODELAY_USED 属性を 0 に設定します。

INTERFACE_TYPE 属性

INTERFACE_TYPE 属性は、 OSERDESE1 のモードを定義します。 DEFAULT モードは、 Virtex-5 FPFA デザインの場合と同じ機能性です。 表 3-8 に、 サポート されるデータ幅および CLK と

CLKDV のクロ ッ ク レート を示します。

表 3-8 : データ Parallel-to-Serial コンバータにおける CLK/CLKDIV の関係

出力が SDR モードでの

入力データ幅

出力が DDR モードでの

入力データ幅CLK CLKDIV

2 4 2X X

3 6 3X X

4 8 4X X

5 10 5X X

6 – 6X X

7 – 7X X

8 – 8X X

Page 152: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

152 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 3 章 : アドバンス SelectIO ロジック リソース

MEMORY_DDR3 モードを使用する と、 DDR3 アプリ ケーシ ョ ンをサポートする新機能を利用で

きます。 MEMORY_DDR3 モードは、 MIG ツールでのみサポート されています。

表 3-9 に、 OSERDESE1 の属性設定および組み合わせを示します。

OSERDES のクロッキング手法

DEFAULT インターフェイス タイプ

パラレルからシ リ アルへの変換プロセスでは、 CLK と CLKDIV の位相関係が重要です。 CLK とCLKDIV の位相は許容範囲内で一致するこ とが理想的です。

FPGA には CLK および CLKDIV の位相関係の要件を満たすためのクロ ッ ク配置方法が複数あ り

ます。 OSERDES に有効なクロ ッ ク配置は次の場合のみです。

• CLK は BUFIO で、 CLKDIV は BUFR で駆動

• CLK および CLKDIV は同じ MMCM の CLKOUT[0:6] で駆動

MMCM を使用して ISERDESE1 の CLK および CLKDIV を駆動する場合、 ISERDESE1 へ供給す

るバッファ タイプを混合使用できません。 たとえば、 CLK が BUFG で駆動されている場合は、

CLKDIV も BUFG で駆動される必要があ り ます。

MEMORY_DDR3 インターフェイス タイプ

MEMORY_DDR3 の場合、 OSERDESE1 へのクロ ッ ク入力は 4 つ (CLK、 CLKDIV、 CLKPERF、および CLKPERFDELAY) あ り ます。 CLK と CLKDIV の位相は、 MMCM から BUFG を介して

一致するこ とが理想的です (DEFAUL モード と同様)。DDR3 アプリ ケーシ ョ ンでは、 小限の伝播

ロス とデータ ビッ ト遅延が要求されるため、OSERDES に CLKPERF および CLKPERFDELAY が追加されます。 CLKPERF は MMCM から直接接続されます ( 『Virtex-6 FPGA ク ロ ッキング ユー

ザー ガイ ド』 の 「高性能パス」 を参照)。CLKPERFDELAY は、専用 IODELAYE1 を介して遅延し、

各 OSERDEDE1 にデータ オフセッ ト を与えます。

• CLK および CLKDIV は同じ MMCM からの CLKOUT[0:6] で駆動

• CLKPERF は、 MMCM の高性能パスで駆動

• CLKPERFDELAY は、 IODELAYE1 を介した CLKPERF の遅延バージ ョ ン、 または CLKPERF で駆動

これらのクロ ッ ク設定および位相一致設定を正し く行うには特別な配慮が必要です。このモードは、

MIG ツールでのみサポート されています。

表 3-9 : OSERDESE1 属性の組み合わせ

INTERFACE_TYPE DATA_RATE_OQ DATA_RATE_TQ DATA_WIDTH TRISTATE_WIDTH

DEFAULT

SDR SDR 1、 2、 3、 4、 5、 6、7、 8

1

DDRDDR 4 4

SDR 2、 6、 8、 10 1

MEMORY_DDR3 DDR DDR 4 4

メモ : 1. ODELAY_USED は、 MEMORY_DDR3 モードで IODELAYE1 を使用する場合のみ 「1」 に設定します。

Page 153: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 153UG361 (v1.2) 2010 年 1 月 18 日

出力 Parallel-to-Serial ロジック リソース (OSERDES)

OSERDES のビッ ト幅の拡張

インターフェイス タイプが DEFAULT の場合のみ、2 つの OSERDES モジュールを使用して 6:1 より大きな Parallel-to-Serial コンバータを作成できます。すべての I/O タイルには、2 つの OSERDESモジュールがあ り、 一方がマスタ、 も う一方がスレーブです。 マスタ OSERDES の SHIFTIN ポー

ト をスレーブ OSERDES の SHIFTOUT ポートに接続するこ とによって、 Parallel-to-Serial コン

バータを 大 10:1 (DDR) または 8:1 (SDR) まで拡張できます。差動出力の場合、マスタ OSERDESがその差動入力ペアの正の側にある必要があ り ます。 出力が差動出力ではない場合、 スレーブ

OSERDES に接続された出力バッファは使用できません。

OSERDES でビ ッ ト 幅を拡張した場合、 補助的なシングル エン ド の規格 (DIFF_HSTL および

DIFF_SSTL など) が使用できません。これは、I/O タイルの両方の OLOGIC ブロ ッ クが補助のシン

グル エン ドの規格で使用されて、 信号の両方を送信するために、 ビ ッ ト 幅の拡張に使用でき る

OLOGIC ブロ ッ クがなくなってしま うからです。

図 3-18 に、マスタおよびスレーブ OSERDES モジュールを使用した 10:1 DDR Parallel-to-Serial コンバータのブロ ッ ク図を示します。ポート Q3 ~ Q6 は、スレーブ OSERDES 上にあるパラレル インターフェイスの 後の 4 ビッ ト (LSB から MSB) に使用されます。

表 3-10 に SDR および DDR モードで拡張できるデータ幅を示します。

X-Ref Target - Figure 3-18

図 3-18 : ビッ ト幅を拡張した OSERDES のブロック図

表 3-10 : OSERDES SDR/DDR のデータ幅拡張

SDR データ幅 2、 3、 4、 5、 6、 7、 8

DDR データ幅 4、 6、 8、 10

OQ

Data Inputs[0:5]

Data Inputs[6:9]

OSERDES(Slave)

SERDES_MODE=SLAVE

OQ

OSERDES(Master)

SERDES_MODE = MASTER

D1D2D3D4D5D6

D1D2D3D4D5D6

SHIFTIN1 SHIFTIN2

SHIFTOUT1 SHIFTOUT2

Data Out

ug361_c3_16_022309

Page 154: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

154 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 3 章 : アドバンス SelectIO ロジック リソース

Parallel-to-Serial コンバータのビッ ト幅拡張のガイド ライン

1. 2 つの OSERDES モジュールは隣接したマスタおよびスレーブ ペアである必要があ り ます。

2. マスタ OSERDES の SERDES_MODE 属性を MASTER に、 スレーブ OSERDES の SERDES_MODE 属性を SLAVE に設定します。詳細は、「SERDES_MODE 属性」 を参照して

ください。

3. マスタの SHIFTIN ポート をスレーブの SHIFTOUT ポートに接続します。

4. スレーブは、 入力と してポート D3 ~ D6 のみを使用します。

5. マスタおよびスレーブの DATA_WIDTH は同一です。詳細は、「DATA_WIDTH 属性」 を参照

して ください。

6. INTERFACE_TYPE を DEFAULT に設定します。

表 3-11 に、 データ幅拡張に使用されるスレーブ入力を示します。

出力フ ィードバックおよび CLKPERFOSERDESE1 の OFB ピンには、 次の 3 つの機能があ り ます。

• ISERDESE1 OFB ピンへのフ ィードバッ ク パス。詳細は、「OSERDESE1 からの ISERDESE1フ ィードバッ ク」 を参照

• IODELAYE1 への接続パスとなる。 OSERDESE1 の出力は OFB ピンを介して IODELAYE1へ配線され、 遅延を与えるこ とができる

• IODELAYE1 を介して高性能入力の CLKPERF パスへ遅延を与える。ODELAY_VALUE が 1に設定され、 OSERDESE1 が MEMORY_DDR3 モードの場合は、 OFB ポート を使用して

CLKPERF 入力を IODELAYE1 へ配線し、 また CLKPERFDELAY 入力へ戻すこ とができる

OSERDES のレイテンシ

DEFAULT インターフェイス タイプのレイテンシ

OSERDES ブロ ッ クの入力から出力へのレイテンシは、 DATA_RATE 属性および DATA_WIDTH属性によって異なり ます。 レイテンシは、 (a) CLKDIV の立ち上がりエッジで、 OSERDES への入

力 D1-D6 のデータに対してクロ ッ ク入力がある と き (b) シ リ アル データ ス ト リームの 初のビッ

トが OQ に出力されたと き、の 2 つのイベン ト間の時間で定義されます。表 3-12 に OSERDES のレイテンシ値を示します。

表 3-11 : データ幅拡張に使用されるスレーブ入力

データ幅 使用されるスレーブ入力

7 D3

8 D3–D4

10 D3–D6

Page 155: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 155UG361 (v1.2) 2010 年 1 月 18 日

出力 Parallel-to-Serial ロジック リソース (OSERDES)

OSERDES のタイ ミング モデルおよびパラ メータ

こ こでは、OSERDES プリ ミ ティブに関連するタイ ミ ング モデルについて説明します。表 3-13 に、

『Virtex-6 FPGA データ シート 』 に記載されている OSERDES のスイ ッチング特性の機能および制

御信号を示します。

表 3-12 : OSERDES のレイテンシ

DATA_RATE DATA_WIDTH レイテンシ

SDR

2:1 1 CLK サイクル

3:1 3 CLK サイクル

4:1 4 CLK サイクル

5:1 4 CLK サイクル

6:1 5 CLK サイクル

7:1 5 CLK サイクル

8:1 6 CLK サイクル

DDR

4:1 1 CLK サイクル

6:1 3 CLK サイクル

8:1 4 CLK サイクル

10:1 4 CLK サイクル

表 3-13 : OSERDES のスイッチング特性

シンボル 説明

セッ トアップ/ホールド

TOSDCK_D/TOSCKD_D CLKDIV に対する D 入力のセッ ト アップ/ホールド

TOSDCK_T/TOSCKD_T CLK に対する T 入力のセッ ト アップ/ホールド

TOSDCK_T/TOSCKD_T CLKDIV に対する T 入力のセッ ト アップ/ホールド

TOSCCK_OCE/TOSCKC_OCE CLK に対する OCE 入力のセッ ト アップ/ホールド

TOSCCK_TCE/TOSCKC_TCE CLK に対する TCE 入力のセッ ト アップ/ホールド

シーケンシャル遅延

TOSCKO_OQ CLK から OQ への Clock-to-Out 遅延

TOSCKO_TQ CLK から TQ への Clock-to-Out 遅延

組み合わせ

TOSCO_OQ 非同期リセッ トから OQ までの遅延

TOSCO_TQ 非同期リセッ トから TQ までの遅延

Page 156: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

156 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 3 章 : アドバンス SelectIO ロジック リソース

2:1 SDR シリアライズ化のタイ ミング特性

図 3-19 に 2:1 SDR データをシ リアル化する場合のタイ ミ ング図を示します

クロック イベン ト 1

CLKDIV の立ち上がりエッジで、 ワード AB が FPGA ロジッ クから OSERDES の D1 と D2 入力

へ、 一定の伝搬遅延後に駆動されます。

クロック イベン ト 2

CLKDIV の立ち上がりエッジで、 ワード AB が FPGA ロジッ クから OSERDES の D1 と D2 入力

へサンプルされます。

クロック イベン ト 3

AB が OSERDES へサンプルされた後の 1 CLK サイクルのOQ にデータ ビッ ト A が出力されま

す。 このレイテンシは、 表 3-12 の 2:1 SDR モードの 1 ク ロ ッ ク サイクルの OSERDES レイテン

シと同じです。

X-Ref Target - Figure 3-19

図 3-19 : 2:1 SDR モードの OSERDES のデータ フローとレイテンシ

UG361_c3_19_022309

D2 B D

CLKDIV

CLK

A B C D E FOQ

D1 A C

F

E

ClockEvent 1

ClockEvent 2

ClockEvent 3

Page 157: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 157UG361 (v1.2) 2010 年 1 月 18 日

出力 Parallel-to-Serial ロジック リソース (OSERDES)

8:1 DDR シリアライズ化のタイ ミング特性 図 3-20 に、8:1 DDR データ シリアライズ化のタイ ミ ング図を示します。2:1 SDR の例とは異なり、8:1シリアライズ化には 2 つの OSERDES が必要です。この 2 つの OSERDES は接続し、「OSERDES のビッ ト幅の拡張」で説明する方法で設定します。8 ビッ トのうち 6 ビッ トは、マスタ OSERDES の D1–D6 へ接続され、 残りの 2 ビッ トはスレーブ OSERDES の D3–D4 へ接続されます。

クロック イベン ト 1

CLKDIV の立ち上がりエッジで、ワード ABCDEFGH が FPGA ロジッ クからマスタ OSERDES のD1-D6 入力とスレーブ OSERDES の D3-D4 へ、 伝搬遅延後に駆動されます。

クロック イベン ト 2

CLKDIV の立ち上がりエッジで、 ワード ABCDEFGH が FPGA ロジッ クから マスタ OSERDESの D1-D6 入力とスレーブ OSERDES の D3-D4 へサンプルされます。

クロック イベン ト 3

ABCDEFGH が OSERDES へサンプルされた 4 CLK サイクル後、OQ にデータ ビッ ト A が出力さ

れます。 このレイテンシは、 表 3-12 の 8:1 DDR モードの 4 ク ロ ッ ク サイ クルの OSERDES レイ

テンシと同一です。

X-Ref Target - Figure 3-20

図 3-20 : 8:1 DDR モードの OSERDES のデータ フローとレイテンシ

ClockEvent 1

UG361_c3_20_022309

Master.D1

Master.D2

Master.D3

Master.D4

Master.D5

Master.D6

Slave.D3

Slave.D4

A I

B J

C K

D L

E M

F N

G O

H P

CLKDIV

CLK

A B C D E F G H IOQ

ClockEvent 2

ClockEvent 3

ClockEvent 4

Page 158: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

158 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 3 章 : アドバンス SelectIO ロジック リソース

2 つ目のワード IJKLMNOP が D1-D6 および D3-D4 入力からそれぞれマスタ とスレーブの OSERDES にサンプルされます。

クロック イベン ト 4

ク ロ ッ ク イベン ト 3 と 4 の間に、 ABCDEFGH すべてが OQ に順番に送信され、 合計で 8 ビッ ト

が 1 CLKDIV サイクルで送信されます。

IJKLMNOP が OSERDES にサンプルされてから 4 ク ロ ッ ク後に、データ ビッ ト I が OQ に出力さ

れます。 このレイテンシは、 表 3-12 の 8:1 DDR モードの 4 ク ロ ッ ク サイ クルの OSERDES レイ

テンシと同じです。

4:1 DDR ト ライステート コン ト ローラのシリアライズ化のタイ ミング特性

図 3-21 に、 ト ラ イステート コン ト ローラの動作を示します。 4:1 DDR の例は、 IOB が頻繁にト ラ

イステートになる双方向システムです。

X-Ref Target - Figure 3-21

図 3-21 : 4:1 DDR モードの OSERDES のデータ フローとレイテンシ

ClockEvent 1

UG361_c3_21_022309

D1 A E I

B F J

C G K

D

1 0 1

1 0 1

1 11

1

A B C D E F G H

E F H

I J K L

0 1

H L

D2

D3

D4

CLKDIV

CLK

T1

T2

T3

T4

OQ

TQ

OBUFT.O

ClockEvent 2

Page 159: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 159UG361 (v1.2) 2010 年 1 月 18 日

出力 Parallel-to-Serial ロジック リソース (OSERDES)

クロック イベン ト 1

T1、T2、および T4 が Low 駆動して ト ライステート状態が開放されます。シ リ アライズ化パスの T1-T2 と OSERDES の D1–D4 は同一 (レイテンシを含む) です。したがってクロ ッ ク イベン ト 1 では、 常にビッ ト EFGH が T1–T4 ピンに現われる 0010 と一致します。

クロック イベン ト 2

EFGH が OSERDES へサンプルされてから 1 CLK 後に、 ビッ ト E が OQ に現われます。このレイ

テンシは、 表 3-12 に示すとおり 1 CLK サイクル (4:1 DDR モードの場合) です。

クロ ッ ク イベン ト 1 では、 0010 が OSERDES ト ラ イステート ブロ ッ クへサンプルされてから 1 CLK 後に T1 の ト ラ イステート ビッ ト 0 が TQ に現われます。 このレイテンシは、 表 3-12 に示

すとおり 1 CLK サイ クル (4:1 DDR モードの場合) です。

リセッ ト出力のタイ ミング

動作モードに関わらず、リセッ トは OSERDESE1 へ適用されます。図 3-22 に、INTERFACE_TYPEが DEFAULT に設定されている場合のリセッ ト タイ ミ ングを示します。

クロック イベン ト 1

リセッ ト パルスは、 CLKDIV の立ち上がりエッジで生成されます。 このパルスは OSERDES0 および OSERDES1 に到達するまでに 2 通りのルート を通る必要があるため、 それぞれのパスの伝搬

遅延は異なり ます。伝搬遅延は、 図 3-22 で示されています。各 OSERDES がリセッ ト パルスを受

信する CLK サイクルが異なるよ うに、 OSERDES0 のパスは長く、 OSERDES1 へのパスは短くな

り ます。 CLK と CLKDIV の内部リセッ トは、 RST 入力がアサート される と非同期にリセッ ト さ

れます。

X-Ref Target - Figure 3-22

図 3-22 : リセッ ト同期からの 2 つの OSERDESE1 (INTERFACE_TYPE = DEFAULT の場合)

UG361_c3_22_022309

CLKDIV

OSERDES0Signal at

RST InputOSERDES1

OSERDES0

OSERDES1

OSERDES0

OSERDES1

CLK

ClockEvent 1

ClockEvent 2

ClockEvent 3

ClockEvent 4

Internal Reset(CLKDIV)

Internal Reset(CLK)

Page 160: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

160 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 3 章 : アドバンス SelectIO ロジック リソース

クロック イベン ト 2

リセッ ト パルスは、 CLKDIV の立ち上がりエッジでディアサート されます。 2 つの OSERDES の伝搬遅延の違いによ り、 RST 入力のリセッ ト解除は異なる CLK サイクルで実行されます。 内部リ

タイ ミ ングがない場合、OSERDES1 が OSERDES0 の 1 CLK サイクル前にリセッ ト を終了します。

OSERDES は両方と も非同期です。

クロック イベン ト 3

RST 入力でのリセッ ト信号の解除は、CLKDIV に対して内部でリ タイ ミ ングされます。これによっ

て、 OSERDES0 と OSERDES1 が同期します。

クロック イベン ト 4

RST 入力でのリセッ ト信号の解除が CLK に対して内部でリ タイ ミ ングされます。

図 3-23 に、 INTERFACE_TYPE が MEMORY_DDR3 に設定されている場合のリセッ ト タイ ミ ン

グを示します。

クロック イベン ト 1

リセッ ト パルスは、CLKDIV の立ち上がりエッジで生成されます。このパルスは OSERDES0 およ

び OSERDES1 に到達するまでに 2 通りのルート を通る必要があるため、 それぞれのパスの伝搬遅

延は異なり ます。伝搬遅延の違いは 図 3-23 に示しています。各 OSERDES がリセッ ト パルスを受

信する CLK サイクルが異なるよ うに、 OSERDES0 のパスは長く、 OSERDES1 へのパスは短くな

り ます。CLK と CLKDIV の内部リセッ トは、RST 入力がアサート される と非同期にリセッ ト され

ます。

X-Ref Target - Figure 3-23

図 3-23 : リセッ ト同期からの 2 つの OSERDESE1 (INTERFACE_TYPE = MEMORY_DDR3 の場合)

CLKPERF

CLK

CLKDIV

ClockEvent 1

ClockEvent 2

ClockEvent 3

ClockEvent 4

UG361_c3_23_012309

Page 161: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

SelectIO リソース ユーザー ガイド japan.xilinx.com 161UG361 (v1.2) 2010 年 1 月 18 日

出力 Parallel-to-Serial ロジック リソース (OSERDES)

クロック イベン ト 2

リセッ ト パルスは、 CLKDIV の立ち上がりエッジでディアサート されます。 2 つの OSERDES の伝搬遅延の違いによ り、 RST 入力のリセッ ト解除は異なる CLK サイクルで実行されます。 内部リ

タイ ミ ングがない場合、OSERDES1 が OSERDES0 の 1 CLK サイクル前にリセッ ト を終了します。

OSERDES は両方と も非同期です。

クロック イベン ト 3

RST 入力でのリセッ ト信号の解除は、クロ ッ ク イベン ト 2 から CLKDIV で 6 ク ロ ッ ク サイクル後

に内部でリ タイ ミ ングされます。 これによって、 OSERDES0 と OSERDES1 が同期して出力循環

バッファがリ タイ ミ ングされます。

クロック イベン ト 4

RST 入力でのリセッ ト信号の解除が CLK に対して内部でリ タイ ミ ングされます。

OSERDES の VHDL および Verilog のインスタンシエーション テンプレート

『ラ イブラ リ ガイ ド』 に、VHDL および Verilog を使用した OSERDESE1 モジュールのインスタン

シエーシ ョ ン テンプレートがあ り ます。

Page 162: Virtex-6 FPGA SelectIO リソース - Xilinx · 2019-10-15 · UG361 (v1.2) 2010 年 1 月 18 日 SelectIO リソース ガイド ユーザー 年japan.xilinx.com UG361 (v1.2) 2010

162 japan.xilinx.com SelectIO リソース ユーザー ガイド

UG361 (v1.2) 2010 年 1 月 18 日

第 3 章 : アドバンス SelectIO ロジック リソース