Usando MaxplusII Para Programar Una EPROM

download Usando MaxplusII Para Programar Una EPROM

of 16

description

programacion de EPROM por medio de maxplus 2

Transcript of Usando MaxplusII Para Programar Una EPROM

  • *

    Ing. Ronald Ponguillo Intriago

  • *Un Circuito Controlador tiene tres bloques: Decodificador de Estado Siguiente, Memoria de Estados (conjunto de los flip-flops) y Decodificador de salida.Ambos decodificadores son circuitos combinatoriales y, por lo tanto, pueden ser implementados utilizando la memoria ROM (PROM, EPROM, EEPROM).

    Ing. Ronald Ponguillo Intriago

  • *Si el numero de salidas externas del Controlador + el numero de bits del Cdigo de Estados no es mayor de numero de salidas de la EPROM (igual a 8 para todas las EPROM desde 2716 hasta 27512), se puede implementar ambos Decodificadores con una solo EPROM.En caso de necesitar mas salidas se puede conectar dos o mas EPROM en paralelo:

    Ing. Ronald Ponguillo Intriago

  • Basamos en el diagrama ASM del circuito Controlador para generar la Tabla de Verdad para los dos Decodificadores de donde luego obtenemos la informacin para grabar una EPROM.

    A cada estado debe ser asignado el cdigo de estado.

    La cantidad de estados y, por lo tanto, el numero de bits del cdigo no tiene importancia.*

    Ing. Ronald Ponguillo Intriago

  • Ing. Ronald Ponguillo Intriago

  • Ahora vamos a escribir el cdigo en el lenguaje VHDL que describe el funcionamiento del Decodificador de Estado Siguiente y el Decodificador de Salida de la MSS (el circuito Controlador) de acuerdo con el Diagrama ASM.

    Observe que en la lista de sensibilidad no colocamos la seal de Clock ni de Resetn, ya que debemos describir los bloques combinatoriales que dependen solamente de las Entradas Externas y del Estado Presente.*

    Ing. Ronald Ponguillo Intriago

  • library ieee;use ieee.std_logic_1164.all;entity mvc_cod_vhdl_eprom isport (y_pr : in std_logic_vector (2 downto 0); CP, AlB, AiB, CR, PDR : in std_logic; y_sig : out std_logic_vector (2downto 0); RN, DA, DP, CA, Listo : out std_logic); end mvc_cod_vhdl_eprom;

    architecture comportamiento of mvc_cod_vhdl_epro isbegin--Decodificador de estado siguienteprocess (y_pr, CP, AlB, AiB, CR, PDR)begin case y_presente iswhen "000"=> if CP ='0' then y_sig

  • --Decodificador de salida

    Listo

  • Luego debemos realizar la Simulacin del circuito. Abrimos la hoja de Waveform Editor y sacamos todas las entradas y salidas del archivo SNF.Debe asegurarse que las entradas y salidas de cdigo de estado esta en el orden de significancia

    Ing. Ronald Ponguillo Intriago

  • Como tenemos 8 entradas(3 bits de cdigo de estado y 5 entradas de datos) debemos generar 28 = 256 combinaciones de las entradas (de 0 hasta 255).Para realizar esta operacin primero seleccionamos todas las entradas, hacemos clic derecho con el mouse y luego clic en la opcin Enter Group

    Ing. Ronald Ponguillo Intriago

  • Luego generamos la secuencia deseada (de 0 hasta 255) utilizando la tecla C de la paleta de herramientas.Debe asegurarse que el tiempo asignado por Max+plus II para la simulacin es suficiente para desarrollar la secuencia completa. Verifique esto con el comando: File End TimeEsta

    Ing. Ronald Ponguillo Intriago

  • Ahora corremos la Simulacin para generar las salidas para cada combinacin de las entradas.

    Luego agrupemos tambin las salidas del mismo modo que las entradas.

    Pero para las salidas debemos seleccionar la opcin HEX, para que cuando generemos la Tabla los datos sean puestos en cdigo hexadecimal.

    Ing. Ronald Ponguillo Intriago

  • Ing. Ronald Ponguillo Intriago

  • A partir del Diagrama de Tiempo ahora podemos generar la Tabla de Verdad con los datos de entradas y salidas del cual luego obtenemos la informacin para el programa de la memoria.

    Ing. Ronald Ponguillo Intriago

  • Ing. Ronald Ponguillo Intriago

  • Copiar solamente los datos de salidas que estn en hexadecimal y guardarlos en un archivo de texto.

    El Programador de los EPROMs grabara estos datos en las direcciones consecutivos de la memoria.

    Llevar el archivo con los datos grabados al Laboratorio de Sistemas Digitales.

    Fin

    Ing. Ronald Ponguillo Intriago

    *