UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ...

429
UltraScale アーキテクチャ GTY ト ランシーバー ユーザー ガイド UG578 (v1.3) 2017 9 20 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に よっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきまし ては、必ず最新英語版をご参照ください。

Transcript of UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ...

Page 1: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

UltraScale アーキテクチャ GTY ト ランシーバー

ユーザー ガイド

UG578 (v1.3) 2017 年 9 月 20 日

この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきましては、必ず最新英語版をご参照ください。

Page 2: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

改訂履歴

次の表に、 この文書の改訂履歴を示します。

日付 バージョ ン 内容

2017 年 9 月 20 日 1.3 第 1 章: 図 1-2 に NE PMA フープバッ ク パスを追加。

第 2 章: UltraScale+ FPGA での GTY ト ランシーバーに対する新しい制約事項で 24 ページの 「機能の説明」 を更新。 「複数の外部基準クロ ッ クを使用する場合」 および 「機能の説明」 に注記 (重要) を追加。 表 2-14 に記載の SDM0DATA[24:0]/SDM1DATA[24:0] の説明を更新。表 2-15 に PPF0_CFG/PPF1_CFG を追加。 「Dynamic Frac-N (UltraScale+ FPGA のみ)」で、 CLK をクロ ッ クに置き換え。 表 2-21 の GTRESETSEL および GTTXRESETSEL に関する説明を更新。 表 2-25 および表 2-29 の GTPOWERGOOD に関する説明を更新。表 2-29 で、 RXCKCALDONE の方向と説明を更新。 「コンフ ィギュレーシ ョ ンの完了に応答する GTY ト ランシーバー TX のリセッ ト 」 と 「コンフ ィギュレーシ ョ ンの完了に応答する GTY ト ランシーバー RX のリセッ ト 」 の条件を更新。

第 3 章: 図 3-1 に NE PMA フープバッ ク パスを追加。 図 3-26 から S_TXSYNCDONE を削除。 「マルチ レーン自動モードで TX および RX バッファー バイパスの両方を使用した場合の TXUSRCLK と RXUSRCLK の共有」 に、 入力 RX データ ス ト リームに関する箇条書きを追加。 図 3-30 の後に注記 7 を追加。 表 3-35 で、 TXDIFFCTRL[4:0] および TXMAINCURSOR[6:0] の説明を更新し、 UltraScale+ FPGA のみに TXDIFFCTRL[4:0] を追加。 表 3-36 に XSWBST_EN、 TXSWBST_BST、 TXSWBST_MAG、 TXFE_CFG0、TXFE_CFG1、 TXFE_CFG2、 TXFE_CFG3 を追加。

第 4 章: 図 4-1 に NE PMA フープバッ ク パスを追加。 「OOB および電気的アイ ドル使用モード」 を追加。 「SATA の場合のリセッ トおよび CDR の設定」 を更新。 表 4-13 の後に注記 7 を追加。 表 4-15 の RX_PROGDIV_CFG の説明を更新。 表 4-24 の RXPRBSERR の説明を更新。 表 4-26 の RX_PRBS_ERR_CNT の説明を更新。 図 4-31 からステート D を削除。 表 4-30 で、 RXCTRL0[15:0] の方向を入力から出力に変更。

第 5 章: 「終端抵抗キャ リブレーシ ョ ン回路」 の第 1 段落を更新。 「アナログ電源ピン」 で PSG の辺を指定。 図 5-8 の後に注記 2 を追加。 表 5-5 の MGTAVTTRCAL および MGTRREF の推奨事項を更新。

第 6 章: 表 6-2 の PCIE_PLL_SEL_MODE_GEN4 の説明を更新。

付録 B: 表 B-2 で DRP アドレス 000Bh を追加し、アドレス 003Eh、0063h、00C6h を更新。

付録 C: 表 C-2 で DRP アドレス 0003h、000Ah、000Bh、0059h を追加し、0250h を更新。

UltraScale アーキテクチャ GTY ト ランシーバー 2UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 3: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

2016 年 12 月 21 日 1.2 第 1 章: 「GTYE3/4_COMMON の属性」 および 「GTYE3/4_CHANNEL の属性」 の 1 文目を更新。 表 1-2 および表 1-3 に SIM_DEVICE を追加。 24 ページの 「機能の説明」 の 2 番目の段落を更新。 「複数の外部基準クロ ッ クを使用する場合」 の 後に段落を追加。

第 2 章: 19 ページの 「入力モード」 および図 2-1 の MGTAVCC に関する説明を更新。図 2-8 の GTREFCLK11 接続を更新。 表 2-8 の GTNORTHREFCLK00/01/10/11 と GTSOUTHREFCLK00/01/10/11 の説明で、 クワ ッ ド PLL1 を MGTREFCLK1、 ク ワ ッ ド PLL0 を MGTREFCLK0 に変更。 表 2-10 の CPLLLOCKEN の説明を更新。 42 ページの「機能の説明」 でライン レート を 16.375Gb/s から 28.1Gb/s へ更新。 表 2-12 のタイ トルに VCO を追加。 表 2-15 から SDM0DATA1_0/SDM1DATA1_0 および SDM0DATA1_1/SDM1DATA1_1 を削除。 「Dynamic Frac-N (UltraScale FPGA のみ)」 の見出しを変更。 図 2-14 の後の段落で、 SDM[0/1]DATA の幅を 25 ビッ トに変更。 表 2-17 に行を追加。 「Dynamic Frac-N (UltraScale+ FPGA のみ)」 を追加。 「コンフ ィギュレーシ ョ ンの完了に応答する GTY ト ランシーバー TX のリセッ ト 」 で、 デフォルトでシングル モードに設定される リセッ ト モードの手順 3 を更新。 「近端 PMA ループバッ クへの、 または近端 PMA ループバッ クからの遷移」 の行を表 2-28 から表 2-33 へ移動。 表 2-37 の後に、 消費電力の削減に関する段落を追加。 図 2-28 の後の近端および遠端 PMA ループバッ クの箇条書きを更新。表 2-40 および表 2-41 の DRPADDR の幅を 16 ビッ トから 10 ビッ トに更新。 表 2-42 で、 DMONITOROUT の説明を更新し、 DMONITOROUTCLK を追加。「IBERT でのデジタル モニター出力のキャプチャ」 を追加。

第 3 章: 表 3-1 の後の段落を更新。 「TX 非同期ギアボッ クスのビッ ト順およびバイ ト順」を追加。表 3-20 の TXSYNCMODE の説明を更新。表 3-22 に注記を追加。 「マルチ レーン自動モードで TX および RX バッファー バイパスの両方を使用した場合の TXUSRCLK と RXUSRCLK の共有」 を追加。 表 3-25 の TXPRBSFORCEERR の説明を更新。 表 3-33 で、TXPIPPMEN ク ロ ッ ク ド メ インを非同期から TXUSRCLK2 へ変更、および TXPIPPMSEL の説明を更新。 表 3-35 で、 TXBUFDIFFCTRL[2:0] のデフォルト値を 3’b100 から 3’b000 へ変更。 表 3-37 と表 3-39 で、 TXPD[1:0] のクロ ッ ク ド メ インを更新。

第 4 章: 表 4-6 で、 RXELECIDLE の説明にサポート される 大ライン レートに関する文を追加し、 RXCDRHOLD と RXCDROVRDEN の項目を追加。 「SATA の場合のリセッ トおよび CDR の設定」 を追加。表 4-18 で、バス幅 80、 128、および 160 における BER フロア 10–6 の 大 ES_PRESCALE を更新。表 4-20 の ES_HORZ_OFFSET の説明を更新。「マルチ レーン自動モードで TX および RX バッファー バイパスの両方を使用した場合の TXUSRCLK と RXUSRCLK の共有」 を追加。 表 4-34 に注記を追加。

第 5 章: 表 5-1 で MGTAVCC の説明に公称電圧を追加。 図 5-1 で UltraScale および UltraScale+ FPGA の MGTAVCC を更新。 「アナログ電源ピン」 に、 PSG への電源供給に関する規則を追加。図 5-3 および図 5-4 の前の段落を更新。図 5-6 で UltraScale FPGA および UltraScale+ FPGA を更新。 316 ページの 「概要」 で UltraScale および UltraScale+ FPGA の MGTAVCC を更新。 表 5-5 で、 MGTREFCLK0P/N と MGTREFCLK1P/N のチェッ ク内容、および MGTAVCC[N] の公称電圧を更新。

第 6 章: 表 6-1 に RXSTATUS[2:0] を追加。

付録 B: 表 B-1 で DRP アドレス 0099h を追加し、00A8h および 00A9h を削除。表 B-2 の DRP アドレスを更新。

付録 C: 表 C-1 で、 DRP アドレス 001Eh を更新、 001Fh、 002Dh、 008Fh、 0099h、009Fh、 025Fh を追加、 00A8h、 00A9h を削除。 表 C-2 で、 DRP アドレス 0011h、008Fh、 00Fbh を更新、 0009h、 006Fh、 0090h、 0263h、 0269h を追加。

日付 バージョ ン 内容

UltraScale アーキテクチャ GTY ト ランシーバー 3UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 4: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

2015 年 11 月 24 日 1.1 ドキュ メン ト タイ トルから 「Advance 仕様」 を削除。資料全体に UltraScale+ FPGA を追加。

第 1 章: 「UltraScale アーキテクチャの概要」 を更新。 「機能」、 表 1-1、 および 「旧世代

FPGA との主な違い」 に記載のライン レート を更新。表 1-1 で、 15 タ ップの DFE に更新。

図 1-1 および図 1-3 に、 GTYE4_CHANNEL、 GTYE4_COMMON、 IBUFDS_GTE4、 およ

び OBUFDS_GTE4 プリ ミ ティブを追加。 図 1-2 のパターン ジェネレーター接続を更新。

「ポートおよび属性」 を追加。

第 2 章: 文書全体で IBUFDS_GTE4、 OBUFDS_GTE4、 および OBUFDS_GTE4_ADV プリ

ミ ティブを追加。 見出し 「出力モード」 を追加。 見出し 「OBUFDS_GTE3/4」 および

「OBUFDS_GTE3/4_ADV」 を更新。 24 ページの 「機能の説明」 の 2 番目の段落を更新。

図 2-4 および図 2-5 の前の段落を更新。 「外部基準クロ ッ クを 1 つ使用する場合」 および

「複数の外部基準クロ ッ クを使用する場合」 を追加。 表 2-7 に記載の

CPLLREFCLKSEL[2:0] の説明を更新。 表 2-8 に記載の QPLL0REFCLKSEL[2:0] および

QPLL1REFCLKSEL[2:0] の説明を更新。 表 2-8: 「GTYE3_CHANNEL ク ロ ッ クの属性」 お

よび表 2-10: 「GTYE3_COMMON ク ロ ッ クの属性」 を削除。表 2-10 に記載の CPLLRESET

の説明を更新、 注記を追加。 表 2-11 で、 SIM_CPLLREFCLK_SEL を削除、 CPLL_CFG3

タイプを追加、 CPLL_INIT_CFG1 が UltraScale FPGA にのみ適用可能であるこ とを記載。

式 2-3 および式 2-5 を更新。 表 2-15 から

SIM_QPLL0REFCLK_SEL/SIM_QPLL1REFCLK_SEL を削除。 「複数のレーンおよびク

ワッ ドの リセッ ト 」 を追加。 「CPLL リセッ ト 」 および図 2-10 に記載の CPLLRESET を

CPLLPD に変更。 42 ページの 「機能の説明」 の第 2 および第 3 パラグラフを更新。

図 2-13 に記載の VCO ブロ ッ クを更新。 式 2-3 を更新。 表 2-13 で、 N の有効な設定を更

新、 RATE、 SDMDATA、 および SDMWIDTH 係数を追加。 表 2-14 に、

QPLL0FBDIV[7:0]/QPLL1FBDIV[7:0]、 SDM0TOGGLE/SDM1TOGGLE、

SDM0FINALOUT[3:0]/SDM1FINALOUT[3:0]、 および

SDM0TESTDATA[14:0]/SDM1TESTDATA[14:0] を追加。 表 2-15 で、 BIAS_CFG_RSVD タ

イプを更新、 QPLL[0/1]CLKOUT_RATE、 QPLL0CLKOUT_RATEPPF0_CFG、

QPLL[0/1]CLKOUT_RATE、 QPLL[0/1]_PCI_EN、 および QPLL0_RATE_SW_USE_DRP を

追加、 QPLL[0/1]_FBDIV について有効な分周器の設定を更新、 SDM[0/1]DATA1_0、

SDM[0/1]DATA1_1、 SDM[0/1]_DATA_PIN_SEL および SDM[0/1]_WIDTH_PIN_SEL が

UltraScale FPGA にのみ適用可能であるこ とを記載。 48 ページの 「使用モード」 を追加。

表 2-21 で、 GTRESETSEL が UltraScale FPGA にのみ適用可能であるこ とを記載、

GTTXRESETSEL および GTRXRESETSEL を追加。 表 2-22 に記載の CPLLRESET の説明

を更新、 CPLLPD および表の注記を追加。 表 2-19: 「CPLL リセッ トの属性」 を削除。

表 2-25 に、 TXDCCDONE、 TXDCCFORCESTART、 および TXDCCRESET を追加。

表 2-26 に TX_DCC_LOOP_RST_CFG を追加。図 2-21 を更新。「RX レートの変更」 の 2 段

落目に RXRATEMODE の設定を追加。 表 2-28 の 「リセッ トするコンポーネン ト 」 と 「推

奨リセッ ト 」 の欄を更新、 2 つの新しい状況を追加。 表 2-29 に、 RXCKCALDONE、

RXCKCALRESET、 および RXCKCALSTART[6:0] を追加。 表 2-30 に、

CKCAL1_CFG_0/1/2/3、 CKCAL2_CFG_0/1/2/3/4、 RXCKCAL1_[IQ/I/Q]_LOOP_RST_CFG、

RXCKCAL2_[DX/D/S/X]_LOOP_RST_CFG、 および SRSTMODE を追加。 図 2-26 を更新。

表 2-33 の 「リセッ トするコンポーネン ト 」 と 「推奨リセッ ト 」 の欄を更新。 「RX レート

の変更」 の 初の段落を更新。

「複数のレーンおよびクワッ ドの電源投入/切断」 を追加。 「PLL のパワーダウン」 に

CPLL のパワーダウンの推奨事項を追加。 79 ページの 「機能の説明」 で、 近端 PCS ルー

プバッ クに関する箇条書きに記載されている RXREC を RXDES に変更、 近端 PMA ルー

プバッ クに関する箇条書きの説明を更新、 遠端ループバッ クに関する箇条書きに TX 位

相インターポレーター PPM コン ト ローラーについての説明を追加。

日付 バージョ ン 内容

UltraScale アーキテクチャ GTY ト ランシーバー 4UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 5: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

2015 年 11 月 24 日 1.1

(続き )

表 2-39 を追加。 表 2-40 で、 DRPEN および DRPRDY の説明を更新、 DRPADDR[15:0]、

PCSRSVDIN[2]、 および DRPRST を追加。 表 2-41 で、 DRPADDR[15:0] を追加、 DRPEN

および DRPRDY の説明を更新。 「デジタル モニター」 を追加。

第 3 章: 図 3-1、 図 3-14、 および図 3-17 のパターン ジェネレーター接続を更新。 表 3-4

で、 TXDATAEXTENDRSVD のクロ ッ ク ド メ インを TXUSRCLK2 から非同期に変更。

図 3-2 と図 3-3 の後に続く注記 1、 および図 3-4 と図 3-5 の後に続く注記 2 に

BUFG_GT_SYNC を追加。 図 3-3 の前の説明で、 真ん中の GTY ト ランシーバーの選択に

関する記載を追加。表 3-7 に記載の TXCTRL1[15:0] および TXCTRL0[15:0] の説明を更新。

「TX 同期ギアボッ クスの使用」 で、 GEARBOX_MODE[0] の設定を更新、 データ保留につ

いての文章を削除。 図 3-9 および図 3-10 を更新。 116 ページの手順 3 に、

TXHEADER[5:3] および TXDATA[127:64] に関する文章を追加。 「CAUI インターフェイ

ス」 および図 3-11 から 4 バイ ト ギアボッ クスを削除。 「TX 非同期ギアボッ クスの有効

化」 の GEARBOX_MODE[0] の設定を更新。 「データパス レイテンシの読み出し」 を追

加。 TXUSRCLK のジッ ターの影響を表 3-17 に追加。 表 3-19 に TX_FIFO_BYP_EN を追

加。 129 ページの 「機能の説明」 を更新。 図 3-18 を追加。 表 3-20 で、 TXPHDLYRESET

の説明で TXUSRCLK を TXOUTCLK に変更、 TXDLYSRESET、 TXPHDLYTSTCLK、

TXDLYHOLD、 TXDLYUPDOWN、 TXPHALIGNDONE、 TXSYNCMODE、

TXSYNCALLIN、 TXSYNCIN、 TXSYNCOUT、 および TXSYNCDONE の説明を更新、

TXDLYHOLD および TXDLYUPDOWN のクロ ッ ク ド メ インを非同期に変更。 表 3-21 で、

TX_FIFO_BYP_EN を追加、 TX_XCLK_SEL、 TXSYNC_MULTILANE、

TXSYNC_SKIP_DA、 および TXSYNC_OVRD の説明を更新。 「マルチ レーン手動モード

で TX バッファー バイパスを使用」 で、 初の段落から重複文を削除、 マスターの箇条

書きを更新。 表 3-23 および図 3-28 の後に続く段落二記載の方形波のテス ト パターンの

リ ス ト を拡大。 表 3-25 で、 TXPRBSSEL[3:0] の説明に記載されている内部データ幅と方

形波の周期を更新。 「TX パターン ジェネレーターの使用」 を追加。 BUFG_GT_SYNC を

図 3-30 の後の注記 6 に追加。 「TX プログラマブル分周器」 で、 サポート される分周器の

値と、 10 の箇条書きを更新。 表 3-30 で、 TX_PROGDIV_CFG の説明を更新、

TX_PROGDIV_RATE を追加。 表 3-31 の TXRATEMODE の説明を更新。 表 3-32 の

TX_PROGCLK_SEL の説明を更新。 155 ページの 「機能の説明」 を更新。 表 3-32 の前に、

内部 25MHz ク ロ ッ クの説明を追加。 表 3-33 で、 TXPIPPMEN、 TXPIPPMOVRDEN、

TXPIPPMSEL、 および TXPIPPMSTEPSIZE[4:0] のクロ ッ ク ド メ インを非同期に変更、

TXPIPPMOVRDEN および TXPIPPMSEL の説明を更新。 表 3-34 で、 UltraScale+ FPGA に

ついて TXPI_CFG0/1 のタイプを更新、 TXPI_PPM を追加、 TXPI_CFG2/3/4/5 および

TXPI_PPMCLK_SEL が UltraScale FPGA にのみ適用可能であるこ とを記載。 表 3-34 の後

に Verilog コードの例を追加。 表 3-35 で、 TXBUFDIFFCTRL[2:0]、 TXDEEMPH、 および

TXDIFFCTRL[4:0] のクロ ッ ク ド メ インを非同期に変更、 TXDEEMPH[1:0] を追加、

TXDIFFCTRL[4:0] の説明を更新、 TXBUFDIFFCTRL[2:0]、 TXDEEMPH、 および

TXDIFFPD が UltraScale FPGA にのみ適用可能であるこ とを記載、 TXPOSTCURSORINV、

TXPRECURSORINV、 TXQPIBIASEN、 TXQPISENN、 TXQPISENP、

TXQPISTRONGPDOWN、 および TXQPIWEAKPUP を削除。 表 3-36 で、

TX_DEEMPH2[5:0] および TX_DEEMPH3[5:0] を追加、 TX_EIDLE_ASSERT_DELAY の説明

を更新、 TX_QPI_STATUS_EN を削除、 TXDRVBIAS_P、 TXDRVBIAS_N、 TX_DCD_CFG、

および TX_DCD_EN が UltraScale FPGA にのみ適用可能であるこ とを記載。

表 3-39 の XPDELECIDLEMODE のクロ ッ ク ド メ インを非同期に変更。 表 3-40 に記載の

SATA_BURST_SEQ_LEN[3:0] の説明を更新。

日付 バージョ ン 内容

UltraScale アーキテクチャ GTY ト ランシーバー 5UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 6: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

2015 年 11 月 24 日 1.1

(続き )

第 4 章: 図 4-2 で、 RX_CM_SEL[1:0] からの GND 接続を削除。 表 4-1 で、

RXTERMINATION を追加、 RXQPISENN、 RXQPISENP、 および RXQPIEN を削除。 表 4-2

に記載の RX_CM_SEL[1:0] および RX_CM_TRIM[3:0] の説明を更新。 表 4-4 および表 4-5

の使用法を更新。 表 4-5: 「使用モード 3 — RX 終端」 および図 4-5: 「使用モード 3」 を削除。

表 4-5 に注記を追加。 表 4-6 に記載の TXSYSCLKSEL の説明を更新。 表 4-7 で、

SAS_MIN_COM、 SAS_MIN_INIT、 SAS_MIN_WAKE、 SAS_MIN_BURST、

SAS_MAX_BURST、 SAS_MAX_COM、 SAS_MAX_INIT、 および SAS_MAX_WAKE の属

性が UltraScale FPGA にのみ適用可能であるこ とを記載。図 4-12 の KH と KL の順序を並べ

替え。 図 4-13 を更新。 表 4-9 で、 すべてのポートのクロッ ク ド メ インを非同期に変更、

RXDFEXYDEN を削除、 RXMONITOROUT[7:0]、 RXAFECFOKEN、 FREQOS、

RXDFECFOKFCNUM[3:0]、 RXDFECFOKFEN、 RXDFECFOKFPULSE、

{RXDFECFOKHOLD, RXDFECFOKOVREN}、 および {RXDFEKHHOLD,

RXDFEKHOVRDEN} を追加、 全体の説明を更新。 表 4-10 で、 DFE と LPM のモード間で

属性が共有される という趣旨の文を RX_DFE_KL_LPM_KH_CFG1[2:0]、

RX_DFE_KL_LPM_KL_CFG1[2:0]、 および RX_DFE_AGC_CFG1[2:0] の説明に追加、

ADAPT_CFG2、 CTLE3_OCAP_EXT_CTRL、 CTLE3_OCAP_EXT_EN、

RXDFE_KH_CFG0/1/2/3、 RXDFE_UT_CFG2、 および RX_EN_CTLE_RCAL_B の属性を追

加、 RX_CTLE3_LPF、 RX_EN_HI_LR、 RX_SUM_RES_CTRL、 RX_DFE_AGC_CFG0[1:0]、

DFE_D_X_REL_POS、 および DFE_VCM_COMP_EN が UltraScale FPGA にのみ適用可能で

あるこ とを記載。「GTY 使用モード」 および 「GTY ト ランシーバー : 実行時の LPM および

DFE モードの切り替え」 を更新。表 4-11 で、 RXCDRRESETRSV が UltraScale FPGA にのみ

適用可能であるこ とを記載、 INCPCTRL、 CDRSTEPSX、 CDRSTEPSQ、 および

CDRSTEPDIR を追加。 表 4-12 で、 RXCDR_CFG2_GEN2、 RXCDR_CFG3_GEN2、

RXCDR_CFG2_GEN4、 RXCDR_CFG3_GEN4、 RXCDR_LOCK_CFG3、

RXCDR_LOCK_CFG4、 RX_WIDEMODE_CDR_GEN3、 RX_WIDEMODE_CDR_GEN4、

RX_XMODE_SEL、および SAMPLE_CLK_PHASE を追加、RXPI_CFG2/3/4/5/6 が UltraScale

FPGA にのみ適用可能であるこ とを記載。 「RX CDR を基準クロ ッ クにロ ッ クする」 を追

加。 BUFG_GT_SYNC を図 4-16 の後の注記 6 に追加。 表 4-15 の RX_PROGDIV_CFG のタ

イプと有効な設定を更新。 表 4-16 の RXRATEMODE の説明を更新。 表 4-17 の前に、 内部

25MHz クロ ッ クの説明を追加。 「アイ スキャンの原理」 を更新。 「アイ スキャンのアーキ

テクチャ」 で、 図 4-19 の後の説明を拡大、 式 4-2、 式 4-3、 および表 4-18 を追加。 表 4-19

の前の段落の、 COE ステータス レジスタを DRP 読み出し専用レジスタに置き換え。

表 4-19 で、 EYESCANTRIGGER ド メ インを RXUSRCLK2 から非同期に変更、

EYESCANMODE が UltraScale FPGA にのみ適用可能であるこ とを記載。 表 4-20 で、

ES_PRESCALE の 大プリ スケール値を更新、 ES_QUALIFIER、 ES_SDATA_MASK、

ES_ERRDET_EN、 USE_PCS_CLK_PHASE_SEL、 ES_CLK_PHASE_SEL、 および

RX_EYESCAN_VS_RANGE の説明を更新、 ISCAN_CK_PH_SEL2 を追加、

ES_EYE_SCAN_EN および ES_ERRDET_EN のタイプを 1 ビッ ト バイナリからブール型に

変更。 小文字の属性を表 4-20 から新しい表 4-21 に移動させて別に記載。

RX_PRBS_ERR_CNT 属性を表 4-25 から新しい表 4-26 に移動させて別に記載。 図 4-22 の

シ リアル データ ス ト リームの方向を逆に変更。 図 4-23 の見出しを変更。 「カンマ アライ

メン トの有効化」 および 「アライ メン ト ステータス信号」 に記載の 「 も近接した境界」

を 「シンボルの境界」 に変更。 「手動アライ メン ト 」 の 初の段落を更新。 図 4-28 および

図 4-29 で、 RXUSRCLK2 の 2 サイクル対応できるよ うに RXSLIDE パルス幅を拡張。

図 4-29 の前の段落を更新。 表 4-28 で、 RXSLIDE_MODE の説明を更新、

COMMA_ALIGN_LATENCY を新しい表 4-29 に移動させて別に記載。 「8B/10B のビッ トお

よびバイ ト順序」 で、 RXDISPERR および RXCHARISK をそれぞれ RXCTRL1 と

RXCTRL0 に変更。

日付 バージョ ン 内容

UltraScale アーキテクチャ GTY ト ランシーバー 6UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 7: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

2015 年 11 月 24 日 1.1

(続き )

「8B/10B デコーダーの有効化/無効化」 の RX_DATA_WIDTH の設定を更新。 図 4-32 とそ

の図の前の段落を更新。 表 4-32 で、 RXPHDLYPD、 RXPHOVRDEN、 および RXDLYEN

の説明を更新、 RXDDIEN、 RXPHMONITOR、 および RXPHSLIPMONITOR ポート を削

除。 表 4-33 で、 RXPHBEACON_CFG、 RXPHSAMP_CFG、 および RXPHSLIP_CFG を追

加、 RXPH_CFG および RXDLY_TAP_CFG を削除、 RX_XCLK_SEL、 RXPHDLY_CFG、

および RX_DDI_SEL の説明を更新。 「マルチ レーン手動モードで RX バッファー バイパ

スを使用」 のマスターの箇条書きを更新。 図 4-36 から M_RXDDIEN および S_RXDDIEN

を削除。 表 4-35 で、 RX エラスティ ッ ク バッファーを更新、 RXUSRCLK のジッターの影

響に関する行を追加。 表 4-37 で、 RX_XCLK_SEL、 RX_DEFER_RESET_BUF_EN、

RXBUF_RESET_ON_CB_CHANGE、 RXBUF_RESET_ON_COMMAALIGN、 および

RXBUF_RESET_ON_EIDLE の説明を更新。表 4-39 の RX8B10BEN の説明を更新。表 4-40

で、 RX_INT_DATAWIDTH の重複を削除、 RX_DATAWIDTH の説明を更新、

PCIE3_CLK_COR_EMPTY_THRSH、 PCIE3_CLK_COR_FULL_THRSH、

PCIE3_CLK_COR_MAX_LAT、 PCIE3_CLK_COR_MIN_LAT、 および

PCIE3_CLK_COR_THRSH_TIMER を追加。 「ク ロ ッ ク コレクシ ョ ン シーケンスの設定」

の 3 番目と 4 番目の段落を更新。 「RX チャネル ボンディング」 の 初の段落を更新。

表 4-42 に記載の RXCHANISALIGNED の説明を更新。 表 4-43 で、 PCS_PCIE_EN を削除、

CHAN_BOND_MAX_SKEW、 CHAN_BOND_KEEP_ALIGN、

CHAN_BOND_SEQ_1_1/2/3/4、 CHAN_BOND_SEQ_1_ENABLE、

CHAN_BOND_SEQ_2_1/2/3/4、 CHAN_BOND_SEQ_2_ENABLE、

FTS_DESKEW_SEQ_ENABLE、および FTS_LANE_DESKEW_CFG の説明を更新。表 4-44

に記載の RXDATAVALID、 RXHEADER、 および RXHEADERVALID の説明を更新。

図 4-48 の前の段落を更新。 図 4-49 とその見出しを変更。 表 4-47 に記載の

RXGEARBOXSLIP、 RXHEADER[5:0]、 および RXHEADERVALID[1:0] の説明を更新。

表 4-47 の見出しを修正。 「RX 非同期ギアボッ クスの有効化」 を更新。 図 4-60 に

RXHEADER[1:0] を追加。 「データパス レイテンシの読み出し」 を追加。

第 5 章: 表 5-1 の MGTREFCLK0P/N および MGTREFCLK1P/N に関する方向および説明の

列を更新。 「終端抵抗キャ リブレーシ ョ ン回路」 の 初の段落を更新。 「アナログ電源ピ

ン」 に表 5-2 および表 5-3 を追加。 「基準クロ ッ ク出力バッファー」 および 「複数のレー

ンの電源投入/切断およびリセッ ト 」 を追加。表 5-4 と表 5-5 のキャパシタ耐性を ±10% に

更新。 表 5-5 の MGTREFCLK0P/N、 MGTREFCLK1P/N、 および MGTHRXP/N[3:0] に関す

る推奨事項を更新。

第 6 章: 新たに章を追加。

付録 B: 表 B-1 に DRP アドレス 0019h を追加。 表 B-2 で、 DRP アドレス 0035h、

0036h、 008Ch (RX_DFE_KL_LPM_KL_CFG0 と RX_DFE_KL_LPM_KL_CFG1)、 0099h

(GEARBOX_MODE、 TXPI_VREFSEL)、 009Ah、 009Bh (RX_DFELPM_CFG0)、 009Ch

(RXPI_CFG5)、 00ACh (RX_CLK_SLIP_OVRD)、 00BAh (DDI_CTRL)、 00BBh

(TXGBOX_FIFO_INIT_RD_ADDR、 TX_SAMPLE_PERIOD、

RXGBOX_FIFO_INIT_RD_ADDR、 RX_SAMPLE_PERIOD)、 00CDh (RX_DDI_SEL)、

00E0h (CTLE3_OCAP_EXT_CTRL)、 00FAh (RX_VREG_CTRL、 RX_VREG_PDB)、

0103h (RX_PROGDIV_RATE)、0105h (TX_PROGDIV_RATE)、007Fh ~ 0083h、 0150h

~ 015Fh、 および 00D5h ~ 00D9h を追加、 0028h、 002Ah、 003Eh、 および 00C6h を

更新。

付録 C: 新しい付録を追加。

付録 D: 「参考資料」 を更新。

2014 年 06 月 23 日 1.0 初版

日付 バージョ ン 内容

UltraScale アーキテクチャ GTY ト ランシーバー 7UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 8: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

目次

改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2

第 1 章: ト ランシーバーおよびツールの概要UltraScale アーキテクチャの概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10

機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11UltraScale FPGAs Transceivers Wizard. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15

シ ミ ュレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15

インプリ メンテーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17

第 2 章: 共有機能基準クロ ッ クの入力/出力の構造 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19

基準クロ ッ クの選択および分配 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24

チャネル PLL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37

クワ ッ ド PLL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42

リセッ トおよび初期化 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52

パワーダウン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76

ループバッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79

ダイナミ ッ ク リ コンフ ィギュレーシ ョ ン ポート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81

デジタル モニター . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85

第 3 章: ト ランスミ ッ タート ランス ミ ッ ター (TX) の概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95

TX インターフェイス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96

TX 8B/10B エンコーダー. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106

TX 同期ギアボッ クス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110

TX 非同期ギアボッ クス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120

TX バッファー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126

TX バッファーのバイパス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129

TX パターン ジェネレーター . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144

TX 極性制御 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 147

TX のファブリ ッ ク ク ロ ッ ク出力制御 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 148

TX 位相インターポレーター PPM コン ト ローラー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155

コンフ ィギュレーシ ョ ン可能な TX ド ラ イバー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158

PCI Express デザイン用の TX レシーバー検出機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 169

TX の OOB 信号 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 172

第 4 章: レシーバーレシーバー (RX) の概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174

RX アナログ フロン ト エンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 175

RX の OOB 信号 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 181

RX イコライザー (DFE および LPM) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 188

UltraScale アーキテクチャ GTY ト ランシーバー 8UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 9: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

RX CDR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 200

RX のファブリ ッ ク ク ロ ッ ク出力制御. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 205

RX のマージン解析 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 212

RX 極性制御 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 226

RX パターン チェッカー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 227

RX バイ トおよびワード アライ メン ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 229

RX 8B/10B デコーダー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 240

RX バッファーのバイパス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 245

RX エラスティ ッ ク バッファー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 258

RX ク ロ ッ ク コレクシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 264

RX チャネル ボンディング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 272

RX 同期ギアボッ クス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 283

RX 非同期ギアボッ クス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 294

RX インターフェイス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 302

第 5 章: ボード デザインのガイド ライン概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 307

ピンの説明およびデザインのガイ ド ライン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 307

基準クロ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 312

GTY ト ランシーバーの基準クロ ッ クのチェッ ク項目 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 314

基準クロ ッ クのインターフェイス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 314

電源およびフ ィルタ リ ング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 316

電源分配ネッ ト ワーク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 319

PCB デザインのチェッ ク リ ス ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 320

第 6 章: 使用モデルPCI Express アーキテクチャ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 323

付録 A: 8B/10B の符号

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップGTYE3_COMMON プリ ミ ティブの DRP アドレス マップ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 336

GTYE3_CHANNEL プリ ミ ティブの DRP アドレス マップ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 357

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップGTYE4_COMMON プリ ミ ティブの DRP アドレス マップ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 380

GTYE4_CHANNEL プリ ミ ティブの DRP アドレス マップ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 402

付録 D: その他のリソースおよび法的通知ザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 427

ソ リ ューシ ョ ン センター . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 427

Xilinx Documentation Navigator およびデザイン ハブ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 427

参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 428

法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 429

UltraScale アーキテクチャ GTY ト ランシーバー 9UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 10: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 1 章

ト ランシーバーおよびツールの概要

UltraScale アーキテクチャの概要

ザイ リ ンクス UltraScale™ アーキテクチャは、 チップ上での効率的な配線とデータ処理だけでなく、 スマート プロ

セッシングによって数百ギガ ビッ ト /秒レベルのシステム性能を可能にする業界初の ASIC ク ラス All Programmable

アーキテクチャです。 UltraScale アーキテクチャ デバイスは、 次世代配線、 ASIC 方式のクロ ッキング、 3D-on-3D

IC、 マルチプロセッサ SoC (MPSoC) テク ノ ロジ、 新しい消費電力低減機能など、 業界 先端をいく革新的な技術に

よって高帯域幅、 高使用率の幅広いシステム要件に対応します。 これらのデバイスは多数の構築ブロッ クが共通と

なっているため、 異なるプロセス ノード間や製品ファ ミ リ間での拡張性に優れ、 複数のプラ ッ ト フォームに渡るシ

ステム レベルでの投資を可能にします。

Virtex® UltraScale+™ デバイスは、 も高いシ リ アル I/O 帯域幅と信号処理帯域幅、 大のオンチップ メモ リ集積度

など、 FinFET ノードで 高の性能と統合性を提供します。 業界で 高性能を誇る FPGA ファ ミ リの Virtex

UltraScale+ デバイスは、 1Tb/s を超えるネッ ト ワークやデータ センターから、 完全統合型レーダー /早期警告システ

ムにいたるまで、 広範なアプリ ケーシ ョ ンに 適です。

Virtex UltraScale デバイスは、 シ リ アル I/O 帯域幅およびロジッ ク容量などにおいて、 20nm で 高の性能と統合性を

提供します。 20nm プロセス ノードで業界唯一のハイエンド FPGA となるこのデバイスは、 400G ネッ ト ワークから

大規模 ASIC のプロ ト タイピングやエミ ュレーシ ョ ンなどのアプリ ケーシ ョ ンに 適です。

Kintex® UltraScale+ デバイスは、 ト ランシーバー、 メモ リ インターフェイス ライン レート、 100G コネクティビティ

コアなどのハイエンド機能を備えるこ とで もコス ト効率の高いソ リ ューシ ョ ンを可能にし、 FinFET ノードで も

優れた価格/性能/ワ ッ トのバランスを提供します。 この 新のミ ッ ドレンジ ファ ミ リは、 パケッ ト処理と DSP を多

用する機能に 適である と同時に、 ワイヤレス MIMO 技術、 Nx100G ネッ ト ワーク、 データ センターなど広範なア

プリ ケーシ ョ ンにも対応します。

Kintex UltraScale は、 20nm で 高の価格/性能/ワッ トのバランスを提供するデバイスで、 ミ ッ ド レンジ デバイス と し

て 高の信号処理帯域幅、 次世代ト ランシーバー、 適な対コス ト性能をもたらす低コス ト パッケージを提供しま

す。 このファ ミ リは、 100G ネッ ト ワークやデータ センター アプリ ケーシ ョ ンでのパケッ ト処理だけでなく、 次世

代の医療用画像処理、 8k4k ビデオ、 ヘテロジニアスなワイヤレス インフラなどで必要と される DSP 性能を重視する

アプリ ケーシ ョ ンにも 適です。

Zynq® UltraScale+ MPSoC デバイスは、 64 ビッ トのプロセッサ スケーラビ リティを実現しつつ、 リ アルタイム制御と

ソフ ト エンジンおよびハード エンジンを兼ね備えており、 グラフ ィ ッ クス、 ビデオ、 波形、 およびパケッ トの処理

に対応します。 高度な解析が可能な ARM® ベースのシステムと タスクのアクセラレーシ ョ ンが可能なオンチップ プ

ログラマブル ロジッ クが統合されているため、 5G ワイヤレス、 次世代 ADAS、 インダス ト リ アル IoT など広範なア

プリ ケーシ ョ ンにおいて無限の可能性を引き出すこ とができます。

このユーザー ガイ ドでは、 UltraScale アーキテクチャの GTY ト ランシーバーについて説明します。 UltraScale アーキ

テクチャに関するその他の資料は、 ザイ リ ンクスのウェブサイ ト (japan.xilinx.com/ultrascale) から入手可能です。

UltraScale アーキテクチャ GTY ト ランシーバー 10UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 11: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 1 章: ト ランシーバーおよびツールの概要

機能

UltraScale アーキテクチャの GTY ト ランシーバーは電力効率に優れ、UltraScale FPGA で 500Mb/s から 30.5Gb/s まで、

UltraScale+ FPGA で 500Mb/s から 32.75Gb/s までのライン レート をサポート します。 また、 柔軟なコンフ ィギュレー

シ ョ ンが可能であ り UltraScale アーキテクチャのプログラマブル ロジッ ク リ ソース と密接に統合されています。

表 1-1 に、 さまざまなアプリ ケーシ ョ ンに対応する ト ランシーバーの機能をグループ別に示します。

表 1-1: GTY ト ランシーバーの機能

グループ 機能

PCS 異なるライン レート要件をサポートする 2 バイ ト 、 4 バイ ト、 8 バイ トの内部データパス

8B/10B エンコードおよびデコード

64B/66B と 64B/67B をサポート

PCI Express® Gen3 128B/130B エンコードおよびデコード

カンマ検出およびバイ ト /ワード アライ メン ト

PRBS ジェネレーターおよびチェッカー

TX 位相 FIFO

ク ロ ッ ク コレクシ ョ ン/チャネル ボンディング用の RX エラスティ ッ ク FIFO

バッファー バイパスでの固定レイテンシをサポート

プログラマブル ロジッ ク インターフェイス

100Gb Attachment Unit Interface (CAUI) をサポート

マルチ レーン モードでのバッファー バイパスをネイティブ サポート

外部 VCXO (電圧制御ク リ スタル オシレーター ) の代替になる TX 位相インターポレーター PPM

コン ト ローラー

PMA 高ジッター性能を実現する、 共有 LC タンク型 PLL (位相ロッ ク ループ) を各クワ ッ ドに 2 つずつ

備える

クロ ッキングに優れた柔軟性を与える リ ング PLL を各チャネルにそれぞれ 1 つずつ備える

低消費電力モード (LPM) と呼ばれる電力効率の優れた適応型リニア イコライザー モード、 自動

適応可

15 タ ップの判定帰還イコライザー (DFE)、 自動適応可

TX プリエンファシス

プログラマブル送信出力

PCI Express デザイン用のビーコン信号

SATA デザインに対応する COM 信号を含む OOB 信号伝送

UltraScale FPGA で 大 30.5Gb/s、 UltraScale+ FPGA で 大 32.75Gb/s のライン レート をサポート

UltraScale アーキテクチャ GTY ト ランシーバー 11UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 12: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 1 章: ト ランシーバーおよびツールの概要

GTY ト ランシーバーは、 次の使用モードをサポート します。

• PCI Express、 リ ビジ ョ ン 1.1/2.0/3.0

• SFF-8431 (SFP+)

• 10GBASE-R/KR

• Interlaken

• 10Gb Attachment Unit Interface (XAUI)、Reduced Pin eXtended Attachment Unit Interface (RXAUI)、100Gb Attachment

Unit Interface (CAUI)、 40Gb Attachment Unit Interface (XLAUI)

• Common Packet Radio Interface (CPRI™)、 Open Base Station Architecture Initiative (OBSAI)

• OC-48/192

• Optical-channel Transport Unit (OTU): OTU-1、 OTU-2、 OTU-3、 OTU-4

• Serial RapidIO (SRIO)

• Serial Advanced Technology Attachment (SATA)、 Serial Attached SCSI (SAS)

• Serial Digital Interface (SDI)

旧世代 FPGA との主な違い

• 大 30.5Gb/s のライン レート をサポート

• 64B/66B と 64B/67B ギアボッ クスをサポート

• PRBS ジェネレーターおよびチェッカー

• PCIe Gen3 をサポートするデータパスを追加

• ク ロ ッキング機能を強化してインターコネク ト ロジッ クでの 64B/66B タイプ プロ ト コルを柔軟にサポート

UltraScale アーキテクチャ デバイスのファンクシ ョ ン ブロ ッ クに関するその他の情報は、 次の資料を参照してくだ

さい。

• 『UltraScale アーキテクチャ コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG570) [参照 1] は、 デバイス コンフ ィ

ギュレーシ ョ ンについて説明しています。

• 『UltraScale アークテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571) [参照 2] は、 I/O リ ソースについて説明

しています。

• 『UltraScale アーキテクチャ ク ロ ッキング リ ソース ユーザー ガイ ド』 (UG572) [参照 3] は、 ミ ッ クス ド モード ク

ロ ッ ク マネージャー (MMCM) およびクロ ッキングについて説明しています。

UltraScale アーキテクチャ GTY ト ランシーバー 12UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 13: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 1 章: ト ランシーバーおよびツールの概要

図 1-1 に、 4 つの GTYE3/4_CHANNEL プリ ミ ティブと 1 つの GTYE3/4_COMMON プリ ミ ティブを含むクワッ ドを示します。

注記: UltraScale FPGA の GTY ト ランシーバー プリ ミ ティブは GTYE3_COMMON および GTYE3_CHANNEL、UltraScale+ FPGA の GTH ト ランシーバー プリ ミ ティブは GTYE4_COMMON および GTYE4_CHANNEL と呼ばれています。

1 つの GTYE3/4_COMMON プリ ミ ティブと 4 つの GTYE3/4_CHANNEL プリ ミ ティブでク ラスター化されたグループ

をクワッ ド (Q) といいます。

X-Ref Target - Figure 1-1

図 1-1: GTY ト ランシーバーのクワッ ド コンフ ィギュレーシ ョ ン

TX

RX Recoveredclock routeddirectly fromthe PMA

CPLL

QPLL1

TX

RX

CPLL

TX

RX

CPLL

TX

RX

CPLL

REFCLKDistribution

GTYE3/4_CHANNEL

GTYE3/4_CHANNEL

GTYE3/4_CHANNEL

GTYE3/4_CHANNEL

GTYE3/4_COMMON

QPLL0

IBUFDS_GTE3/4 /OBUFDS_GTE3/4

IBUFDS_GTE3/4 /OBUFDS_GTE3/4

X19573-081417

UltraScale アーキテクチャ GTY ト ランシーバー 13UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 14: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 1 章: ト ランシーバーおよびツールの概要

GTYE3/4_COMMON プリ ミ ティブには、 2 つの LC タンク型 PLL (QPLL0 および QPLL1) があ り ます。

GTYE3/4_CHANNEL は、 LC タンク型 PLL を使用するアプリ ケーシ ョ ンの場合のみインスタンシエートする必要が

あ り ます。

各 GTYE3/4_CHANNEL プリ ミ ティブは、 チャネル PLL (CPLL)、 ト ランス ミ ッ ター、 レシーバーをそれぞれ 1 つ備

えています。

図 1-2 に、 GTYE3/4_CHANNEL プリ ミ ティブの トポロジを示します。

RX/TX ク ロ ッ ク分周器へクロ ッ クを供給するチャネル ク ロ ッキング アーキテクチャの詳細は、 38 ページの図 2-11

を参照してください。

X-Ref Target - Figure 1-2

図 1-2: GTYE3/4_CHANNEL プリ ミテ ィブのトポロジ

PISO

TXPre/PostEmp

TXOOB and

PCIe

TX Clock Dividers

Polarity SATAOOB

PCIe Beacon

PhaseAdjustFIFO

TX PIPE Control

TX Sync Gearbox

8B/10BEncoder TX

Interface

To RX Parallel Data(Near-End PCSLoopback)

From RX Parallel Data (Far-End PMA

Loopback)

From RX Parallel Data (Far-End PCS

Loopback)

TXDriver

TX Phase Interpolator

TX PhaseInterpolatorController

TX PMA TX PCS

TX AsyncGearbox

128B/130BEncoder

PatternGenerator

SIPO

DFE

RX OOB

RXEQ

RXClock

Dividers

Polarity

PRBSChecker

CommaDetect

andAlign

RX Sync Gearbox

RXInterface

From Channel Clocking

Architecture

RXElasticBuffer

RX Async Gearbox

RX PIPEControl

RX StatusControl

PCIe RX Buffer

Block Detect Align

128B/130B Decoder

8B/10BDecoder

From Channel Clocking

Architecture

To RX EQ(Near-End PMALoopback)

X19574-082217

UltraScale アーキテクチャ GTY ト ランシーバー 14UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 15: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 1 章: ト ランシーバーおよびツールの概要

UltraScale FPGAs Transceivers WizardUltraScale FPGAs Transceivers Wizard (以降ウ ィザード と呼ぶ) は、 UltraScale FPGA の GTYE3_COMMON および

GTYE3_CHANNEL プリ ミ ティブと、 UltraScale+ FPGA の GTYE4_COMMON および GTYE4_CHANNEL プリ ミ ティ

ブをインスタンシエートする際のラ ッパー生成に使用できます。 このウ ィザードは、 IP カタログの [IOInterfaces] カ

テゴ リにあ り ます。

推奨: これを使用する前に、 必ず 新の IP アップデート をダウンロード して ください。 ウ ィザードの使用方法は、

『UltraScale FPGA Transceivers Wizard 製品ガイ ド』 (PG182) [参照 4] を参照してください。

シミ ュレーシ ョ ン

機能の説明

GTYE3/4_CHANNEL プリ ミ ティブと GTYE3/4_COMMON プリ ミ ティブを使用するシ ミ ュレーシ ョ ンの場合、 シ

ミ ュレーシ ョ ン環境およびテス トベンチについて特定の要件があ り ます。 使用ハードウェア記述言語 (HDL) に基づ

いてサポート されるシ ミ ュレータの環境設定方法は、 新版の 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ

ミ ュレーシ ョ ン』 (UG900) [参照 5] を参照してください。

GTYE3_CHANNEL プリ ミ ティブと GTYE3_COMMON プリ ミ ティブを使用するデザインのシ ミ ュレーシ ョ ンの要件

は次のとおりです。

• シ ミ ュレータが SecureIP モデルをサポート している。

つま り、 モデル化されたブロ ッ クのインプリ メンテーシ ョ ンに使用される Verilog HDL の暗号化バージ ョ ンをサ

ポートする必要があ り ます。 SecureIP は、 IP 暗号化方式です。 SecureIP モデルをサポートするには、 Verilog

LRM—IEEE Std 1364-2005 暗号化に準拠するシ ミ ュレータが必要です。

• VHDL シ ミ ュレーシ ョ ン用の混合言語シ ミ ュレータ。

SecureIP モデルは基本的に Verilog を使用します。 これらを VHDL デザインで使用する場合は、 混合言語シミ ュ

レータが必要です。 シ ミ ュレータは、 VHDL および Verilog を同時にシ ミ ュレーシ ョ ンするこ とが要求されます。

• GTY ト ランシーバーの SecureIP モデルがインス トールされている。

• SecureIP の使用に対応するよ うにシ ミ ュレータが適切に設定されている (初期化ファイル、 環境変数)。

• シ ミ ュレータの精度が適切に設定されている (Verilog)。

UltraScale アーキテクチャ GTY ト ランシーバー 15UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 16: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 1 章: ト ランシーバーおよびツールの概要

ポートおよび属性

GTYE3/4_COMMON および GTYE3/4_CHANNEL プリ ミ ティブには、 シ ミ ュレーシ ョ ン専用のポートはあ り ません。

GTYE3/4_COMMON の属性

GTYE3/4_COMMON プリ ミ ティブにはシ ミ ュレーシ ョ ン専用の属性があ り ます。 これらの属性は合成に影響しませ

ん。 表 1-2 に、 シ ミ ュレーシ ョ ンでのみ使用される GTYE3/4_COMMON プリ ミ ティブの属性を示します。 これらの

属性名は SIM_ で始ま り ます。

GTYE3/4_CHANNEL の属性

GTYE3/4_CHANNEL プリ ミ ティブにはシ ミ ュレーシ ョ ン専用の属性があ り ます。 これらの属性は合成に影響しませ

ん。 表 1-3 に、 シ ミ ュレーシ ョ ンでのみ使用される GTYE3/4_CHANNEL プリ ミ ティブの属性を示します。 これらの

属性名は SIM_ で始ま り ます。

表 1-2: GTYE3/4_COMMON のシミ ュレーシ ョ ン専用属性

属性 タイプ 説明

SIM_MODE 文字列 この属性は、 シ ミ ュレーシ ョ ン モードを選択します。 デフォルトは

FAST です。

SIM_RESET_SPEEDUP 文字列 TRUE (デフォルト ) の場合は、 近似のリセッ ト シーケンスを使用して

シ ミ ュレーシ ョ ンの リセッ ト時間を短縮します。 これは、 リセッ ト時

間やシ ミ ュレーシ ョ ン時間を高速化する際に使用します。 FALSE の場

合は、 モデルがハードウェア リセッ ト動作を細部にわたってエミ ュ

レート します。 TX または RX バッファー バイパス機能の使用時に、

SIM_RESET_SPEEDUP を FAST_ALIGN に設定してシ ミ ュレーシ ョ ン

時間を短縮できます。

SIM_VERSION 整数 UltraScale FPGA のみ:

シ リ コンの リ ビジ ョ ンと一致させるため、 シ ミ ュレーシ ョ ン バージ ョ

ンを選択します。 デフォルトは 2 です。

SIM_DEVICE 文字列 UltraScale+ FPGA のみ:

シ リ コンの リ ビジ ョ ンと一致させるため、 シ ミ ュレーシ ョ ン バージ ョ

ンを選択します。 デフォルトは ULTRASCALE_PLUS です。

表 1-3: GTYE3/4_CHANNEL のシミ ュレーシ ョ ン専用属性

属性 タイプ 説明

SIM_MODE 文字列 この属性は、 シ ミ ュレーシ ョ ン モードを選択します。 デフォル

トは FAST です。

SIM_RESET_SPEEDUP 文字列 TRUE (デフォルト ) の場合は、 近似のリセッ ト シーケンスを使

用してシ ミ ュレーシ ョ ンのリセッ ト時間を短縮します。 これは、

リセッ ト時間やシ ミ ュレーシ ョ ン時間を高速化する際に使用し

ます。 FALSE の場合は、 モデルがハードウェア リセッ ト動作を

細部にわたってエミ ュレート します。 TX または RX バッファー

バイパス機能の使用時に、 SIM_RESET_SPEEDUP を

FAST_ALIGN に設定してシミ ュレーシ ョ ン時間を短縮できます。

UltraScale アーキテクチャ GTY ト ランシーバー 16UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 17: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 1 章: ト ランシーバーおよびツールの概要

インプリ メンテーシ ョ ン

機能の説明

通常、 GTY ト ランシーバー クワ ッ ドの位置は設計プロセスの初期段階で指定します。 ク ロ ッ ク リ ソースを適切に使

用し、ボード設計時のシグナル インテグ リ ティの解析を容易にするため、 インプリ メンテーシ ョ ン フローでは XDC

ファ イル内で配置制約を使用するこ とによってこの指定を円滑に行います。

各 GTY ト ランシーバー チャネルと共通プリ ミ ティブの位置は、 列番号とその列内での位置を表す XY 座標を使用し

て指定します。 X0Y0 座標のト ランシーバーは、 与えられたデバイス /パッケージの組み合わせで、 一番下に位置す

るバンクの も下に配置されます。

GTY ト ランシーバーを使用するデザインの XDC ファ イルの生成方法は 2 とおりあ り ますが、 UltraScale FPGAs

Transceivers Wizard の使用を推奨します。 このウ ィザードで ト ランシーバーをコンフ ィギュレーシ ョ ンし、 GTY ト ラ

ンシーバーの配置情報のプレースホルダーを含む XDC ファ イル テンプレート を自動的に生成します。 この方法で生

成された XDC ファ イルは編集可能で、 パラ メーターや配置情報をアプリ ケーシ ョ ン用にカスタマイズできます。

XDC ファ イルを生成するも う 1 つの方法は手書きによるものです。 この方法で作成する場合、 ト ランシーバーの動

作を制御するコンフ ィギュレーシ ョ ン属性およびタイル位置のパラ メーターの両方を入力する必要があ り ます。

GTY ト ランシーバーのコンフ ィギュレーシ ョ ンに必要なすべてのパラ メーターを確実に入力するよ う、 十分に注意

してください。

LC タンク型 PLL が必要なアプリ ケーシ ョ ンでは、 GTYE3/4_COMMON プリ ミ ティブを、図 1-3 に示すよ うにインス

タンシエートする必要があ り ます。

SIM_RECEIVER_DETECT_PASS ブール型 UltraScale FPGA のみ:

TRUE または FALSE に設定可能であ り、 シ ミ ュレーシ ョ ンにお

いて未接続のレシーバーを使用するか、 接続されたレシーバー

を使用するか指定します。

SIM_TX_EIDLE_DRIVE_LEVEL 文字列 UltraScale FPGA のみ:

0、 1、 X、 または Z に設定可能であ り、 外部プルアップ抵抗を

使用して、 電気的アイ ドル状態のシ ミ ュレーシ ョ ンや受信検出

が可能です。 デフォルトは 0 です。

SIM_VERSION 整数 UltraScale FPGA のみ:

シ リ コンの リ ビジ ョ ンと一致させるため、 シ ミ ュレーシ ョ ン

バージ ョ ンを選択します。 デフォルトは 2 です。

SIM_DEVICE 文字列 UltraScale+ FPGA のみ:

シ リ コンの リ ビジ ョ ンと一致させるため、 シ ミ ュレーシ ョ ン

バージ ョ ンを選択します。 デフォルトは ULTRASCALE_PLUS

です。

表 1-3: GTYE3/4_CHANNEL のシミ ュレーシ ョ ン専用属性 (続き)

属性 タイプ 説明

UltraScale アーキテクチャ GTY ト ランシーバー 17UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 18: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 1 章: ト ランシーバーおよびツールの概要

各チャネルにはチャネル PLL (CPLL) が 1 つ含まれます。 したがって、 GTYE3/4_COMMON プリ ミ ティブをインスタ

ンシエートする必要がなく、 基準クロ ッ クを直接 GTYE3/4_CHANNEL プリ ミ ティブに接続できます。

X-Ref Target - Figure 1-3

図 1-3: 4 つのチャネルのコンフ ィギュレーシ ョ ン (GTYE3/4_COMMON の QPLL から基準クロックが供給される)

GTYE3/4_COMMON

IBUFDS_GTE3/4

2

GTYE3/4_CHANNEL

QPLL0

QPLL1

CPLL

TX

RX

GTYE3/4_CHANNEL

CPLL

TX

RX

GTYE3/4_CHANNEL

CPLL

TX

RX

GTYE3/4_CHANNEL

CPLL

TX

RX

X19575-090817

UltraScale アーキテクチャ GTY ト ランシーバー 18UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 19: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章

共有機能

基準クロックの入力/出力の構造

機能の説明

GTY ト ランシーバーの基準クロ ッ クの構造は、 入力および出力の 2 つの動作モードをサポート します。 入力モード

の場合、 クワッ ド PLL またはチャネル PLL の駆動に使用する専用の基準クロ ッ ク I/O ピンにユーザー デザインから

クロ ッ クを供給します。 出力モードの場合、 同じクワッ ド内にある 4 つのチャネルのいずれから出力される リ カバ

リ ク ロ ッ ク (RXRECCLKOUT) が専用の基準クロ ッ ク I/O ピンに接続されます。 その後、 この出力クロ ッ クは別の場

所で基準クロ ッ ク入力と して使用できます。 実行中に動作モードは変更するこ とはできません。

入力モード

図 2-1 に、 基準クロ ッ クの入力モードの構造を示します。 入力は、 4/5 MGTAVCC (UltraScale FPGA の場合) または

MGTAVCC (UltraScale+ FPGA の場合) に接続された 50Ω で内部終端されています。 基準クロ ッ クは、 UltraScale

FPGA の場合は IBUFDS_GTE3、 UltraScale+ FPGA の場合は IBUFDS_GTE4 ソフ ト ウェア プリ ミ ティブにインスタン

シエート されています。 基準クロ ッ クの入力を制御するポートおよび属性は、 IBUFDS_GTE3/4 プリ ミ ティブへ接続

されています。

図 2-1 に、 基準クロ ッ ク入力バッファーの内部構造を示します。

X-Ref Target - Figure 2-1

図 2-1: 基準クロック入力の構造

Nominal50Ω UltraScale FPGAs:

4/5 MGTAVCCUltraScale+ FPGAs:MGTAVCC

IBUFDS_GTE3/4

GTREFCLKP0/1

GTREFCLKN0/1

MGTAVCC

I

IB

CEB/2

1'b0

Reserved

O

ODIV2

REFCLK_HROW_CK_SEL

To GTREFCLK0/1 ofGTYE3/4_CHANNEL orGTYE3/4_COMMON

ToHROW

2'b00

2'b012'b10

2'b11

Nominal50Ω

X19576-081417

UltraScale アーキテクチャ GTY ト ランシーバー 19UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 20: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

ポートおよび属性

表 2-1 に、 IBUFDS_GTE3/4 ソフ ト ウェア プリ ミ ティブの基準クロ ッ ク入力ポート を示します。

表 2-2 に、 基準クロ ッ ク入力を構成する IBUFDS_GTE3/4 ソフ ト ウェア プリ ミ ティブの属性を示します。

出力モード

基準クロ ッ ク出力モードは、 OBUFDS_GTE3 および OBUFDS_GTE3_ADV (UltraScale FPGA の場合)、 または

OBUFDS_GTE4 および OBUFDS_GTE4_ADV (UltraScale+ FPGA の場合) の 2 つのソフ ト ウェア プリ ミ ティブのいずれ

か一方を用いて利用できます。 どちらのプリ ミ ティブを使用するかはアプリ ケーシ ョ ンで決定します。

RXRECCLKOUT が常に同じチャネルから生成される場合は、 OBUFDS_GTE3/4 を使用してください。

RXRECCLKOUT を供給するチャネルが動作中に変更可能な場合は、 OBUFDS_GTE3/4_ADV を使用します。

OBUFDS_GTE3/4_ADV プリ ミ ティブの使用時は、 GTYE3/4_COMMON プリ ミ ティブもインスタンシエート します。

OBUFDS_GTE3/4 プリ ミ ティブの使用時は、 GTYE3/4_COMMON をインスタンシエートする必要はあ り ません。

表 2-1: 基準クロック入力ポート (IBUFDS_GTE3/4)

ポート 方向 クロック ド メ イン 説明

CEB 入力 N/A ク ロ ッ ク バッファー用のアクティブ Low の非同期クロ ッ ク イ

ネーブル信号です。 この信号が High になる と、 ク ロ ッ ク バッ

ファーへの電力供給が停止します。

I 入力

(パッ ド )

N/A GTREFCLK0P および GTREFCLK1P へマップされる、 基準クロ ッ

ク入力ポートです。

IB 入力

(パッ ド )

N/A GTREFCLK0N および GTREFCLK1N へマップされる、基準クロ ッ

ク入力ポートです。

O 出力 N/A GTYE3/4_COMMON または GTYE3/4_CHANNEL ソフ ト ウェア プ

リ ミティブの GTREFCLK[0/1] 信号を駆動します。 詳細は、 24 ペー

ジの 「基準クロッ クの選択および分配」 を参照してください。

ODIV2 出力 N/A この出力は、 O 信号または O を 2 分周した信号を出力するよ うに

設定できます。 また、 HROW 配線を介して BUFG_GT を駆動でき

ます。 詳細は、 24 ページの 「基準クロ ッ クの選択および分配」 を

参照してください。

表 2-2: 基準クロック入力の属性 (IBUFDS_GTE3/4)

属性 タイプ 説明

REFCLK_EN_TX_PATH 1 ビッ ト バイナリ 予約。 常に 1'b0 に設定する必要があ り ます。

REFCLK_HROW_CK_SEL 2 ビッ ト バイナリ ODIV2 出力を設定します。

2'b00: ODIV2 = O

2'b01: ODIV2 = O を 2 分周した信号

2'b10: ODIV2 = 1'b0

2'b11: 予約

REFCLK_ICNTL_RX 2 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

UltraScale アーキテクチャ GTY ト ランシーバー 20UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 21: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

OBUFDS_GTE3/4

図 2-2 に、 OBUFDS_GTE3/4 プリ ミ ティブを用いた、 基準クロ ッ クの出力モードの構造を示します。 基準クロ ッ クの

出力を制御するポートおよび属性は、 OBUFDS_GTE3/4 プリ ミ ティブへ接続されています。

ポートおよび属性

表 2-3 に、 OBUFDS_GTE3/4 ソフ ト ウェア プリ ミ ティブのポート を示します。

表 2-4 に、 基準クロ ッ ク出力を構成する OBUFDS_GTE3/4 ソフ ト ウェア プリ ミ ティブの属性を示します。

X-Ref Target - Figure 2-2

図 2-2: OBUFDS_GTE3/4 を用いた基準クロック出力の使用モデル

表 2-3: 基準クロック出力ポート (OBUFDS_GTE3/4)

ポート 方向 クロック ド メイン 説明

CEB 入力 N/A ク ロ ッ ク バッファー用のアクティブ Low の非同期クロ ッ ク イネー

ブル信号です。 この信号が High になる と、 ク ロ ッ ク バッファーへ

の電力供給が停止します。

I 入力 N/A リ カバリ ク ロ ッ ク入力です。同じクワッ ド内にある 4 つの GTYE3/

4_CHANNEL のうちの 1 つの出力ポート RXRECCLKOUT に接続し

ます。

O 出力 N/A GTREFCLK0P および GTREFCLK1P へマップされる、 基準クロ ッ

ク出力ポートです。

OB 出力 N/A GTREFCLK0N および GTREFCLK1N へマップされる、 基準クロ ッ

ク出力ポートです。

表 2-4: 基準クロック出力の属性 (OBUFDS_GTE3/4)

属性 タイプ 説明

REFCLK_EN_TX_PATH 1 ビッ ト バイナリ 予約。 常に 1'b1 に設定する必要があ り ます。

REFCLK_ICNTL_TX 5 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

OBUFDS_GTE3/4

O

OB

MGTAVCC

CEB

I

FromRXRECCLKOUT ofGTYE3/4_CHANNEL

GTREFCLKP0/1

GTREFCLKN0/1

X19577-081417

UltraScale アーキテクチャ GTY ト ランシーバー 21UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 22: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

OBUFDS_GTE3/4_ADV

図 2-3 に、 OBUFDS_GTE3/4_ADV プリ ミ ティブを用いた、 基準クロ ッ クの出力モードの構造を示します。 基準ク

ロ ッ クの出力を制御するポートおよび属性は、 OBUFDS_GTE3/4_ADV および GTYE3/4_COMMON ソフ ト ウェア プ

リ ミ ティブへ接続されています。 GTYE3/4_COMMON の RXRECCLK0_SEL ポートおよび RXRECCLK1_SEL ポート

は、同じ クワッ ド内にある 4 つの異なるチャネルから出力される RXRECCLKOUT のいずれかを選択するマルチプレ

クサーを制御します。

X-Ref Target - Figure 2-3

図 2-3: OBUFDS_GTE3/4_ADV を用いた基準クロック出力の使用モデル

RXRECCLKOUT

GTYE3/4_CHANNEL 0

RXRECCLKOUT

GTYE3/4_CHANNEL 1

RXRECCLKOUT

GTYE3/4_CHANNEL 2

RXRECCLKOUT

GTYE3/4_CHANNEL 3OBUFDS_GTE3/4_ADV

O

OB

MGTAVCC

GTREFCLKP1

GTREFCLKN1

OBUFDS_GTE3/4_ADV

O

OB

MGTAVCC

CEB

I[0]

GTREFCLKP0

GTREFCLKN0

2'b00

2'b01

2'b10

2'b11

I[1]

I[2]

I[3]I

GTYE3/4_COMMON

RXRECCLK0_SEL

RXRECCLK1_SEL

RXRECCLK_SEL

I[0]2'b00

2'b01

2'b10

2'b11

I[1]

I[2]

I[3]

RXRECCLK_SEL

CEB

X19578-081817

UltraScale アーキテクチャ GTY ト ランシーバー 22UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 23: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

ポートおよび属性

表 2-5 に、 OBUFDS_GTE3/4_ADV ソフ ト ウェア プリ ミ ティブのポート を示します。

表 2-6 に、 基準クロ ッ ク出力を構成する OBUFDS_GTE3/4_ADV ソフ ト ウェア プリ ミ ティブの属性を示します。

表 2-5: 基準クロック出力ポート (OBUFDS_GTE3/4_ADV)

ポート 方向 クロック ド メイン 説明

CEB 入力 N/A ク ロ ッ ク バッファー用のアクティブ Low の非同期ク

ロ ッ ク イネーブル信号です。 この信号が High になる

と、 ク ロ ッ ク バッファーへの電力供給が停止します。

I[3:0] 入力 N/A リ カバリ ク ロ ッ ク入力バスです。

チャネル 0 へマップする GTYE3/4_CHANNEL の

RXRECCLKOUT に I[0] を接続します。

チャネル 1 へマップする GTYE3/4_CHANNEL の

RXRECCLKOUT に I[1] を接続します。

チャネル 2 へマップする GTYE3/4_CHANNEL の

RXRECCLKOUT に I[2] を接続します。

チャネル 3 へマップする GTYE3/4_CHANNEL の

RXRECCLKOUT に I[3] を接続します。

O 出力 N/A GTREFCLK0P および GTREFCLK1P へマップされる、

基準クロ ッ ク出力ポートです。

OB 出力 N/A GTREFCLK0N および GTREFCLK1N へマップされる、

基準クロ ッ ク出力ポートです。

RXRECCLK_SEL[1:0] 入力 非同期 リ カバリ ク ロ ッ ク入力用の選択を制御します。 GTYE3/

4_COMMON からの RXRECCLK0_SEL[1:0] 出力または

RXRECCLK1_SEL[1:0] 出力のいずれかに接続します。

O、 OB が GTREFCLK0P/N にマップされている場合、

RXRECCLK0_SEL を使用します。

O、 OB が GTREFCLK1P/N にマップされている場合、

RXRECCLK1_SEL を使用します。

表 2-6: 基準クロック出力の属性 (OBUFDS_GTE3/4_ADV)

属性 タイプ 説明

REFCLK_EN_TX_PATH 1 ビッ ト バイナリ 予約。 常に 1'b1 に設定する必要があ り ます。

REFCLK_ICNTL_TX 5 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

UltraScale アーキテクチャ GTY ト ランシーバー 23UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 24: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

基準クロックの選択および分配

機能の説明

UltraScale デバイスの GTY ト ランシーバーには複数の基準クロ ッ ク入力オプシ ョ ンがあ り ます。 ク ロ ッ クの選択や

可用性は 7 シ リーズ FPGA GTX/GTH ト ランシーバーと同じですが、 UltraScale デバイスの GTH ト ランシーバーの基

準クロ ッ ク アーキテクチャは、 2 つの LC タンク型 PLL (QPLL) と 1 つのリ ング オシレーター (CPLL) 型 PLL をサ

ポート します。

構造的には、 クワッ ド (Q) と呼ばれるグループの中に 4 つの GTYE3/4_CHANNEL プリ ミ ティブ、 1 つの GTYE3/

4_COMMON プリ ミ ティブ、 2 つの専用の外部基準クロ ッ ク ピン ペア、 および専用の基準クロ ッ ク配線が含まれて

います。 GTYE3/4_CHANNEL プリ ミ ティブは、 各ト ランシーバーにインスタンシエート される必要があ り ます。

高性能 QPLL が必要な場合は、 GTYE3/4_COMMON プリ ミ ティブのインスタンシエート も必要です。 クワ ッ ド

(Q(n)) の基準クロ ッ クは一般に、 GTNORTHREFCLK を介して下にある 大で 2 つのクワッ ド (Q(n–1) または Q(n-2))

から、 または GTSOUTHREFCLK を介して上にある 大で 2 つのクワッ ド (Q(n+1) または Q(n+2)) からも供給できま

す。 スタ ッ ク ド シ リ コン インターコネク ト (SSI) テク ノ ロジをサポートするデバイスの場合、 GTNORTHREFCLK お

よび GTSOUTREFCLK ポート を介する基準クロ ッ クの共有は、 デバイス自身の SLR (Super Logic Region) 内に制限さ

れています。 SSI テク ノ ロジの詳細は、 『UltraScale および UltraScale+ デバイス データシート 』 [参照 6] を参照してく

ださい。

UltraScale FPGA の場合、 ライン レートが 16.375Gb/s を超えるチャネルに別のクワ ッ ドから基準クロ ッ クを供給しな

いよ うにする必要があ り ます。 同じクワッ ド内にある 2 つのローカル基準クロ ッ ク ピン ペアのいずれか一方を使用

するこ とを推奨します。 16.375Gb/s を超えるライン レートで別のクワッ ドから基準クロ ッ クを供給する場合は、 十

分な リ ンク マージンを確保できるかど うかをユーザーが確認する必要があ り ます。 ラ イン レートが 16.375Gb/s を超

える場合、 QPLL0 は GTREFCLK00 を使用し、 QPLL1 は GTREFCLK01 を使用する必要があ り ます。

UltraScale+ FPGA の場合、 ライン レートが 16.375Gb/s から 28.21Gb/s までのチャネルに 1 つ上または下のクワッ ドか

ら基準クロ ッ クを供給できます。 共有する基準クロ ッ クを供給するクワッ ドは、 そのクワッ ド内にある 2 つの専用

基準クロ ッ ク入力ピン ペアのいずれか一方を柔軟に使用できます。 28.21Gb/s を超えるライン レートでは、 基準ク

ロ ッ クを共有できないので、 QPLL0 は GTREFCLK00 を使用し、 QPLL1 は GTREFCLK01 を使用する必要があ り ま

す。

基準クロ ッ クの特徴は次のとおりです。

• 上下方向へクロ ッ ク配線

• QPLL または CPLL へ柔軟にクロ ッ クを入力

• QPLL または CPLL の基準クロ ッ クを静的または動的に選択可能

クワッ ド アーキテクチャには、 4 つの GTY ト ランシーバー、 2 つの専用基準クロ ッ ク ピン ペア、 および専用の上下

方向の基準クロ ッ ク配線があ り ます。 クワ ッ ド内の各 GTY ト ランシーバーには、 6 つのクロ ッ ク入力があ り ます。

• 2 つのローカル基準クロ ッ ク ピン ペア、 GTREFCLK0 または GTREFCLK1

• 上にあるクワッ ドから受ける 2 つの基準クロ ッ ク ピン ペア (GTSOUTHREFCLK0 または GTSOUTHREFCLK1)

• 下にあるクワッ ドから受ける 2 つの基準クロ ッ ク ピン ペア (GTNORTHREFCLK0 または GTNORTHREFCLK1)

UltraScale アーキテクチャ GTY ト ランシーバー 24UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 25: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

上にある 2 つのクワッ ド Q(n+1) および Q(n+2) から供給できるク ロ ッ ク ソースは全部で 4 つあ り ますが、下方向のク

ロ ッ ク入力は 2 つしかないため、 上のクワッ ドから Q(n) に対して同時に物理接続できる基準クロ ッ ク ピン ペアは

2 つまでです。また、上のクワッ ド Q(n+1) がさらに 2 つ上のクワッ ド Q(n+3) から基準クロ ッ ク ピン ペアを受けてい

る場合、 上にあるクワッ ドから受けるこ とのできる基準クロ ッ ク ピン ペアの数は 4 つから 2 つまたは 3 つに減少し

ます。 これは、 各クワッ ドに接続している下方向の基準クロ ッ ク配線ト ラ ッ クが合計で 2 つしかないためです。

下のクワッ ドから基準クロ ッ クを供給する場合も同じ規則が適用されます。 下にある 2 つのクワッ ド Q(n-1) および

Q(n-2) から供給できるクロ ッ ク ソースは全部で 4 つあ り ますが、 上方向のクロ ッ ク入力は 2 つしかないため、 下の

クワッ ドから Q(n) に対して同時に物理接続できる基準クロ ッ ク ピン ペアは 2 つまでです。 また、 下のクワッ ド

Q(n-1) がさらに 2 つ下のクワッ ド Q(n-3) から基準クロ ッ ク ピン ペアを受けている場合、 下にあるクワ ッ ドから受け

るこ とのできる基準クロ ッ ク ピン ペアの数は 4 つから 2 つまたは 3 つに減少します。 これは、 各クワ ッ ドに接続し

ている上方向の基準クロ ッ ク配線ト ラ ッ ク も合計で 2 つしかないためです。 たとえば、 Q(n-1) の基準クロ ッ クを両

方と も Q(n-3) から供給している場合、 Q(n) に下のクワッ ドから基準クロ ッ ク ピンを供給できるのは Q(n-1) のみで

す。Q(n-3) から Q(n-1) へ 2 つの基準クロ ッ クを供給するために 2 つの配線ト ラ ッ クが既に使用されているため、Q(n)

は Q(n-2) の基準クロ ッ ク ピンにはアクセスできません。

図 2-4 に、 単一 GTYE3/4_COMMON プリ ミ ティブ内にある基準クロ ッ クのマルチプレクサー構造図を示します。

このマルチプレクサーへ複数の基準クロ ッ ク ソースを接続する場合には、 QPLL0REFCLKSEL および

QPLL1REFCLKSEL ポートが必要です。 通常は、 単一基準クロ ッ クの使用が も一般的です。 この場合、 基準ク

ロ ッ クを GTREFCLK00 および GTREFCLK01 ポートに接続し、 QPLL0REFCLKSEL および QPLL1REFCLKSEL ポー

ト を 3'b001 に接続します。 複雑なマルチプレクサーおよび関連配線の処理は、 ザイ リ ンクスのソフ ト ウェア ツー

ルで実行されます。

X-Ref Target - Figure 2-4

図 2-4: QPLL の基準クロック選択マルチプレクサー

GTREFCLK00GTREFCLK10

GTNORTHREFCLK00GTNORTHREFCLK10GTSOUTHREFCLK00GTSOUTHREFCLK10

GTGREFCLK0

GTREFCLK01GTREFCLK11

GTNORTHREFCLK01GTNORTHREFCLK11GTSOUTHREFCLK01GTSOUTHREFCLK11

GTGREFCLK1

QPLL0REFCLKSEL[2:0]

01234567

QPLL0 QPLL0OUTCLK

QPLL0OUTREFCLK

GTYE3/4_COMMON

QPLL1REFCLKSEL[2:0]

01234567

QPLL1 QPLL1OUTCLK

QPLL1OUTREFCLK

X19579-090817

UltraScale アーキテクチャ GTY ト ランシーバー 25UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 26: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

同様に、 図 2-5 に、 単一 GTYE3/4_CHANNEL プリ ミ ティブ内にある基準クロ ッ クのマルチプレクサー構造図を示し

ます。 このマルチプレクサーへ複数の基準クロ ッ ク ソースを接続する場合には、 CPLLREFCLKSEL ポートが必要で

す。 通常は、 単一基準クロ ッ クの使用が も一般的です。 この場合、 基準クロ ッ クを GTREFCLK0 ポートに接続し、

CPLLREFCLKSEL ポート を 3'b001 に接続します。 複雑なマルチプレクサーおよび関連配線の処理は、 ザイ リ ンク

スのソフ ト ウェア ツールで実行されます。

X-Ref Target - Figure 2-5

図 2-5: CPLL の基準クロック選択マルチプレクサー

GTREFCLK0

GTREFCLK1

GTNORTHREFCLK0

GTNORTHREFCLK1

GTSOUTHREFCLK0

GTSOUTHREFCLK1

GTGREFCLK

CPLLREFCLKSEL[2:0]

0

1

2

3

4

5

6

7

CPLL

GTYE3/4_CHANNEL

CPLLOutputCLK

X19580-081417

UltraScale アーキテクチャ GTY ト ランシーバー 26UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 27: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

外部基準クロックを 1 つ使用する場合

各クワッ ドには、 外部クロ ッ ク ソースへ接続可能な専用の差動基準クロ ッ ク入力ピンが 2 つあり ます

(MGTREFCLK0 [P/N] または MGTREFCLK1[P/N])。1 つの外部基準クロ ッ クを使用するモデルでは、 IBUFDS_GTE3/4

をインスタンシエート して専用の差動基準クロ ッ ク ソースのうち 1 つを利用する必要があ り ます。 図 2-6 に、 シン

グル クワ ッ ド内にある複数のト ランシーバーへ接続される 1 つの外部基準クロ ッ クを示します。 ユーザー デザイン

では、GTY ト ランシーバーの場合は IBUFDS_GTE3/4 出力 (O) を GTYE3/4_COMMON および GTYE3/4_CHANNEL プ

リ ミ ティブの GTREFCLK0 ポートへ接続します。

注記: 図 2-6 に示す IBUFDS_GTE3/4 の図は、 簡略化されたものです。 出力ポート ODIV2 はフローティングのままに

しておき、 入力ポート CEB はロジッ ク 0 に設定します。

図 2-7 に、 複数のクワッ ド内にある複数のト ランシーバーへ接続される 1 つの外部基準クロ ッ クを示します。 ユー

ザー デザインでは、 GTY ト ランシーバーの場合は IBUDFS_GTE3/4 出力 (O) を GTYE3/4_COMMON および GTYE3/

4_CHANNEL プリ ミ ティブの GTREFCLK0 ポートへ接続します。 その場合、 ザイ リ ンクスのインプリ メンテーシ ョ

ン ツールは、 上/下側配線およびピン スワップに対して、 必要に応じて、 1 つのクワッ ドから別のクワッ ドに基準ク

ロ ッ クを配線するために必要な調整を行います。

X-Ref Target - Figure 2-6

図 2-6: シングル クワッ ドにある複数のト ランシーバーを使用する 1 つの外部基準クロック

Q(n)

IBUFDS_GTE3/4

I

IBO

MGTREFCLKP

MGTREFCLKN

GTYE3/4_CHANNEL

GTREFCLK0

GTREFCLK1

GTYE3/4_CHANNEL

GTREFCLK0

GTREFCLK1

GTYE3/4_CHANNEL

GTREFCLK0

GTREFCLK1

GTYE3/4_COMMON

GTREFCLK00

GTREFCLK10

GTYE3/4_CHANNEL

GTREFCLK0

GTREFCLK1

GTREFCLK01

GTREFCLK11

X19598-081417

UltraScale アーキテクチャ GTY ト ランシーバー 27UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 28: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

注記: 図 2-7 に示す IBUFDS_GTE3/4 の図は、 簡略化されたものです。 出力ポート ODIV2 はフローティングのままに

しておき、 入力ポート CEB はロジッ ク 0 に設定します。

X-Ref Target - Figure 2-7

図 2-7: 複数クワッ ドにある複数のト ランシーバーを使用する 1 つの外部基準クロック

Q(n)

IBUFDS_GTE3/4

IIB OMGTREFCLKP

MGTREFCLKN

GTYE3/4_CHANNELGTREFCLK0GTREFCLK1

GTYE3/4_CHANNELGTREFCLK0GTREFCLK1

GTYE3/4_CHANNELGTREFCLK0GTREFCLK1

GTYE3/4_COMMONGTREFCLK00GTREFCLK10

GTYE3/4_CHANNELGTREFCLK0GTREFCLK1

Q(n-2)GTYE3/4_CHANNELGTREFCLK0GTREFCLK1

GTYE3/4_CHANNELGTREFCLK0GTREFCLK1

GTYE3/4_CHANNELGTREFCLK0GTREFCLK1

GTYE3/4_COMMONGTREFCLK00GTREFCLK10

GTYE3/4_CHANNELGTREFCLK0GTREFCLK1

Q(n+2)GTYE3/4_CHANNELGTREFCLK0GTREFCLK1

GTYE3/4_CHANNELGTREFCLK0GTREFCLK1

GTYE3/4_CHANNELGTREFCLK0GTREFCLK1

GTYE3/4_COMMONGTREFCLK00GTREFCLK10

GTYE3/4_CHANNELGTREFCLK0GTREFCLK1

GTREFCLK01GTREFCLK11

GTREFCLK01GTREFCLK11

GTREFCLK01GTREFCLK11

X19599-081417

UltraScale アーキテクチャ GTY ト ランシーバー 28UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 29: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

基準クロ ッ クを共有する場合、 このよ うなコンフ ィギュレーシ ョ ンで発生するジッ ターを高速デザインのジッター

マージン要件内に抑えるには、 次の規則に従う必要があ り ます。

• ソース となっているクワッ ドの上にあるクワッ ド数は 大 2 つまで。

• ソース となっているクワッ ドの下にあるクワッ ド数は 大 2 つまで。

• 外部クロ ッ ク ピン ペア (MGTREFCLKN/MGTERFCLKP) をクロ ッ ク ソース とするクワ ッ ドの合計数は 大 5 つ

まで ( 大 20 ト ランシーバーまで)。

1 組のクロ ッ ク ピン ペアでは、 大 20 のト ランシーバーへクロ ッ クを供給できます。 21 以上のト ランシーバーを使

用するデザインでは、 複数の外部クロ ッ ク ピンを使用してジッター制御の規則を確実に満たすよ うにして ください。

複数のクロッ ク ピンを使用する場合、 これらのピンは外部バッファーを使用して同じオシレーターから駆動できます。

複数の外部基準クロックを使用する場合

各クワッ ドには、 外部クロ ッ ク ソースへ接続可能な専用の差動基準クロ ッ ク入力ピンが 2 つあり ます

(MGTREFCLK0[P/N] または MGTERFCLK1[P/N])。 複数の外部基準クロ ッ クを使用する場合は、 専用基準クロ ッ ク

リ ソースを使用するために、 専用基準クロ ッ ク ピン ペアを対応する IBUDFS_GTE3 プリ ミ ティブにインスタンシ

エートする必要があ り ます。

1 つ目の外部基準クロ ッ ク (MGTREFCLK0[P/N]) は、 GTY ト ランシーバーの場合は IBUFDS_GTE3/4 出力 (O) を

GTYE3/4_COMMON および GTYE3/4_CHANNEL プリ ミ ティブの GTERFCLK0 ポートへ接続します。 2 つ目の外部基

準クロ ッ ク (MGTERFCLK1[P/N]) も同様に、 GTY ト ランシーバーの場合は IBUFDS_GTE3/4 出力 (O) を GTYE3/

4_COMMON および GTYE3/4_CHANNEL プリ ミ ティブの GTREFCLK1 ポートへ接続します。

各クワッ ドの QPLL および各ト ランシーバーの CPLL は、 シングル クワ ッ ドの MGTREFCLK0[P/N] または

MGTERCLK1[P/N] のいずれかをソース と します (図 2-8)。 ユーザーは、 QPLL0/1REFCLKSEL[2:0] および

CPLLREFCLKSEL[2:0] をそれぞれの値に設定し、 基準クロ ッ クのソースを選択できます。

UltraScale アーキテクチャ GTY ト ランシーバー 29UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 30: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

注記: 図 2-8 に示す IBUFDS_GTE3/4 の図は、 簡略化されたものです。 出力ポート ODIV2 はフローティングのままに

しておき、 入力ポート CEB はロジッ ク 0 に設定します。

基準クロ ッ クの選択は柔軟性に優れているため、 クワ ッ ド内の各ト ランシーバーは、 上下にある 2 つのクワッ ドの

専用基準クロ ッ クを使用するこ とが可能です。図 2-9 および図 2-10 では、 GTNORTHREFCLK と GTSOUTHREFCLK

ポート を使用して、 あるクワッ ドの ト ランシーバーが別のクワッ ドの専用基準クロ ッ クへアクセスする例を示しま

す。 GTY の各ト ランシーバー PLL に対して複数の基準クロ ッ ク オプシ ョ ンがある場合、 ユーザー デザインではデ

ザイン要件に応じて QPLL0/1REFCLKSEL[2:0] および CPLLREFCLKSEL[2:0] を設定する必要があ り ます。

X-Ref Target - Figure 2-8

図 2-8: シングル クワッ ドにある複数の基準クロックを使用する複数の GTY ト ランシーバー

Q(n)

IBUFDS_GTE3/4

I

IBO

MGTREFCLK0P

MGTREFCLK0N

GTYE3/4_CHANNEL

GTREFCLK0

GTREFCLK1

GTYE3/4_CHANNEL

GTREFCLK0

GTREFCLK1

GTYE3/4_CHANNEL

GTREFCLK0

GTREFCLK1

GTYE3/4_COMMON

GTREFCLK00

GTREFCLK10

GTYE3/4_CHANNEL

GTREFCLK0

GTREFCLK1

IBUFDS_GTE3/4

I

IBO

MGTREFCLK1P

MGTREFCLK1N

GTREFCLK01

GTREFCLK11

X19600-081817

UltraScale アーキテクチャ GTY ト ランシーバー 30UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 31: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

図 2-9 について説明します。

1. CPLLREFCLKSEL[2:0] を使用して GTREFCLK0/1、 GTNORTHREFCLK0/1、 および GTSOUTHREFCLK0/1 を選

択します。

2. IBUFDS_GTE3/4 の図は簡略化されたものです。 出力ポート ODIV2 はフローティングのままにしておき、 入力

ポート CEB はロジッ ク 0 に設定します。

X-Ref Target - Figure 2-9

図 2-9: 異なるクワッ ドにある複数の基準クロックで CPLL を使用する複数の GTY ト ランシーバー

Q(n)

IBUFDS_GTE3/4

I

IBO

MGTREFCLK0P

MGTREFCLK0N

IBUFDS_GTE3/4

I

IBO

MGTREFCLK1P

MGTREFCLK1N

Q(n-1)

IBUFDS_GTE3/4

I

IBO

MGTREFCLK0P

MGTREFCLK0N

IBUFDS_GTE3/4

I

IBO

MGTREFCLK1P

MGTREFCLK1N

GTREFCLK0GTREFCLK1

GTSOUTHREFCLK0GTSOUTHREFCLK1

GTYE3/4_CHANNEL

GTNORTHREFCLK0GTNORTHREFCLK1

GTREFCLK0GTREFCLK1

GTSOUTHREFCLK0GTSOUTHREFCLK1

GTYE3/4_CHANNEL

GTNORTHREFCLK0GTNORTHREFCLK1

X19601-081817

UltraScale アーキテクチャ GTY ト ランシーバー 31UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 32: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

図 2-10 について説明します。

1. QPLL0REFCLKSEL[2:0] を使用して GTREFCLK00/10、 GTNORTHREFCLK00/10、 および GTSOUTHREFCLK00/

10 を選択します。 QPLL1REFCLKSEL[2:0] を使用して GTREFCLK01/11、 GTNORTHREFCLK01/11、 および

GTSOUTHREFCLK01/11 を選択します。

2. IBUFDS_GTE3/4 の図は簡略化されたものです。 出力ポート ODIV2 はフローティングのままにしておき、 入力

ポート CEB はロジッ ク 0 に設定します。

X-Ref Target - Figure 2-10

図 2-10: 異なるクワッ ドにある複数の基準クロックで QPLL を使用する複数の GTY ト ランシーバー

Q(n)

IBUFDS_GTE3/4

I

IBO

MGTREFCLK0P

MGTREFCLK0N

IBUFDS_GTE3/4

I

IBO

MGTREFCLK1P

MGTREFCLK1N

Q(n-1)

IBUFDS_GTE3/4

I

IBO

MGTREFCLK0P

MGTREFCLK0N

IBUFDS_GTE3/4

I

IBO

MGTREFCLK1P

MGTREFCLK1N

GTREFCLK10GTREFCLK01

GTSOUTHREFCLK00GTSOUTHREFCLK10

GTYE3/4_COMMON

GTNORTHREFCLK00GTNORTHREFCLK10

GTREFCLK01GTREFCLK11

GTSOUTHREFCLK01GTSOUTHREFCLK11

GTNORTHREFCLK10GTNORTHREFCLK01

GTYE3/4_COMMON

GTSOUTHREFCLK01GTSOUTHREFCLK11

GTREFCLK00

GTREFCLK11

GTNORTHREFCLK01GTNORTHREFCLK11

GTSOUTHREFCLK00GTSOUTHREFCLK10

GTREFCLK00GTREFCLK10

GTNORTHREFCLK00

GTNORTHREFCLK11

X19610-081817

UltraScale アーキテクチャ GTY ト ランシーバー 32UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 33: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

基準クロ ッ クを共有する場合、 このよ うなコンフ ィギュレーシ ョ ンで発生するジッ ターを高速デザインのジッター

マージン要件内に抑えるには、 次の規則に従う必要があ り ます。

• ソース となっているクワッ ドの上にあるクワッ ド数は 大 2 つまで。

• ソース となっているクワッ ドの下にあるクワッ ド数は 大 2 つまで。

• 外部クロ ッ ク ピン ペア (MGTREFCLKN/MGTREFCLKP) をクロ ッ ク ソース とするクワ ッ ドの合計数は 大 5 つ

まで ( 大 20 ト ランシーバーまで)。

1 組のクロ ッ ク ピン ペアでは、 大 20 のト ランシーバーへクロ ッ クを供給できます。 21 以上のト ランシーバーを使

用するデザインでは、 複数の外部クロ ッ ク ピンを使用してジッター制御の規則を確実に満たすよ うにして ください。

複数のクロッ ク ピンを使用する場合、 これらのピンは外部バッファーを使用して同じオシレーターから駆動できます。

基準クロ ッ ク ソースを動的に変更する必要があるマルチ レート デザインの場合は、 QPLL0/1REFCLKSEL ポートお

よび CPLLREFCLKSEL ポート を使用してソースを動的に選択します。 選択後、 ユーザー デザインではアクティブ

High の CPLLPD および QPLL0/1RESET ポートで CPLL と QPLL を リセッ ト し、 続けて初期化プロセスを実行する必

要があ り ます (52 ページの 「リセッ トおよび初期化」 参照)。

ト ランシーバーからのクロ ッ ク出力 TXOUTCLK および RXOUTCLK は BUFG_GT バッファーを使用する必要があ り

ます。 ク ロ ッ ク出力をファブ リ ッ クに接続する場合も BUFG_GT を使用する必要があ り ます。 IBUFDS ク ロ ッ クを

ファブ リ ッ クに接続する必要がある場合、 BUFG_GT を経由する必要があ り ます。 GTREFCLKMONITOR、

REFCLKOUTMONITOR0/1 などのクロ ッ ク モニター信号は BUFG_GT にアクセスできません。 ク ロ ッキング リ ソー

スの詳細は、 『UltraScale アーキテクチャ ク ロ ッキング リ ソース ユーザー ガイ ド』 (UG572) [参照 3] を参照してくだ

さい。

重要: デバイス コンフ ィギュレーシ ョ ン時には、 MGTREFCLK[0/1]P および MGTREFCLK[0/1]N からの入力を使用す

る IBUFDS_GTE3/4 からのクロ ッ ク出力は、 次を満たす場合にのみ使用できます。

• GTPOWERGOOD 信号が既に High にアサート されている。

• GTPOWERGOOD が High にアサート されてから少なく と も 250µs 経過している。

UltraScale+ FPGA の場合、 Production リ リースの UltraScale FPGAs Transceiver Wizard で GTPOWERGOOD 信号を遅延

させるこ とによってこの要件に対応します。

UltraScale アーキテクチャ GTY ト ランシーバー 33UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 34: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

ポートおよび属性

表 2-7 および表 2-8 に、GTYE3/4_CHANNEL および GTYE3/4_COMMON プリ ミ ティブのクロ ッ ク ポート と属性を示

します。

表 2-7: GTYE3/4_CHANNEL のクロック ポート

ポート 方向クロック ド メイン

説明

CPLLREFCLKSEL[2:0] 入力 非同期 チャネル PLL の入力基準クロ ッ クを動的に選択するための

入力です。 チャネル PLL の基準クロ ッ ク選択マルチプレク

サーへクロ ッ ク ソース 1 つのみを接続する場合は、 この入

力を 3'b001 に設定して GTREFCLK0 へ接続してください。

基準クロ ッ ク入力の変更後は、 チャネル PLL を リセッ トす

る必要があ り ます。

000: 予約

001: GTREFCLK0 を選択

010: GTREFCLK1 を選択

011: GTNORTHREFCLK0 を選択

100: GTNORTHREFCLK1 を選択

101: GTSOUTHREFCLK0 を選択

110: GTSOUTHREFCLK1 を選択

111: GTGREFCLK を選択

GTGREFCLK 入力 クロ ッ ク インターコネク ト内部のロジッ クで生成される基準クロ ッ

クです。 内部テス ト専用です。

GTNORTHREFCLK0 入力 クロ ッ ク 下のクワッ ドから上方向へのクロ ッ クです。

GTNORTHREFCLK1 入力 クロ ッ ク 下のクワッ ドから上方向へのクロ ッ クです。

GTREFCLK0 入力 クロ ッ ク IBUFDS_GTE3/4 で駆動されるチャネル PLL 用の外部クロ ッ

クです。 詳細は、 314 ページの 「GTY ト ランシーバーの基

準クロ ッ クのチェッ ク項目」 を参照してください。

GTREFCLK1 入力 クロ ッ ク IBUFDS_GTE3/4 で駆動されるチャネル PLL 用の外部クロ ッ

クです。 詳細は、 314 ページの 「GTY ト ランシーバーの基

準クロ ッ クのチェッ ク項目」 を参照してください。

GTSOUTHREFCLK0 入力 クロ ッ ク 上のクワッ ドから下方向へのクロ ッ クです。

GTSOUTHREFCLK1 入力 クロ ッ ク 上のクワッ ドから下方向へのクロ ッ クです。

QPLL0CLK 入力 クロ ッ ク 高性能クワッ ド PLL からのクロ ッ ク入力です。 高性能ク

ワッ ド PLL を使用して TX や RX チャネルを駆動する場合

は、 GTYE3/4_COMMON プリ ミ ティブからの

QPLL0OUTCLK を、このポートへ接続する必要があ り ます。

QPLL1CLK 入力 クロ ッ ク 高性能クワッ ド PLL からのクロ ッ ク入力です。 高性能ク

ワッ ド PLL を使用して TX や RX チャネルを駆動する場合

は、 GTYE3/4_COMMON プリ ミ ティブからの

QPLL1OUTCLK を、このポートへ接続する必要があ り ます。

QPLL0REFCLK 入力 クロ ッ ク このポートは、 GTYE3_COMMON の QPLL0OUTREFCLK

ポートへ接続します。

UltraScale アーキテクチャ GTY ト ランシーバー 34UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 35: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

QPLL1REFCLK 入力 クロ ッ ク このポートは、 GTYE3_COMMON の QPLL1OUTREFCLK

ポートへ接続します。

RXSYSCLKSEL[1:0] 入力 非同期 RXOUTCLK を駆動する PLL 基準クロ ッ ク ソースを選択し

ます。

00 = CPLL

10 = QPLL0

11 = QPLL1

TXSYSCLKSEL[1:0] 入力 非同期 TXOUTCLK を駆動する PLL 基準クロ ッ ク ソースを選択し

ます。

00 = CPLL

10 = QPLL0

11 = QPLL1

TXPLLCLKSEL[1:0] 入力 非同期 TX データパスを駆動する PLL を選択します。

00 = CPLL

10 = QPLL1

11 = QPLL0

RXPLLCLKSEL[1:0] 入力 非同期 RX データパスを駆動する PLL を選択します。

00 = CPLL

10 = QPLL1

11 = QPLL0

GTREFCLKMONITOR 出力 クロ ッ ク CPLL の基準クロ ッ ク選択マルチプレクサーの出力です。

表 2-7: GTYE3/4_CHANNEL のクロック ポート (続き)

ポート 方向クロック ド メイン

説明

UltraScale アーキテクチャ GTY ト ランシーバー 35UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 36: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

表 2-8: GTYE3/4_COMMON のクロック ポート

ポート 方向クロック ド メイン

説明

QPLL0REFCLKSEL[2:0] 入力 非同期 クワッ ド PLL0 の入力基準クロ ッ クを動的に選択するた

めの入力です。 クワ ッ ド PLL の基準クロ ッ ク選択マル

チプレクサーへクロ ッ ク ソース 1 つのみを接続する場

合は、 3'b001 に設定して GTREFCLK00 へ接続してく

ださい。

基準クロ ッ ク入力の変更後は、 クワッ ド PLL を リセッ ト

する必要があ り ます。

000: 予約

001: GTREFCLK00 を選択

010: GTREFCLK10 を選択

011: GTNORTHREFCLK00 を選択

100: GTNORTHREFCLK10 を選択

101: GTSOUTHREFCLK00 を選択

110: GTSOUTHREFCLK10 を選択

111: GTGREFCLK0 を選択

QPLL1REFCLKSEL[2:0] 入力 非同期 クワッ ド PLL1 の入力基準クロ ッ クを動的に選択するた

めの入力です。 クワ ッ ド PLL の基準クロ ッ ク選択マル

チプレクサーへクロ ッ ク ソース 1 つのみを接続する場

合は、 3'b001 に設定して GTREFCLK01 へ接続してく

ださい。

基準クロ ッ ク入力の変更後は、 クワッ ド PLL を リセッ ト

する必要があ り ます。

000: 予約

001: GTREFCLK01 を選択

010: GTREFCLK11 を選択

011: GTNORTHREFCLK01 を選択

100: GTNORTHREFCLK11 を選択

101: GTSOUTHREFCLK01 を選択

110: GTSOUTHREFCLK11 を選択

111: GTGREFCLK1 を選択

GTNORTHREFCLK00

GTNORTHREFCLK01

入力 クロ ッ ク 下の MGTREFCLK0 から上方向へのクロ ッ クです。

GTNORTHREFCLK10

GTNORTHREFCLK11

入力 クロ ッ ク 下の MGTREFCLK1 から上方向へのクロ ッ クです。

GTREFCLK00

GTREFCLK10

入力 クロ ッ ク IBUFDS_GTE3/4 で駆動されるクワッ ド PLL0 用の外部

からのジッター安定クロ ッ クです。

GTREFCLK01

GTREFCLK11

入力 クロ ッ ク IBUFDS_GTE3/4 で駆動されるクワッ ド PLL1 用の外部

からのジッター安定クロ ッ クです。

UltraScale アーキテクチャ GTY ト ランシーバー 36UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 37: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

チャネル PLL

機能の説明

各 GTY ト ランシーバー チャネルには、 リ ング型のチャネル PLL (CPLL) が 1 つ含まれています。 図 2-11 に、 チャネ

ル プリ ミ ティブ内のクロ ッキング アーキテクチャを示します。 TX ク ロ ッ ク分周器および RX ク ロ ッ ク分周器は、 そ

れぞれ個別に QPLL0/1 または CPLL からのクロ ッ クを選択できるため、 TX データパス と RX データパスは異なる基

準クロ ッ ク入力を使用する非同期周波数で動作できます。

重要: UltraScale+ FPGA で CPLL を使用する場合は、 Production リ リースのウ ィザードを使用する必要があ り ます。

GTSOUTHREFCLK00

GTSOUTHREFCLK01

入力 クロ ッ ク 上の MGTREFCLK0 から下方向へのクロ ッ クです。

GTSOUTHREFCLK10

GTSOUTHREFCLK11

入力 クロ ッ ク 上の MGTREFCLK1 から下方向へのクロ ッ クです。

QPLL0OUTCLK 出力 クロ ッ ク 高性能クワ ッ ド PLL0 のクロ ッ ク出力です。 ト ランス

ミ ッ ターまたはレシーバーで高性能クワッ ド PLL0 ク

ロ ッ ク ソースが必要な場合は、 このポート を GTYE3/

4_CHANNEL の QPLL0CLK ポートに接続します。

QPLL1OUTCLK 出力 クロ ッ ク 高性能クワ ッ ド PLL1 のクロ ッ ク出力です。 ト ランス

ミ ッ ターまたはレシーバーで高性能クワッ ド PLL0 ク

ロ ッ ク ソースが必要な場合は、 このポート を GTYE3/

4_CHANNEL の QPLL1CLK ポートに接続します。

QPLL0OUTREFCLK 出力 クロ ッ ク このポートは、 GTYE3/4_CHANNEL の QPLL0REFCLK

ポートへ接続します。

QPLL1OUTREFCLK 出力 クロ ッ ク このポートは、 GTYE3/4_CHANNEL の QPLL1REFCLK

ポートへ接続します。

REFCLKOUTMONITOR0 出力 クロ ッ ク QPLL0 基準クロ ッ ク選択マルチプレクサーの出力です。

REFCLKOUTMONITOR1 出力 クロ ッ ク QPLL1 基準クロ ッ ク選択マルチプレクサーの出力です。

表 2-8: GTYE3/4_COMMON のクロック ポート (続き)

ポート 方向クロック ド メイン

説明

UltraScale アーキテクチャ GTY ト ランシーバー 37UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 38: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

CPLL 入力クロ ッ クの選択については、 24 ページの 「基準クロ ッ クの選択および分配」 で説明しています。 CPLL 出

力は TX および RX ク ロ ッ ク分周器ブロ ッ クへ接続し、 これらのブロ ッ クでは PMA ブロッ クや PCS ブロ ッ クで使用

されるシ リアルおよびパラレル ク ロ ッ クの生成が制御されます。 TX データパスおよび RX データパスが同じ VCO

周波数の整数倍のライン レートで動作する場合、 CPLL をこれらのデータパス間で共有できます。

図 2-12 に、 CPLL アーキテクチャの詳細を示します。 入力クロ ッ クは、 位相周波数検出器へ接続する前に M で分周

できます。 VCO の逓倍率および CPLL 出力周波数は、 フ ィードバッ ク分周器 (N1 および N2) で決定されます。 ロ ッ

ク検出 (Lock Indicator) ブロ ッ クは、 基準クロ ッ クの周波数と VCO フ ィードバッ ク ク ロ ッ クの周波数を比較して、

PLL のロ ッ ク条件を判断します。

GTY ト ランシーバーの PLL の公称動作範囲は 2.0GHz ~ 6.25GHz です。 実際の CPLL の動作範囲はデバイスのス

ピード グレードによ り異な り ます。 詳細は、 各デバイスのデータシート [参照 6] を参照してください。 UltraScale

FPGAs Transceivers Wizard がアプリ ケーシ ョ ン要件に応じて適切な CPLL 設定値を選択します。

CPLL 出力周波数 (GHz) は式 2-1 から求められます。

式 2-1

ライン レート (Gb/s) は式 2-2 から求められます。 D は、 チャネル内の TX/RX ク ロ ッ ク分周器の値を表します。

式 2-2

X-Ref Target - Figure 2-11

図 2-11: チャネル プリ ミテ ィブ内のクロッキング アーキテクチャ

X-Ref Target - Figure 2-12

図 2-12: CPLL のブロック図

CPLLTX

ClockDividers

RXClock

Dividers

TX PCS

TX PMA

RX PCS

RX PMARE

FCLK

Dis

tribu

tion

from QPLL0/1

X19581-081817

PhaseFrequencyDetector

ChargePump VCOLoop

Filter

LockIndicator

PLLCLKIN

/ M

PLLLOCKED

PLLCLKOUT

/ N1/ N2

X19582-081817

fPL LClkout fPL LClkinN1 N2×

M-----------------×=

fL ineRatefPL LClkout 2×

D----------------------------=

UltraScale アーキテクチャ GTY ト ランシーバー 38UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 39: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

表 2-9 に、 分周器の有効な設定値を示します。

ポートおよび属性

表 2-10 および表 2-11 に、 CPLL のポートおよび属性を示します。

表 2-9: CPLL 分周器の設定

係数 属性 有効値

M CPLL_REFCLK_DIV 1、 2

N2 CPLL_FBDIV 1、 2、 3、 4、 5

N1 CPLL_FBDIV_45 4、 5

DRXOUT_DIV

TXOUT_DIV1、 2、 4、 8、 16(1)、 32(1)

1. TX/RXOUT_DIV = 16 および 32 は、 CPLL の使用時はサポート されません。

表 2-10: CPLL のポート

ポート 方向 クロック ド メイン 説明

CPLLLOCKDETCLK 入力 クロ ッ ク CPLL へのフ ィードバッ ク信号や基準クロ ッ ク信

号を検出するための安定した基準クロ ッ クです。

CPLL へ入力される基準クロ ッ クまたは CPLL か

ら生成される出力クロ ッ ク (TXOUTCLK など) を

使用してこのクロ ッ クは駆動できません。

このクロ ッ クは CPLLFBCLKLOST および

CPLLREFCLKLOST ポートの使用時にのみ必要で

す。 CPLL のロッ ク検出、 リセッ トおよびパワー

ダウン機能への影響はあ り ません。

CPLLLOCKEN 入力 非同期 CPLL のロッ ク検出を有効にします。 CPLL を使用

中は常に High に接続する必要があ り ます。 CPLL

を使用していない場合は、 このポート を Low に接

続する と CPLLLOCK が誤って出力されるのを防

ぐこ とができます。

CPLLPD 入力 非同期 電力節約のために CPLL の電源を切断するアク

ティブ High 信号です。

UltraScale アーキテクチャ GTY ト ランシーバー 39UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 40: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

CPLLREFCLKSEL 入力 非同期 CPLL の入力基準クロ ッ クを動的に選択するため

の入力です。 CPLL の基準クロ ッ ク選択マルチプ

レクサーへクロ ッ ク ソース 1 つのみを接続する場

合は、 この入力を 3'b001 に接続して ください。

基準クロ ッ ク入力の変更後は、 CPLL を リセッ ト

する必要があ り ます。

000: 予約

001: GTREFCLK0 を選択

010: GTREFCLK1 を選択

011: GTNORTHREFCLK0 を選択

100: GTNORTHREFCLK1 を選択

101: GTSOUTHREFCLK0 を選択

110: GTSOUTHREFCLK1 を選択

111: GTGREFCLK を選択

CPLLRESET 入力 非同期 予約。CPLLPD を使用して CPLL を リセッ ト します。

CPLLFBCLKLOST 出力 CPLLLOCKDETCLK High の場合、 CPLL フ ィードバッ ク分周器から

CPLL の位相周波数検出器へのフ ィードバッ ク ク

ロ ッ クが失われたこ とを示します。

CPLLLOCK 出力 非同期 アクティブ High の場合、 この PLL 周波数ロッ ク

信号は、 PLL 周波数があらかじめ判断した耐性範

囲内であるこ とを示します。 この条件が満たされ

るまで、 ト ランシーバーおよびそのクロ ッ ク出力

は信頼できません。

CPLLREFCLKLOST 出力 CPLLLOCKDETCLK High の場合、 CPLL の位相周波数検出器への基準

クロ ッ クが失われたこ とを示します。

注記:1. GTY ト ランシーバーを PCIe モードに設定する場合、 CPLLRESET と CPLLPD を一緒に接続します。

表 2-11: CPLL の属性

属性 タイプ 説明

CPLL_CFG0 16 ビッ トの 16 進数 予約。 CPLL のコンフ ィギュレーシ ョ ン設定です。 ウ ィザードの

推奨値を使用してください。

CPLL_CFG1 16 ビッ トの 16 進数 予約。 CPLL のコンフ ィギュレーシ ョ ン設定です。

ウ ィザードの推奨値を使用してください。

CPLL_CFG2 16 ビッ トの 16 進数 予約。 CPLL のコンフ ィギュレーシ ョ ン設定です。

ウ ィザードの推奨値を使用してください。

CPLL_CFG3 UltraScale FPGA:

6 ビッ トの 16 進数

UltraScale+ FPGA:

16 ビッ トの 16 進数

予約。 CPLL のコンフ ィギュレーシ ョ ン設定です。

ウ ィザードの推奨値を使用してください。

表 2-10: CPLL のポート (続き)

ポート 方向 クロック ド メイン 説明

UltraScale アーキテクチャ GTY ト ランシーバー 40UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 41: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

使用モード

CPLL 設定を動的に変更する

CPLL 設定を動的に変更する際のイベン ト シーケンスを次に示します。 CPLL の変更に関連する情報のみが記載され

ています。

1. 準備が整ったら (すべての有効なデータが転送または受信された状態)、 表 2-11 にリ ス ト されている属性を

CPLLREFCLKSEL および/または DRP ポートで変更します。

2. 55 ページの 「CPLL リセッ ト 」 で説明されている リセッ ト ガイ ド ラ インに従います。

3. CPLL がロ ッ ク されたら、 GTTXRESET および/または GTRXRESET をアサート し、 60 ページの 「GTTXRESET

パルスに応答する GTY ト ランシーバー TX のリセッ ト 」 と 70 ページの 「GTRXRESET パルスに応答する GTY

ト ランシーバー RX のリセッ ト 」 で説明されているガイ ド ラインに従います。

4. ト ランシーバーの動作を続けます。

CPLL_FBDIV 整数 38 ページの図 2-11 に示す、 CPLL のフ ィードバッ ク分周器 N2 の

設定です。 有効な値は、 1、 2、 3、 4、 および 5 です。

CPLL_FBDIV_45 整数 38 ページの図 2-11 に示す、 CPLL の基準クロ ッ ク分周器 N1 の設

定です。 有効な値は、 4 および 5 です。

CPLL_INIT_CFG0 16 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

CPLL_INIT_CFG1 8 ビッ トの 16 進数 UltraScale FPGA のみ:

予約。 ウ ィザードの推奨値を使用してください。

CPLL_LOCK_CFG 16 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

CPLL_REFCLK_DIV 整数 38 ページの図 2-11 に示す、CPLL の基準クロ ッ ク分周器 M の設定

です。 有効な値は、 1 および 2 です。

RXOUT_DIV(1)整数 38 ページの図 2-11 に示す、 RX データパス用の CPLL/QPLL の出

力クロ ッ ク分周器 D の設定です。 有効な値は、 1、 2、 4、 8、 16、

および 32 です。

TXOUT_DIV(1)整数 38 ページの図 2-11 に示す、 TX データパス用の CPLL/QPLL の出

力クロ ッ ク分周器 D の設定です。 有効な値は、 1、 2、 4、 8、 16、

および 32 です。

SATA_CPLL_CFG 文字列 予約。 SATA アプリ ケーシ ョ ン用の設定です。 ウ ィザードの推奨

値を使用してください。

注記:1. TXOUT_DIV/RXOUT_DIV = 16 および 32 は、 CPLL の使用時はサポート されません。

表 2-11: CPLL の属性 (続き)

属性 タイプ 説明

UltraScale アーキテクチャ GTY ト ランシーバー 41UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 42: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

クワッ ド PLL

機能の説明

各クワッ ドには、 クワ ッ ド PLL と呼ばれる 2 つの LC タンク型 PLL (QPLL0 および QPLL1) があ り ます。 いずれの

QPLL も、 同じ クワッ ド内のシ リアル ト ランシーバー チャネルと共有できますが、 別のクワッ ドのチャネルとは共

有できません。 チャネルが CPLL の動作範囲以上のライン レートで動作する場合は、 QPLL0/1 を使用する必要があ

り ます。 GTYE3/4_COMMON プリ ミ ティブは GTY QPLL0/1 の両方を含み、 QPLL のどちらかを使用する場合インス

タンシエートする必要があ り ます。

QPLL0/1 入力基準クロ ッ クの選択については、 24 ページの 「基準クロ ッ クの選択および分配」 で説明します。 チャ

ネルの動作レートが 16.375Gb/s を超える場合、 QPLL0 は GTREFCLK0 を使用し、 QPLL1 は GTREFCLK1 を使用する

必要があ り ます。 QPLL0/1 出力は、 同じ クワ ッ ド内の各シ リ アル ト ランシーバー チャネルの TX および RX ク ロ ッ

ク分周器ブロ ッ クへ接続し、 これらのブロ ッ クでは PMA ブロ ッ クや PCS ブロ ッ クで使用されるシ リアルおよびパラ

レル ク ロ ッ クの生成が制御されます。 38 ページの図 2-11 に、 チャネル プリ ミ ティブ内のクロ ッキング アーキテク

チャを示します。

図 2-13 に、 QPLL0/1 アーキテクチャの詳細を示します。 入力クロ ッ クは、 位相周波数検出器へ接続する前に M で分

周できます。 VCO の逓倍率はフ ィードバッ ク分周器 N で決定されます。 ラ イン レートが 28.1Gb/s 未満の場合、 N と

小数部を組み合わせた実効分周率のフラ クシ ョナル N 分周器がサポート されます。 QPLL0/1 出力の周波数は、

QPLL[0/1]CLKOUT_RATE の設定によって決定されます。 QPLL[0/1]CLKOUT_RATE を Half に設定する と、 出力周波

数は VCO 周波数の 1/2 です。 FULL に設定する と、 出力周波数は VCO 周波数と同じ値になり ます。 ロ ッ ク検出

(Lock Indicator) ブロッ クは、 基準クロ ッ クの周波数と VCO フ ィードバッ ク ク ロ ッ クの周波数を比較して、 PLL の

ロ ッ ク条件を判断します。

QPLL0/1 の VCO は、 2 つの異なる周波数帯域内で動作します。 表 2-12 に、 これらの帯域における公称周波数範囲を

示します。 詳細は、 『UltraScale および UltraScale+ デバイス データシート 』 [参照 6] を参照してください。

ウ ィザードがアプリ ケーシ ョ ン要件に応じて適切な QPLL 設定値を選択します。

X-Ref Target - Figure 2-13

図 2-13: QPLL0/1 の詳細図

表 2-12: QPLL0/1 の公称 VCO 動作範囲

QPLL 周波数 (GHz)

QPLL0 9.8-16.375

QPLL1 8.0-13.0

PhaseFrequencyDetector

ChargePump

/Fractional-N

LoopFilter

LockIndicator

PLLCLKIN

/M

PLLLOCKED

PLLCLKOUT/2VCO

X19583-081817

UltraScale アーキテクチャ GTY ト ランシーバー 42UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 43: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

PLL 出力周波数 (GHz) は式 2-3 から求められます。 ライン レートが 28.1Gb/s を超える場合、 小数部はバイパスされ

ます。

式 2-3

ライン レート (Gb/s) は式 2-4 から求められます。 D は、 チャネル内の TX/RX ク ロ ッ ク分周器の値を表します。 D の

有効な設定値は、 39 ページの表 2-9 を参照してください。

式 2-4

式 2-3 に示したフ ィードバッ ク分周器の小数部を求める方法を、 式 2-5 に示します。

式 2-5

表 2-13 に、 分周器の有効な設定値を示します。

ポートおよび属性

表 2-14 および 46 ページの表 2-15 に、 QPLL のポートおよび属性を示します。

表 2-13: QPLL0/1 分周器の設定

係数 属性 有効値

MQPLL0_REFCLK_DIV

QPLL1_REFCLK_DIV1、 2、 3、 4

NQPLL0_FBDIV

QPLL1_FBDIV16-160

DRXOUT_DIV

TXOUT_DIV1、 2、 4、 8、 16、 32

QPLL_CLKOUTRATEQPLL0CLKOUT_RATE

QPLL1CLKOUT_RATE1 (Full)、 2 (Half)

SDMDATASDM0DATA

SDM1DATA0 – (224 – 1)

SDMWIDTHSDM0WIDTH

SDM1WIDTH16、 20、 24

fPL LClkout fPL LClkinN.Frac tionalPart

M QPLL _CLKOUTRATE×---------------------------------------------------------×=

fL ineRatefPL LClkout 2×

D----------------------------=

Frac tionalPart SDMDATA2S DMWIDTH------------------------=

表 2-14: QPLL0/1 のポート

ポート 方向 クロック ド メイン 説明

QPLLDMONITOR0[7:0]/

QPLLDMONITOR1[7:0]出力 非同期 予約。

QPLL0CLKRSVD0/

QPLL1CLKRSVD0入力 – 予約。 1'b0 に接続されています。

QPLL0CLKRSVD1/

QPLL1CLKRSVD1入力 – 予約。 1'b0 に接続されています。

QPLL0FBCLKLOST/

QPLL1FBCLKLOST出力 QPLL0LOCKDETCLK/

QPLL1LOCKDETCLKHigh の場合、 QPLL0/1 フ ィードバッ ク分周器から

QPLL0/1 の位相周波数検出器へのフ ィードバッ ク

ク ロ ッ クが失われたこ とを示します。

UltraScale アーキテクチャ GTY ト ランシーバー 43UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 44: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

QPLL0LOCK/QPLL1LOCK 出力 非同期 アクティブ High の場合、 この QPLL0/1 周波数ロッ

ク信号は、 QPLL0/1 周波数があらかじめ判断した

耐性範囲内であるこ とを示します。 この条件が満

たされるまで、 ト ランシーバーおよびそのクロ ッ

ク出力は信頼できません。

QPLL0LOCKDETCLK/

QPLL1LOCKDETCLK入力 クロ ッ ク QPLL0/1 へのフ ィードバッ ク信号や基準クロ ッ ク

信号を検出するための安定した基準クロ ッ クです。

このクロ ッ クは、 QPLL0/1 への入力基準クロ ッ ク

または QPLL0/1 から生成される出力クロ ッ ク

(TXOUTCLK など) を使用して駆動できません。

このクロ ッ クは QPLL0FBCLKLOST/

QPLL1FBCLKLOST および QPLL0REFCLKLOST/

QPLL1REFCLKLOST ポートの使用時にのみ必要で

す。 QPLL0/1 のロ ッ ク検出、 リセッ トおよびパ

ワーダウン機能への影響はあ り ません。

同じクロ ッ クを使用して QPLL0LOCKDETCLK お

よび QPLL1LOCKDETCLK の両方を駆動できます。

QPLL0LOCKEN/

QPLL1LOCKEN入力 非同期 QPLL0/1 のロ ッ ク検出回路を有効にします。

常に High に接続する必要があ り ます。

QPLL0OUTCLK/

QPLL1OUTCLK出力 N/A QPLL0/1 出力クロ ッ クです。 GTYE3/4_CHANNEL

プリ ミ ティブで、 QPLL0OUTCLK を QPLL0CLK

に、 QPLL1OUTCLK を QPLL1CLK に接続します。

QPLL0OUTREFCLK/

QPLL1OUTREFCLK出力 N/A QPLL0/1 基準出力クロ ッ クです。 GTYE3/

4_CHANNEL プリ ミティブで、QPLL0OUTREFCLK

を QPLL0REFCLK に、 QPLL1OUTREFCLK を

QPLL1REFCLK に接続します。

QPLL0PD/QPLL1PD 入力 非同期 電力節約のために QPLL0/1 の電源を切断するアク

ティブ High 信号です。

QPLL0REFCLKLOST/

QPLL1REFCLKLOST出力 QPLL0LOCKDETCLK/

QPLL1LOCKDETCLKHigh の場合、 QPLL0/1 の位相周波数検出器への基

準クロ ッ クが失われたこ とを示します。

表 2-14: QPLL0/1 のポート (続き)

ポート 方向 クロック ド メイン 説明

UltraScale アーキテクチャ GTY ト ランシーバー 44UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 45: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

QPLL0REFCLKSEL[2:0]/

QPLL1REFCLKSEL[2:0]入力 非同期 QPLL0/1 の入力基準クロ ッ クを動的に選択するため

の入力です。 QPLL0/1 の基準クロ ッ ク選択マルチプ

レクサーへクロ ッ ク ソース 1 つのみを接続する場

合は、 この入力を 3'b001 に接続してください。

基準クロ ッ ク入力の変更後は、QPLL0/1 を リセッ ト

する必要があ り ます。

000: 予約

001: GTREFCLK0 を選択

010: GTREFCLK1 を選択

011: GTNORTHREFCLK0 を選択

100: GTNORTHREFCLK1 を選択

101: GTSOUTHREFCLK0 を選択

110: GTSOUTHREFCLK1 を選択

111: GTGREFCLK を選択

QPLL0RESET/QPLL1RESET 入力 非同期 アクティブ High であ り、 QPLL0/1 内の分周器のほ

かに、 QPLL0/1 ロ ッ ク検出とステータス ブロ ッ ク

も リセッ ト します。

QPLLRSVD1[7:0] 入力 – 予約。 ウ ィザードの推奨値を使用してください。

QPLLRSVD2[4:0] 入力 – 予約。 ウ ィザードの推奨値を使用してください。

QPLLRSVD3[4:0] 入力 – 予約。 ウ ィザードの推奨値を使用してください。

QPLLRSVD4[7:0] 入力 – 予約。 ウ ィザードの推奨値を使用してください。

REFCLKOUTMONITOR0/

REFCLKOUTMONITOR1出力 N/A QPLL0/1 基準クロ ッ ク選択マルチプレクサーの

出力です。

BGBYPASSB 入力 非同期 予約。 1'b1 に設定する必要があ り ます。

この値は変更しないでください。

BGMONITORENB 入力 非同期 予約。 1'b1 に設定する必要があ り ます。

この値は変更しないでください。

BGPDB 入力 非同期 予約。 1'b1 に設定する必要があ り ます。

この値は変更しないでください。

BGRCALOVRD[4:0] 入力 非同期 予約。 5'b11111 に設定する必要があ り ます。

この値は変更しないでください。

BGRCALOVRDENB 入力 非同期 予約。 1'b1 に設定する必要があ り ます。

この値は変更しないでください。

RCALENB 入力 非同期 予約。 1'b1 に設定する必要があ り ます。

この値は変更しないでください。

PMARSVD0[7:0] 入力 非同期 予約。

PMARSVD1[7:0] 入力 非同期 予約。

SDM0RESET/

SDM1RESET入力 非同期 QPLL0/1 内部のシグマ デルタ型フラクシ ョナル分

周器を リセッ トするアクティブ High のポートです。

表 2-14: QPLL0/1 のポート (続き)

ポート 方向 クロック ド メイン 説明

UltraScale アーキテクチャ GTY ト ランシーバー 45UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 46: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

SDM0DATA[24:0]/

SDM1DATA[24:0]入力 非同期 フ ィードバッ ク分周器の小数部の分子を設定する

ための入力です。 ビッ ト [24] は使用しません。

SDM0WIDTH[1:0]/

SDM1WIDTH[1:0]入力 非同期 フ ィードバッ ク分周器の小数部の分母を設定する

ための入力です。

00: 24

01: 20

10: 16

11: 予約

UltraScale+ FPGA のみ

QPLL0FBDIV[7:0]/

QPLL1FBDIV[7:0]入力 非同期 予約。 8'b00000000 に設定します。

SDM0TOGGLE/

SDM1TOGGLE入力 非同期 予約。 1'b0 に設定します。

SDM0FINALOUT[3:0]/

SDM1FINALOUT[3:0]出力 非同期 予約。

SDM0TESTDATA[14:0]/

SDM1TESTDATA[14:0]出力 非同期 予約。

表 2-14: QPLL0/1 のポート (続き)

ポート 方向 クロック ド メイン 説明

表 2-15: QPLL0/1 の属性

属性 タイプ 説明

BIAS_CFG0 16 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

BIAS_CFG1 16 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

BIAS_CFG2 16 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

BIAS_CFG3 16 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

BIAS_CFG4 16 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

BIAS_CFG_RSVD UltraScale FPGA:

10 ビッ ト バイナリ

UltraScale+ FPGA:

16 ビッ トの 16 進数

予約。 ウ ィザードの推奨値を使用してください。

COMMON_CFG0 16 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

COMMON_CFG1 16 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

POR_CFG 16 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

QPLL0_CFG0/

QPLL1_CFG016 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

QPLL0_CFG1/

QPLL1_CFG116 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

QPLL0_CFG1_G3/

QPLL1_CFG1_G316 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

QPLL0_CFG2/QPLL1_CFG2 16 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

UltraScale アーキテクチャ GTY ト ランシーバー 46UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 47: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

QPLL0_CFG2_G3/

QPLL1_CFG2_G316 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

QPLL0_CFG3/

QPLL1_CFG316 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

QPLL0_CFG4/

QPLL1_CFG416 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

QPLL0CLKOUT_RATE

QPLL1CLKOUT_RATE文字列 ライン レートが 16.375Gb/s を超える場合は FULL に設定し、

それ以外の場合は HALF に設定します。

QPLL0_CP/

QPLL1_CP10 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

QPLL0_CP_G3/

QPLL1_CP_G310 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

QPLL0_FBDIV/

QPLL1_FBDIV

整数 42 ページの図 2-13 に示す、 QPLL0/1 のフ ィードバッ ク分周器

N の設定です。 有効な分周期の設定値は 16 ~ 160 です。

QPLL0_FBDIV_G3/

QPLL1_FBDIV_G3整数 予約。 ウ ィザードの推奨値を使用してください。

QPLL0_INIT_CFG0/

QPLL1_INIT_CFG016 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

QPLL0_INIT_CFG1/

QPLL1_INIT_CFG18 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

QPLL0_LOCK_CFG/

QPLL1_LOCK_CFG16 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

QPLL0_LOCK_CFG_G3/

QPLL1_LOCK_CFG_G316 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

QPLL0_LPF/

QPLL1_LPF10 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

QPLL0_LPF_G3/

QPLL1_LPF_G3

10 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

QPLL0_REFCLK_DIV/

QPLL1_REFCLK_DIV整数 42 ページの図 2-13 に示す、 QPLL0/1 の基準クロ ッ ク分周器 M

の設定です。 有効な値は、 1、 2、 3、 および 4 です。

QPLL0_SDM_CFG0/

QPLL1_SDM_CFG016 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

QPLL0_SDM_CFG1/

QPLL1_SDM_CFG116 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

QPLL0_SDM_CFG2/

QPLL1_SDM_CFG216 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RSVD_ATTR0 16 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

RSVD_ATTR1 16 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

RSVD_ATTR2 16 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

RSVD_ATTR3 16 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

表 2-15: QPLL0/1 の属性 (続き)

属性 タイプ 説明

UltraScale アーキテクチャ GTY ト ランシーバー 47UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 48: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

使用モード

QPLL 設定を動的に変更する

QPLL 設定を動的に変更する際のイベン ト シーケンスを次に示します。 QPLL の変更に関連する情報のみが記載され

ています。

1. 準備が整ったら (すべての有効なデータが転送または受信された状態)、 表 2-15 にリ ス ト されている属性を

QPLL[0/1]REFCLKSEL および/または DRP ポートで変更します。

2. 「QPLL0/1 のリセッ ト 」 で説明されている リセッ ト ガイ ド ラインに従います。

3. QPLL がロ ッ ク されたら、 GTTXRESET および/または GTRXRESET をアサート し、 60 ページの 「GTTXRESET

パルスに応答する GTY ト ランシーバー TX のリセッ ト 」 と 70 ページの 「GTRXRESET パルスに応答する GTY

ト ランシーバー RX のリセッ ト 」 で説明されているガイ ド ラインに従います。

4. ト ランシーバーの動作を続けます。

クワッ ドにある複数の PLL または TXPIPPM を使用する

UltraScale FPGA のみが搭載する GTY ト ランシーバーの場合、 クワッ ドで 2 つ以上の PLL または TXPIPPM コン ト

ローラーを使用している と きは表 2-16 に示すガイ ド ラインすべてに従ってください。

SDM0INITSEED0_0/

SDM1INITSEED0_016 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

SDM0INITSEED0_1/

SDM1INITSEED0_19 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

SDM0_DATA_PIN_SEL/

SDM1_DATA_PIN_SEL1 ビッ ト バイナリ UltraScale FPGA のみ:

予約。 ウ ィザードの推奨値を使用してください。

SDM0_WIDTH_PIN_SEL/

SDM1_WIDTH_PIN_SEL1 ビッ ト バイナリ UltraScale FPGA のみ:

予約。 ウ ィザードの推奨値を使用してください。

RXOUT_DIV 整数 38 ページの図 2-11 に示す、 RX データパス用の QPLL0/QPLL1/

CPLL の出力クロ ッ ク分周器 D の設定です。 有効な値は、 1、

2、 4、 8、 16、 および 32 です。

TXOUT_DIV 整数 38 ページの図 2-11 に示す、 TX データパス用の QPLL0/QPLL1/

CPLL の出力クロ ッ ク分周器 D の設定です。 有効な値は、 1、

2、 4、 8、 16、 および 32 です。

PPF0_CFG/

PPF1_CFG16 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

UltraScale+ FPGA のみ

QPLL0CLKOUT_RATE/

QPLL1CLKOUT_RATE文字列 予約。 ウ ィザードの推奨値を使用してください。

QPLL0_PCI_EN/QPLL1_PCI_EN 1 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

QPLL0_RATE_SW_USE_DRP 1 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

表 2-15: QPLL0/1 の属性 (続き)

属性 タイプ 説明

UltraScale アーキテクチャ GTY ト ランシーバー 48UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 49: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

表 2-16: 補助的な QPLL ガイド ライン

条件 TX レーン 0 TX レーン 1 TX レーン 2 TX レーン 3

QPLL0 VCO 周波数 < 12GHz

QPLL0 を除く PLL が

TX レーン 0 にクロッ ク

を供給している場合、

その VCO 周波数は

QPLL0 VCO 周波数およ

びその高調波成分から

1000PPM 以上離れた値

の必要があり ます。

TX レーン 0 へのク

ロ ッ ク供給に QPLL0

が使用される場合、

TXPIPPM を TX レーン

0 で有効にできません。

TXPIPPM はレーン 2

および 3 で使用してく

ださい。

TXPIPPM の機能が 4 つ

すべてのレーンで必要

であ り、 個別の PPM

制御が不要な場合は、

Dynamic Frac-N の使用

を検討して ください。

QPLL0 を除く PLL が

TX レーン 1 にクロッ ク

を供給している場合、

その VCO 周波数は

QPLL0 VCO 周波数およ

びその高調波成分から

1000PPM 以上離れた値

の必要があり ます。

TX レーン 1 へのク

ロ ッ ク供給に QPLL0

が使用される場合、

TXPIPPM を TX レーン

1 で有効にできません。

TXPIPPM は TX レーン

2 および 3 で使用して

ください。

TXPIPPM の機能が 4 つ

すべてのレーンで必要

であ り、 個別の PPM

制御が不要な場合は、

Dynamic Frac-N の使用

を検討してください。

N/A N/A

QPLL1 VCO 周波数 < 10.3GHz

N/A N/A QPLL1 を除く PLL が

TX レーン 2 にクロッ ク

を供給している場合、

その VCO 周波数は

QPLL1 VCO 周波数およ

びその高調波成分から

1000PPM 以上離れた値

の必要があり ます。

QPLL1 が TX レーン 2

にクロ ッ クを供給して

いる場合、 TXPIPPM

を TX レーン 2 で有効

にできません。

TXPIPPM はレーン 0

および 1 で使用して く

ださい。

TXPIPPM の機能が 4 つ

すべてのレーンで必要

であ り、 個別の PPM

制御が不要な場合は、

Dynamic Frac-N の使用

を検討してください。

QPLL1 を除く PLL が

TX レーン 3 にクロッ ク

を供給している場合、

その VCO 周波数は

QPLL1 VCO 周波数およ

びその高調波成分から

1000PPM 以上離れた値

の必要があり ます。

QPLL1 が TX レーン 3

にクロ ッ クを供給して

いる場合、 TXPIPPM

を TX レーン 3 で有効

にできません。

TXPIPPM はレーン 0

および 1 で使用してく

ださい。

TXPIPPM の機能が 4 つ

すべてのレーンで必要

であ り、 個別の PPM

制御が不要な場合は、

Dynamic Frac-N の使用

を検討してください。

UltraScale アーキテクチャ GTY ト ランシーバー 49UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 50: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

Dynamic Frac-N (UltraScale FPGA のみ)

通常、 SDM[0/1]DATA はスタティ ッ ク フラ クシ ョナル N 分周器を生成するよ うに固定して設定されていますが、

SDM[0/1]DATA の値を絶えず更新して TXPIPPM と同じ機能を実現できます。図 2-14 に、 Dynamic Frac-N が必要な場

合の GTY ト ランシーバーの使用方法を示します。 Dynamic Frac-N は QPLL を使用して動作するため、 特定の QPLL

へ接続されたレーンに対する個別の PPM 制御はあ り ません。 QPLL0 および QPLL1 が共に Dynamic Frac-N を使用し

ていれば、 2 つの独立したレーンを実現できます。

SDM[0/1]DATA は 25 ビッ ト ポートです。 高いジッターの発生を回避するために、 ト グルする SDM[0/1]DATA ビッ ト

の数を下位 18 ビッ トに制限する必要があ り ます。 Center_frac-N は、 ト ラ ッキング範囲全体で SDM[0/1]DATA の上位

7 ビッ トが ト グルしないよ うな値を選択する必要があ り ます。 表 2-17 に、 有効な境界をすべて示します。 境界がト

ラ ッキング範囲内に含まれないよ うにしてください。

X-Ref Target - Figure 2-14

図 2-14: Dynamic Frac-N の例

QPLL

Channel

PD LPF

Reference ClockCenter_fracN

offset

TXUSRCLK TXOUTCLK

Interconnect LogicBUFG_GT

Reference Recovered

Clock SDM[0/1]DATA

GTY Quad

X19611-081517

表 2-17: SDM M*(218/224) 境界のリスト

0 0.125 0.25 0.375 0.5 0.625 0.75 0.875

0.015625 0.140625 0.265625 0.390625 0.515625 0.640625 0.765625 0.890625

0.03125 0.15625 0.28125 0.40625 0.53125 0.65625 0.78125 0.90625

0.046875 0.171875 0.296875 0.421875 0.546875 0.671875 0.796875 0.921875

0.0625 0.1875 0.3125 0.4375 0.5625 0.6875 0.8125 0.9375

0.078125 0.203125 0.328125 0.453125 0.578125 0.703125 0.828125 0.953125

0.09375 0.21875 0.34375 0.46875 0.59375 0.71875 0.84375 0.96875

0.109375 0.234375 0.359375 0.484375 0.609375 0.734375 0.859375 0.984375

UltraScale アーキテクチャ GTY ト ランシーバー 50UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 51: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

ト ラ ッキング範囲は Max_frac-N ~ Min_Frac-N です。 表 2-18 に、 Dynamic Frac-N の有効および無効なコンフ ィギュ

レーシ ョ ンの例を示します。

Dynamic Frac-N (UltraScale+ FPGA のみ)

通常、 SDM*DATA はスタティ ッ ク フラ クシ ョナル N 分周器を生成するよ うに固定して設定されていますが、

SDM*DATA の値を絶えず更新して TXPIPPM と同じ機能を実現できます。 図 2-14 に、 UltraScale+ FPGA で Dynamic

Frac-N が必要な場合の GTY ト ランシーバーの使用方法を示します。 Dynamic Frac-N は QPLL を使用して動作するた

め、特定の QPLL へ接続されたレーンに対する個別の PPM 制御はあ り ません。QPLL0 および QPLL1 が共に Dynamic

Frac-N を使用していれば、 2 つの独立したレーンを実現できます。

Dynamic Frac-N では、 ユーザーがス ト ローブ (SDM*TOGGLE) パルスを操作し、 これによってファブ リ ッ クから ト ラ

ンシーバーへの SDM*DATA 転送を制御します。 こ こでは、 SDM*TOGGLE および SDM*DATA を駆動するロジッ ク

のクロ ッ ク ソースを SYSTEM ク ロ ッ ク と仮定します。

注記: 記号 「*」 は 0 または 1 を表します。 たとえば SDM*DATA は SDM0DATA または SDM1DATA です。

図 2-15 と図 2-16 に、 QPLL FBCLK と SYSTEM ク ロ ッ クの周波数の関係が異なる場合の動作を示します。

表 2-18: Dynamic Frac-N のコンフ ィギュレーシ ョ ンの例

データ レート (Gb/s)

REFCLK(MHz) PPM FBDIV Center Frac-N Max_Frac-N Min_Frac-N 有効な

コンフ ィギュレーシ ョ ン

9.956 248 ±100 40 0.14516129 0.14917581 0.14114677 あ り

9.956 248.1 ±100 40 0.12898025 0.13299315 0.12496735 いいえ、 範囲に 0.125

が含まれています。

X-Ref Target - Figure 2-15

図 2-15: FBCLK が SYSTEM クロックより高速な場合

T1 T2 T3

D2

FBCLK

SYSTEM Clock

Input to SDM*DATA

SDM*TOGGLET1

D0 D1

X19826-090517

X-Ref Target - Figure 2-16

図 2-16: FBCLK が SYSTEM クロックより低速な場合

T1T2 T3

D2Input to

SDM*DATA

SDM*TOGGLE

T1

D0 D1

FBCLK

SYSTEM Clock

X19827-090517

UltraScale アーキテクチャ GTY ト ランシーバー 51UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 52: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

図 2-15 と図 2-16 のどちらにも、 主要なタイ ミ ング要件と して T1、 T2、 T3 の 3 つを示しています。 これらのタイ ミ

ング要件について、 表 2-19 で説明します。

リセッ トおよび初期化

GTY ト ランシーバーは、 デバイスに電源を投入してコンフ ィギュレーシ ョ ンが完了後、 使用する前に初期化が必要

です。 GTY のト ランス ミ ッ ター (TX) と レシーバー (RX) は、 図 2-17 に示すよ うに個別に並行して初期化できます。

GTY ト ランシーバー TX/RX の初期化には 2 つの手順があ り ます。

1. TX/RX を駆動する PLL を初期化

2. TX と RX のデータパスを初期化 (PMA + PCS)

GTY ト ランシーバーの TX および RX は、 QPLL または CPLL のいずれかから クロ ッ ク信号を受信できます。 TX/RX

で使用される PLL (QPLL/CPLL) は、 TX/RX を初期化する前に初期化する必要があ り ます。 TX/RX で使用されるすべ

ての PLL は個別にリセッ ト され、 そのリセッ ト動作は、 すべての TX/RX リセッ ト動作から完全に独立しています。

TX と RX のデータパスの初期化は、 関連する PLL がロ ッ ク された後にのみ実行してください。

表 2-19: タイ ミング要件

期間 要件 注釈

T1 ≥ 1 システム ク ロ ッ ク サイ クル SDM*TOGGLE をアサートする前に、 少なく と も この長さだけ

SDM*DATA が安定しており有効である必要があ り ます。 期間 T1 は少な

く と もシステム ク ロ ッ クの 1 サイクルの長さが必要です。 この期間中、

SDM*TOGGLE は Low にする必要があ り ます。

T2 ≥ 3 FBCLK サイクル 少なく と も この長さだけ SDM*TOGGLE を High に維持する必要があ り ま

す。 期間 T2 は少なく と も FBCLK の 3 サイクルの長さが必要です。 T2 の

期間中、 SDM*DATA が変化しないよ うにする必要があ り ます。

T3 ≥ 3 FBCLK サイクル 少なく と も この長さだけ SDM*TOGGLE を Low に維持する必要があ り ま

す。 期間 T3 は少なく と も FBCLK の 3 サイクルの長さが必要です。 T3 の

期間中、 SDM*DATA が変化しないよ うにする必要があ り ます。 T3 には

T1 は含まれません。

注記:1. 記号 「*」 は 0 または 1 を表します。 たとえば SDM*DATA は SDM0DATA または SDM1DATA です。

2. USRCLK または DRPCLK を SYSTEM ク ロ ッ ク と して使用できます。

UltraScale アーキテクチャ GTY ト ランシーバー 52UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 53: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

GTY ト ランシーバーの TX および RX は、 ステート マシンを使用して初期化プロセスを制御します。 このステート

マシンは、 複数のリセッ ト領域に分割されています。 これによ り、 リセッ ト ステート マシンは、 PMA を先にリセッ

ト して、 TXUSERRDY または RXUSERRDY がアサート された後に PCS を リセッ トするシーケンスでリセッ ト プロ

セスを制御できます。 また、 通常動作時に必要に応じて、 PMA、 PCS、 またはそれらの中にあるファンクシ ョ ン ブ

ロ ッ クを個別にリセッ トするこ と も可能です。

GTY ト ランシーバーには、 初期化リセッ トおよびコンポーネン ト リセッ トの 2 種類のリセッ ト方法があ り ます。

• 初期化リセッ ト : この リセッ トは、 GTY ト ランシーバーを完全に初期化する場合に使用します。 デバイスへの

電源投入およびコンフ ィギュレーシ ョ ンが完了した後に実行してください。 通常動作時は、 GTTXRESET や

GTRXRESET を使用し、 必要に応じて GTY ト ランシーバーの TX および RX を再初期化するこ と も可能です。

GTTXRESET は、 GTY ト ランシーバー TX 用の初期化リセッ ト ポートです。 GTRXRESET は、 GTY ト ランシー

バー RX 用の初期化リセッ ト ポートです。

• コンポーネン ト リセッ ト : このリセッ トは、 GTY ト ランシーバーの通常動作時、 特殊なケースおよび特殊なサ

ブセクシ ョ ンを リセッ トする場合に使用されます。 TX のコンポーネン ト リセッ ト ポートは、 TXPMARESET お

よび TXPCSRESET です。 RX のコンポーネン ト リセッ ト ポートは、 RXPMARESET、 RXDFELPMRESET、

EYESCANRESET、 RXPCSRESET、 RXBUFRESET、 および RXOOBRESET です。

初期化リセッ ト と コンポーネン ト リセッ トの主な リセッ ト範囲については、 GTY ト ランシーバー TX の場合は

表 2-27 を、 GTY ト ランシーバー RX の場合は表 2-31 および表 2-32 を参照してください。

このセクシ ョ ンで説明するすべてのリセッ ト ポートは、 High 駆動時に内部リセッ ト ステータス マシンを開始しま

す。 これらのリセッ ト ポートが Low 駆動されるまで、 内部リセッ ト ステート マシンはリセッ ト状態を保持します。

これらのリセッ トはすべて非同期です。 これらの非同期リセッ トのパルス幅ガイ ド ラインは、 特記のない限り、 基

準クロ ッ クの 1 周期分です。

注記: リセッ ト ポートは、 パワーダウンの目的では使用できません。 パワーダウンの正しい使用については、

76 ページの 「パワーダウン」 を参照してください。

X-Ref Target - Figure 2-17

図 2-17: GTY ト ランシーバーの初期化の概要

AfterConfiguration

Associated PLL(QPLL/CPLL) Used by TX Initialization

TX Initialization By GTTXRESET

TXRESETDONE RXRESETDONE

RX Initialization By GTRXRESET

Associated PLL (QPLL/CPLL) Used by RX Initialization

X19584-081417

UltraScale アーキテクチャ GTY ト ランシーバー 53UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 54: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

複数のレーンおよびクワッ ドのリセッ ト

1 つまたは複数のクワ ッ ド内にある複数のレーンを リセッ トする と、 電源レギュレータ回路に影響を与えます

(317 ページの 「複数のレーンの電源投入/切断およびリセッ ト 」 を参照)。

リセッ ト モード

GTY ト ランシーバー RX のリセッ トは、 シーケンシャル モードおよびシングル モードの 2 つのモードで実行できま

す。 UltraScale FPGA では、 GTY ト ランシーバー TX のリセッ トはシーケンシャル モードでしか実行できません。

• シーケンシャル モード : リセッ ト ステート マシンは、 初期化リセッ ト またはコンポーネン ト リセッ トの入力信

号が High になる と開始し、GTY ト ランシーバー TX の場合は図 2-20、GTY ト ランシーバー RX の場合は図 2-25

に示すリセッ ト ステート マシンで、 要求された リセッ ト ステートからすべてのステートへ遷移して完了しま

す。 このモードのリセッ ト フローが完了する と、 (TX/RX) RESETDONE 信号が Low から High に遷移します。

• シングル モード : リセッ ト ステート マシンは、 属性で設定された定義済みの時間内に要求された リセッ トのみ

を個別に実行します。 図 2-25 (GTY ト ランシーバー RX) に示す要求されたステート以外のリセッ ト ステートへ

は遷移しません。 あらゆるコンポーネン ト リセッ トが要求対象となるため、 PMA、 PCS、 あるいはそれらの中

にあるファンクシ ョ ン ブロ ッ クを リセッ トできます。 このモードのリセッ ト フローが完了する と、

RXRESETDONE 信号が Low から High に遷移します。

GTY ト ランシーバーの初期化リセッ トには、 必ずシーケンシャル モードを使用してください。 シーケンシャル モー

ドでのみ動作可能な TX リセッ ト を除くすべてのコンポーネン ト リセッ トは、 シーケンシャル モードまたはシング

ル モードのいずれかで実行できます。

GTY ト ランシーバーでは、GTRESETSEL を使用してシーケンシャル リセッ ト モード /シングル リセッ ト モードを選

択します。 表 2-20 に、 GTY ト ランシーバー TX および GTY ト ランシーバー RX の両方に適用されるコンフ ィギュ

レーシ ョ ンの詳細を示します。 これらのリセッ ト モードは、 CPLL や QPLL のリセッ トには影響を与えません。

GTY ト ランシーバー TX や GTY ト ランシーバー RX は、通常動作時にシーケンシャル モードまたはシングル モード

(GTY ト ランシーバー RX のみ) のアプリ ケーシ ョ ンで リセッ トできるため、 GTY ト ランシーバーの一部のみを柔軟

にリセッ トできます。 シーケンシャル モードまたはシングル モードのいずれかを使用している場合、 RESETOVRD

信号は必ず Low 駆動します (表 2-20 参照)。 RESETOVRD および GTRESETSEL は、 リセッ トがアサート される前に

300 ~ 500ns の値に設定する必要があ り ます。

表 2-20: GTY ト ランシーバーのリセッ ト モード動作

動作モード RESETOVRD GTRESETSEL

シーケンシャル モード 0 0

シングル モード 0 1

UltraScale アーキテクチャ GTY ト ランシーバー 54UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 55: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

CPLL リセッ ト

CPLL は、 使用前にリセッ トが必要です。 図 2-18 に示すよ うに、 CPLLPD は CPLL を リセッ トする入力信号です。

CPLLLOCK は、 リセッ ト プロセスの完了を示す出力信号です。 この非同期 CPLLPD 信号のパルス幅は、 2µs 以上で

ある必要があ り ます。 CPLL がロ ッ ク されるまでの時間は、 帯域幅の設定やクロ ッ ク周波数などの影響を受けます。

表 2-21: GTY ト ランシーバー リセッ ト モードのポート

ポート 方向 クロック ド メ イン 説明

GTRESETSEL 入力 非同期 UltraScale FPGA のみ:

リセッ ト モードのイネーブル ポートです。

Low: シーケンシャル モード (推奨)

High: シングル モード (RX のみ)

RESETOVRD 入力 非同期 予約。 グランドに接続してください。

GTTXRESETSEL 入力 非同期 UltraScale+ FPGA のみ:

TX 用のリセッ ト モードのイネーブル ポートです。

Low: シーケンシャル モード (推奨)

High: シングル モード

GTRXRESETSEL 入力 非同期 UltraScale+ FPGA のみ:

RX 用のリセッ ト モードのイネーブル ポートです。

Low: シーケンシャル モード (推奨)

High: シングル モード

X-Ref Target - Figure 2-18

図 2-18: CPLL リセッ トのタイ ミング図

表 2-22: CPLL リセッ トのポート

ポート 方向 クロック ド メイン 説明

CPLLRESET 入力 非同期 予約。 CPLLPD を使用して CPLL を リセッ ト します。

CPLLPD 入力 非同期 CPLL のリセッ ト を開始するため、 このポートは High 駆動さ

れた後ディアサート されます。

CPLLLOCK 出力 非同期 アクティブ High の場合、 この CPLL 周波数ロッ ク信号は、

CPLL 周波数があらかじめ定義した耐性範囲内であるこ とを示

します。 この条件が満たされるまで、 GTY ト ランシーバーお

よびそのクロ ッ ク出力は信頼できません。

CPLLLOCKEN 入力 非同期 アクティブ High の場合、 CPLL のロ ッ ク検出を有効にします。

注記:1. GTY ト ランシーバーを PCIe モードに設定する場合、 CPLLRESET と CPLLPD を一緒に接続します。

CPLLPD

CPLLLOCK

CPLL Lock Time

2 μs

X19585-081417

UltraScale アーキテクチャ GTY ト ランシーバー 55UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 56: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

QPLL0/1 のリセッ ト

QPLL0/1 は、使用前にリセッ トが必要です。各 GTY ト ランシーバー クワ ッ ドには、 それぞれの QPLL リセッ ト用に

3 つの専用ポートがあ り ます。 図 2-19 に示すよ うに、 QPLL0/1RESET は QPLL0/1 を リセッ トする入力信号です。

QPLL0/1LOCK は、 リセッ ト プロセスの完了を示す出力信号です。 この非同期 QPLL0/1RESET 信号のパルス幅のガ

イ ド ラインは、 基準クロ ッ クの 1 周期分です。 内部 GTY ト ランシーバー回路で生成される実際の QPLL0/1 リセッ ト

信号は、 QPLL0/1RESET の High パルス時間よ り も大幅に長くな り ます。 QPLL0/1 がロッ ク されるまでの時間は、 帯

域幅の設定やクロ ッ ク周波数などの影響を受けます。

X-Ref Target - Figure 2-19

図 2-19: QPLL0/1 リセッ トのタイ ミング図

表 2-23: QPLL0/1 リセッ ト ポート

ポート 方向 クロック ド メ イン 説明

QPLL0RESET/

QPLL1RESET入力 非同期 QPLL0/1 のリセッ ト を開始するため、 このポートは High 駆

動された後ディアサート されます。

QPLL0LOCK/

QPLL1LOCK出力 非同期 アクティブ High の場合、 この QPLL0/1 周波数ロッ ク信号は、

QPLL0/1 周波数があらかじめ判断した耐性範囲内であるこ と

を示します。 この条件が満たされるまで、 GTY ト ランシー

バーおよびそのクロ ッ ク出力は信頼できません。

QPLL0LOCKEN/

QPLL1LOCKEN入力 非同期 アクティブ High の場合、 QPLL0/1 のロ ッ ク検出を有効にし

ます。

表 2-24: QPLL リセッ トの属性

属性 タイプ 説明

QPLL0RESET_TIME/

QPLL1RESET_TIME

(QPLL0_INIT_CFG[9:0]/

QPLL1_INIT_CFG[9:0])

10 ビッ ト バイナリ 予約。 内部 QPLL0/1 リセッ ト を適用する時間です。 この値は、

必ず 0 以外に設定する必要があ り ます。 ウ ィザードの推奨値を使

用してください。

QPLL0/1RESET

QPLL0/1LOCK

QPLL0/1 Lock Time

QPLL0/1RESET_TIME

Internal QPLL0/1 Reset Signal

X19586-090817

UltraScale アーキテクチャ GTY ト ランシーバー 56UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 57: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

TX の初期化およびリセッ ト

GTY ト ランシーバー TX は、 リセッ ト ステート マシンを使用して リセッ ト プロセスを制御します。 この GTY ト ラ

ンシーバー TX は、 2 つのリセッ ト領域 (TX PMA および TX PCS) に分割されています。 これらの領域が分割される

こ とで、 TX の初期化およびリセッ トがシーケンシャル モードでのみ可能とな り ます (図 2-20 参照)。

TX の初期化には、 GTTXRESET をシーケンシャル モードで使用する必要があ り ます。 GTTXRESET 入力を High 駆

動する と、 完全非同期の TX リセッ ト を自動的にト リガーできます。 リセッ ト ステート マシンは、 図 2-20 に示すリ

セッ ト シーケンスを実行して、 TX PMA および TX PCS をすべて リセッ ト します。 通常動作時は、 必要に応じて

シーケンシャル モードを使用するこ とで TXPMARESET を High 駆動し、TXRESETDONE 信号が Low から High へ遷

移するまでリセッ ト ステート マシンの遷移を続けて TX を リセッ トできます。

TXUSERRDY が High になるまで TX リセッ ト ステート マシンは PCS を リセッ ト しません。 TXUSRCLK/

TXUSRCLK2 を含め、アプリ ケーシ ョ ンで使用するすべてのクロ ッ クが安定してから TXUSERRDY を High 駆動して

ください。

X-Ref Target - Figure 2-20

図 2-20: GTY ト ランシーバー TX のリセッ ト時のステート マシン シーケンス

WAIT Until GTTXRESET From High to Low

TXPMARESETProcess

TXPCSRESETProcess

TXRESETDONEHigh

WAIT Until TXPMARESET

From High to Low

WAIT Until TXPCSRESET

From High to Low

GTTXRESETHigh

TXPMARESETHigh

TXPCSRESETHigh

Sequence Mode & TXUSERRDY

X19587-090817

UltraScale アーキテクチャ GTY ト ランシーバー 57UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 58: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

ポートおよび属性

表 2-25 に、 TX 初期化プロセスで必要なポート を示します。

表 2-25: TX の初期化およびリセッ ト ポート

ポート 方向 クロック ド メイン 説明

GTTXRESET 入力 非同期 TX のリセッ ト シーケンスを開始するため、 このポートは High 駆動

された後ディアサート されます。 リセッ ト シーケンスに必要な時

間を設定します。

TXPMARESET 入力 非同期 TX PMA のリセッ トに使用します。 TX PMA のリセッ ト シーケンス

を開始するため、 このポートは High 駆動された後ディアサート さ

れます。 シーケンシャル モードの場合、 このポート を High 駆動す

る と TX PMA と TX PCS の両方がリセッ ト されます。

TXPCSRESET 入力 非同期 TX PCS のリセッ トに使用します。 PCS のリセッ ト シーケンスを開

始するため、 このポートは High 駆動された後ディアサート されま

す。 シーケンシャル モードの場合、 このポート を High 駆動する と

TX PCS のみリセッ ト されます。

TXUSERRDY 入力 非同期 このポートは、 TXUSRCLK および TXUSRCLK2 が安定する と、 ア

プリ ケーシ ョ ンによって High 駆動されます。

TXRESETDONE 出力 TXUSRCLK2 GTY ト ランシーバー TX がリセッ ト を完了して使用可能になる とア

クティブ High になり ます。 GTTXRESET が High 駆動する と、 この

ポートは Low に遷移し、 GTY ト ランシーバー TX で TXUSERRDY

信号の High 駆動が検出されるまで High になり ません。

CFGRESET 入力 非同期 予約。 ウ ィザードの推奨値を使用してください。

TXPMARESETDONE 出力 非同期 TX PMA リセッ トが完了する と、 アクティブ High になり ます。

GTTXRESET または TXPMARESET がアサート される と、 Low に駆

動されます。

PCSRSVDOUT 出力 非同期 予約。

RESETEXCEPTION 出力 非同期 予約。

GTPOWERGOOD 出力 非同期 パワー グッ ド ステータス信号。 この信号が High にアサート されて

から 250µs 経過した後、 IBUFDS_GTE3/4 からのクロ ッ ク出力が使

用可能です。

UltraScale+ FPGA のみ

TXDCCDONE 出力 非同期 予約。

TXDCCFORCESTART 出力 非同期 予約。

TXDCCRESET 出力 非同期 予約。

UltraScale アーキテクチャ GTY ト ランシーバー 58UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 59: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

表 2-26 に、 GTY ト ランシーバー TX の初期化プロセスで必要な属性を示します。 通常、 TX PMA または TX PCS の

リセッ トに要する時間は、 ライン レートによって異なり ます。 PMA リセッ ト時間および PCS リセッ ト時間を制御す

る属性には、 ユーザー指定可能な TXPMARESET_TIME および TXPCSRESET_TIME があ り ます。

コンフ ィギュレーシ ョ ンの完了に応答する GTY ト ランシーバー TX のリセッ ト

図 2-20 に示す TX リセッ ト シーケンスは、 GSR 信号に続いて自動的に開始されるこ とはあ り ません。 次の条件を満

たす必要があ り ます。

1. シーケンシャル モードを使用するために、 GTRESETSEL (UltraScale FPGA の場合) または GTTXRESETSEL

(UltraScale+ FPGA の場合) が Low に設定されている。

2. GTTXRESET が使用されている。

3. TXRESETDONE が High になる前、リセッ ト プロセス全体で TXPMARESET および TXPCSRESET が常に Low 駆

動している。

4. 関連する PLL がロ ッ ク されるまで、 GTTXRESET は Low 駆動できない。

5. GTPOWERGOOD が High であるこ とを確認してから C/QPLLRESET および GTTXRESET を解放する。

リセッ ト モードがデフォルトでシングル モードになる と、 次を実行する必要があ り ます。

1. リセッ ト モードをシーケンシャル モードに変更します。

2. さ らに 300 ~ 500ns 間待機します。

3. 図 2-21 に示すリセッ ト シーケンスに続いて、 QPLLRESET、 CPLLPD、 および GTTXRESET をアサート します。

推奨: 図 2-21 で示すよ うに、 CPLL または QPLL のいずれかからの PLLLOCK 信号を使用して、 GTTXRESET を High

から Low へ遷移させてください。TX リセッ ト ステート マシンは、GTTXRESET の High が検出されて リセッ ト シー

ケンスを開始し、 Low になるまで待機します。

表 2-26: TX の初期化およびリセッ ト属性

属性 タイプ 説明

TXPMARESET_TIME 5 ビッ ト

バイナリ

予約。 TX PMA リセッ ト を適用する時間です。 ウ ィザードの推奨値を

使用してください。 GTTXRESET または TXPMARESET を使用して リ

セッ ト プロセスを開始する場合は、 0 以外の値に設定してください。

TXPCSRESET_TIME 5 ビッ ト

バイナリ

予約。 TX PCS リセッ ト を適用する時間です。 ウ ィザードの推奨値を

使用してください。 TXPCSRESET を使用して リセッ ト プロセスを開

始する場合は、 0 以外の値に設定してください。

TX_PMA_POWER_SAVE 1 ビッ ト

バイナリ

予約。 ウ ィザードの推奨値を使用してください。

TX_DCC_LOOP_RST_CFG 16 ビッ トの

16 進数

UltraScale+ FPGA のみ:

予約。 ウ ィザードの推奨値を使用してください。

UltraScale アーキテクチャ GTY ト ランシーバー 59UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 60: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

GTTXRESET パルスに応答する GTY ト ランシーバー TX のリセッ ト

GTY ト ランシーバーでは、 GTTXRESET 信号をアクティブ High 駆動するこ とで、 TX 全体を随時リセッ トできます。

TXPMARESET_TIME および TXPCSRESET_TIME は、 あらかじめ設定できますが、 GTTXRESET を適用する前に

DRP ポート を使用して適切な リセッ ト時間に変更するこ と も可能です。 GTTXRESET を使用する際は、 次の条件を

満たす必要があ り ます。

1. シーケンシャル モードを使用するため、 GTRESETSEL が Low 駆動している。

2. TXRESETDONE が High に遷移するまでのリセッ ト プロセス中は、 TXPMARESET および TXPCSRESET が常に

Low 駆動している。

3. 関連する PLL がロ ッ ク されている。

4. 非同期 GTTXRESET 信号のパルス幅のガイ ド ラインは、 基準クロ ッ クの 1 周期分である。

X-Ref Target - Figure 2-21

図 2-21: コンフ ィギュレーシ ョ ン後の GTY ト ランスミ ッ ターの初期化

CPLLPD/QPLL0/1RESET

CPLLLOCK/QPLL0/1LOCK

GTTXRESET

TXUSERRDY

TXRESETDONE

TX RESET FSM IDLEWAIT TXPMARESET TXPCSRESET

TXPMARESET_TIME TXPCSRESET_TIME

GTPOWERGOOD

X19588-090817

X-Ref Target - Figure 2-22

図 2-22: GTTXRESET パルスによる GTY ト ランスミ ッ ターのリセッ ト

GTTXRESET

TXUSERRDY

TXRESETDONE

TX RESET FSM IDLE IDLEWAIT TXPMARESET TXPCSRESET

TXPMARESET_TIME TXPCSRESET_TIME

X19589-081417

UltraScale アーキテクチャ GTY ト ランシーバー 60UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 61: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

GTY ト ランシーバー TX コンポーネン トのリセッ ト

TX PMA と TX PCS は個別にリセッ トできます。 TXPMARESET または TXPCSRESET が実行されている間、

GTTXRESET は常に Low 駆動します。

TXPMARESET が High から Low へ遷移する と、 PMA リセッ ト プロセスが開始します。 TXPMARESET が実行されて

いる間、TXPCSRESET は常に Low 駆動します。シーケンシャル モード (図 2-23 参照) の場合、TXUSERRDY が High

のと き、 PMA リセッ トの完了後に PCS リセッ トが自動的に開始されます。

TXUSERRDY が High の場合、 TXPCSRESET が High から Low へ遷移する と、 PCS リセッ ト プロセスが開始します。

PCS のリセッ トが実行されている間、 TXPMARESET は常に Low 駆動します。 シーケンシャル モードの場合、 リ

セッ ト ステート マシンは PCS のみを リセッ ト します (図 2-24 参照)。

表 2-27 では、シーケンシャル モードにおける GTY ト ランシーバー TX で利用できるすべてのリセッ ト とそれらの対

象となるコンポーネン ト を示します。 シーケンシャル モードで TXPMARESET を使用した場合、 TX リセッ ト ス

テート マシン以外のすべてのコンポーネン トがリセッ ト されます。

X-Ref Target - Figure 2-23

図 2-23: シーケンシャル モードの TXPMARESET

TXPMARESET

TXUSERRDY

TXRESETDONE

TX RESET FSM IDLE IDLEWAIT TXPMARESET TXPCSRESET

TXPMARESET_TIME TXPCSRESET_TIME

X19590-081417

X-Ref Target - Figure 2-24

図 2-24: シーケンシャル モードの TXPCSRESET

TXPCSRESET

TXUSERRDY

TXRESETDONE

TX RESET FSM IDLE IDLEWAIT TXPCSRESET

TXPCSRESET_TIME

X19591-081417

UltraScale アーキテクチャ GTY ト ランシーバー 61UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 62: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

表 2-28 に、 状況に応じた リセッ ト方法を示します。

表 2-27: TX 初期化リセッ トおよびコンポーネン ト リセッ トの範囲 (シーケンシャル モードの場合)

ファンクシ ョ ン ブロック GTTXRESET TXPMARESET TXPCSRESET

TX PCS

TX インターコネク ト ロジッ ク

インターフェイス✓ ✓ ✓

TX 8B/10B エンコーダー ✓ ✓ ✓

TX ギアボッ クス ✓ ✓ ✓

TX バッファー ✓ ✓ ✓

TX パターン ジェネレーター ✓ ✓ ✓

TX 極性制御 ✓ ✓ ✓

TX の OOB 信号 ✓ ✓ ✓

TX リセッ ト FSM ✓

TX PMA

TX コンフ ィギュレーシ ョ ン ド ラ イバー ✓ ✓

PCI Express デザイン用の TX レシーバー

検出機能✓ ✓

TX PISO ✓ ✓

表 2-28: 一般的な状況で推奨されるリセッ ト方法

状況 リセッ トするコンポーネン ト 推奨リセッ ト (1)

電源投入およびコンフ ィギュレーシ ョ ン後 使用されている CPLL/QPLL

TX 全体

CPLLPD、 QPLL0/1RESET、GTTXRESET

使用されている CPLL/QPLL への基準クロ ッ ク

の電源投入後

使用されている CPLL/QPLL

TX 全体

CPLLPD、 QPLL0/1RESET、GTTXRESET

使用されている CPLL/QPLL への基準クロ ッ ク

変更後

使用されている CPLL/QPLL

TX 全体

CPLLPD、 QPLL0/1RESET、GTTXRESET

使用されている PLL に対する CPLLPD または

QPLLPD のアサート /ディアサート後

使用されている CPLL/QPLL

TX 全体

CPLLPD、 QPLL0/1RESET、GTTXRESET

TXPD[1:0] のアサート /ディアサート後 TX 全体 GTTXRESET

TX レートの変更 TX 全体 属性を変更する必要がある

場合は、 LLPD、 QPLL0/

1RESET、 および

GTTXRESET が必要です。

TX リセッ ト シーケンスは

TXRATE によって自動的に

実行されます。 D 出力分周器

を変更する必要があるこ と

以外に変更がない場合は

TXRATE を使用できます。

詳細は、 63 ページの 「TX

レートの変更」 を参照して

ください。

UltraScale アーキテクチャ GTY ト ランシーバー 62UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 63: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

電源投入およびコンフ ィギュレーシ ョ ン後

コンフ ィギュレーシ ョ ン後、 使用されている PLL と GTY TX 全体を リセッ トする必要があ り ます。 59 ページの 「コ

ンフ ィギュレーシ ョ ンの完了に応答する GTY ト ランシーバー TX のリセッ ト 」 を参照してください。

使用されている CPLL/QPLL への基準クロックの電源投入後

コンフ ィギュレーシ ョ ン後に基準クロ ッ クが変更した、 または GTY ト ランシーバーに電源が投入された場合、 PLL

のリセッ トが完全に終了した後に GTTXRESET を ト グルする必要があ り ます。

使用されている CPLL/QPLL への基準クロックの変更後

PLL への基準クロ ッ ク入力の変更時には、 新たな周波数に対して確実にロ ッ クするよ う、 PLL を リセッ トする必要

があ り ます。 PLL のリセッ トが完全に終了したら、 GTTXRESET を ト グルします。

使用されている PLL に対する C/QPLLPD のアサート /ディアサート

電源切断後に使用されている CPLL または QPLL が通常動作に戻った場合、 PLL を リセッ トする必要があ り ます。

PLL のリセッ トが完全に終了したら、 GTTXRESET を ト グルします。

TXPD[1:0] のアサート /ディアサート後

TXPD 信号がディアサート されたら、 GTTXRESET を ト グルする必要があ り ます。

TX レートの変更

レートの変更時、 属性設定の変更も必要な場合があ り ます。 異なるライン レートへ切り替える際には、 すべての属

性がカバーされるよ うに、 UltraScale FPGAs Transceivers Wizard から指定のライン レートでラ ッパーを生成し、 すべ

ての属性を比較して差分を取ってから DRP を介して対応する値を書き込むこ とをザイ リ ンクスは推奨しています。

PLL 属性が DRP を介して変更される場合は、 使用している PLL を 初にリセッ ト してロ ッ ク許可してから、

GTTXRESET ポートの ト グルによって TX を リセッ トする必要があ り ます。 D 出力分周器のみを変更する必要がある

場合、 TXRATE ポート を使用してレートの変更を開始できます。

TXRATE ポート を使用してレートが変更され、 TXRATEMODE が 1'b0 に設定されている場合には、 必要な リセッ

ト シーケンスが自動的に実行されます。 レートの変更と必要な リセッ ト シーケンスの両方が適用されて完了する

と、 TXRATEDONE がアサート されます。

TX バッファーが有効の場合、 レートの変更後に TX バッファーが自動的にリセッ トするよ うに

TXBUF_RESET_ON_RATE_CHANGE 属性を TRUE に設定する必要があ り ます。 TX バッファーをバイパスする場合

は、 TXRATEDONE のアサート後にアライ メン ト を反復実行します。

TX パラレル ク ロ ッ ク ソースのリセッ ト TX PCS TXPCSRESET

遠端 PMA ループバッ クへの、 または遠端 PMA

ループバッ クからの遷移

TX 全体 GTTXRESET

注記:1. 推奨する リセッ ト方法を使用する と、 GTY ト ランシーバーのほかのコンポーネン トへの影響が 小になり ます。

表 2-28: 一般的な状況で推奨されるリセッ ト方法 (続き)

状況 リセッ トするコンポーネン ト 推奨リセッ ト (1)

UltraScale アーキテクチャ GTY ト ランシーバー 63UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 64: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

TX パラレル クロック ソースのリセッ ト

正常動作を行うには、 TXUSRCLK および TXUSRCLK2 を駆動するクロ ッ クが安定している必要があ り ます。 ク ロ ッ

ク ソースが再度ロッ ク された後に、 TXPCSRESET を ト グルします。

TX バッファーをバイパスする場合は、 リセッ トの完了後にアライ メン ト を反復実行します。

RX の初期化およびリセッ ト

GTY ト ランシーバー RX は、 リセッ ト ステート マシンを使用して リセッ ト プロセスを制御します。 GTY ト ラン

シーバー RX は複雑なため、 TX よ り も多くの リセッ ト領域があ り ます。 これらの領域が分割されるこ とで、 シーケ

ンシャル モードまたはシングル モードのいずれかで RX の初期化およびリセッ ト を実行できます (図 2-25 参照)。

1. シーケンシャル モードの RX

GTY ト ランシーバー RX を初期化する場合は、 GTRXRESET をシーケンシャル モードで使用する必要があ り ま

す。 GTRXRESET 入力を High 駆動する と、 完全非同期の RX リセッ ト を自動的にト リガーできます。 リセッ ト

ステート マシンは、 図 2-25 に示すリセッ ト シーケンスを実行して、 RX PMA および RX PCS をすべて リセッ ト

します。 通常動作中、 シーケンシャル モードを使用するこ とで RXPMARESET、 RXDFELPMRESET、

EYESCANRESET、 RXPCSRESET、および RXBUFRESET のいずれかを High 駆動し、 RXRESETDONE が Low か

ら High へ遷移するまでリセッ ト ステート マシンの遷移を続けて リセッ トできます。

2. シングル モードの RX

GTY ト ランシーバー RX がシングル モードの場合は、 ほかのリセッ ト領域へ影響を与えずにリセッ ト シーケン

スの RXPMARESET、 RXDFELPMRESET、 EYESCANRESET、 RXPCSRESET、 および RXBUFRESET を個別に

実行できます。

シーケンシャル モードまたはシングル モードのいずれの場合でも、 RXUSERRDY が High になるまで RX リセッ ト

ステート マシンは PCS を リセッ ト しません。 RXUSRCLK および RXUSRCLK2 を含め、 アプリ ケーシ ョ ンで使用す

るすべてのクロ ッ クが安定してから RXUSERRDY を High 駆動してください。

UltraScale アーキテクチャ GTY ト ランシーバー 64UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 65: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

X-Ref Target - Figure 2-25

図 2-25: GTY ト ランシーバー RX のリセッ ト時のステート マシン シーケンス

WAIT untilRXPMARESET

from High to Low

RXPMARESETProcess

WAIT until GTRXRESET

from High to Low

RXPMARESET DoneWhen

RXRESETDONE High

WAIT untilRXDFELPMRESET

from High to Low

RXDFELPMRESETProcess

RXDFELPMRESET Done when

RXRESETDONE High

WAIT untilEYESCANRESETfrom High to Low

EYESCANRESETProcess

EYESCANRESETDone when

RXRESETDONE High

WAIT untilRXPCSRESET

from High to Low

RXPCSRESETProcess

RXPCSRESET DoneWhen

RXRESETDONE High

WAIT untilRXBUFRESET

from High to Low

RXBUFRESETProcess

RXRESETDONEHigh

RXBUFRESET DoneWhen

RXRESETDONE High

RXPMARESETHigh

GTRXRESETHigh

RXDFELPMRESETHigh

EYESCANRESETHigh

RXPCSRESETHigh

Single Mode

RXBUFRESETHigh

Sequence Mode & RXUSERRDY

Single Mode

Single Mode

Single Mode

Single Mode

X19592-081817

UltraScale アーキテクチャ GTY ト ランシーバー 65UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 66: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

ポートおよび属性

表 2-29 に、 GTY ト ランシーバー RX の初期化プロセスで必要なポート を示します。

表 2-29: RX の初期化およびリセッ ト ポート

ポート 方向 クロック ド メ イン 説明

GTRXRESET 入力 非同期 チャネルの RX リセッ ト シーケンスを開始するため、 このポート

は High 駆動された後ディアサート されます。

RXOSCALRESET 入力 非同期 予約。 ウ ィザードの推奨値を使用してください。

RSOSINTDONE 出力 非同期 予約。

RXPMARESET 入力 非同期 RX PMA のリセッ ト シーケンスを開始するため、 このポートは

High 駆動された後ディアサート されます。 シングル モードの場

合、 RXPMARESET を High 駆動する と RX PMA ブロ ッ クのみがリ

セッ ト されます (CDR および DFE はリセッ ト されない)。 シーケン

シャル モードの場合、RXPMARESET を High 駆動する と、図 2-25

の RX リセッ ト プロセスが RXPMARESET から開始され、 その後

RXCDRPHASERESET、 RXCDRFREQRESET、 RXDFELPMRESET、

EYESCANRESET、 RXPCSRESET、 RXBUFRESET という順でリ

セッ トが実行されます。 シーケンシャル モードで リセッ ト対象と

なる部分は、 表 2-31 を参照してください。

RXCDRRESET 入力 非同期 予約。 Low に接続してください。

RXCDRFREQRESET 入力 非同期 予約。 Low に接続してください。

RXDFELPMRESET 入力 非同期 DFE のリセッ ト シーケンスを開始するため、このポートは High 駆

動された後ディアサート されます。 シングル モードの場合、

RXDFELPMRESET を High 駆動する と RRX DFE 回路のみがリセッ

ト されます。 シーケンシャル モードの場合、 RXDFELPMRESET

を High 駆動する と、 図 2-25 の RX リセッ ト プロセスが

RXDFELPMRESET から開始され、 その後 EYESCANRESET、

RXPCSRESET、 RXBUFRESET という順でリセッ トが実行されま

す。 シーケンシャル モードで リセッ ト対象となる部分は、 表 2-31

を参照してください。

EYESCANRESET 入力 非同期 EYESCAN のリセッ ト シーケンスを開始するため、 このポートは

High 駆動された後ディアサート されます。 シングル モードの場

合、 EYESCANRESET を High 駆動する と RX アイ スキャン回路の

みがリセッ ト されます。 シーケンシャル モードの場合、

EYESCANRESET を High 駆動する と、図 2-25 の RX リ セッ ト プロ

セスが EYESCANRESET から開始され、 その後 RXPCSRESET、

RXBUFRESET とい う順で リセッ トが実行されます。 シーケン

シャル モードで リセッ ト対象となる部分は、表 2-31 を参照して く

ださい。

UltraScale アーキテクチャ GTY ト ランシーバー 66UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 67: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

RXPCSRESET 入力 非同期 PCS のリセッ ト シーケンスを開始するため、 このポートは High 駆

動された後ディアサート されます。 シングル モードの場合、

RXPCSRESET を High 駆動する と RX PCS 回路のみがリセッ ト され

ます。 シーケンシャル モードの場合、 RXPCSRESET を High 駆動す

る と、図 2-25 の RX リセッ ト プロセスが RXPCSRESET から開始さ

れ、 その後 RXBUFRESET が実行されます。 シーケンシャル モード

でリセッ ト対象となる部分は、 表 2-31 を参照してください。

いずれのモードの場合でも、 RXPCSRESET は、 RXUSERRDY が

High に遷移するまでリセッ ト プロセスを開始しません。

RXBUFRESET 入力 非同期 RX エラスティ ッ ク バッファーのリセッ ト シーケンスを開始する

ため、 このポートは High 駆動された後ディアサート されます。

シングル モードまたはシーケンシャル モードのいずれかでこの

ポート を High 駆動する と RX エラスティ ッ ク バッファーのみがリ

セッ ト されます。

RXUSERRDY 入力 非同期 このポートは、 RXUSRCLK および RXUSRCLK2 が安定する と、

アプリ ケーシ ョ ンによって High 駆動されます。

RXRESETDONE 出力 RXUSRCLK2 アサート される と、 GTY ト ランシーバー RX がリセッ ト を完了し

て使用可能になったこ とを示す、 アクティブ High になり ます。

シーケンシャル モードでは、 GTRXRESET が High の場合に Low

駆動します。 また、 RXUSERRDY が High に遷移するまで High 駆

動しません。 シングル モードでは、 RX リセッ トがアサート され

た場合に Low 駆動します。 この信号は、 すべての RX リセッ トが

ディアサート され、 RXUSERRDY がアサート されるまでアサート

されません。

RXPMARESETDONE 出力 非同期 RX PMA リセッ トが完了する と、 アクティブ High になり ます。

GTRXRESET または RXPMARESET がアサート される と、 Low に

駆動します。

RXOOBRESET 入力 非同期 OOB の個別リセッ トに使用します。 OOB 機能を使用しない場合、

つま り OOB 信号のリセッ トが不要な場合は Low に接続してくだ

さい。

RXOOBRESET は、 図 2-25 に示す GTY ト ランシーバー RX のリ

セッ ト ステート マシンのシーケンス とは独立したものです。

また、 シーケンシャル モードやシングル モードは適用されません。

RXOOBRESET を実行した場合、 RXRESETDONE の Low-High 遷

移および High-Low 遷移はあ り ません。

RESETEXCEPTION 出力 非同期 予約。

GTPOWERGOOD 出力 非同期 パワー グッ ド ステータス信号。 この信号が High にアサート され

てから 250µs 経過した後、 IBUFDS_GTE3/4 からのクロ ッ ク出力が

使用可能です。

UltraScale+ FPGA のみ

RXCKCALDONE 出力 非同期 予約。

RXCKCALRESET 入力 非同期 予約。

RXCKCALSTART[6:0] 入力 非同期 予約。 7'b0000000 に接続されています。

表 2-29: RX の初期化およびリセッ ト ポート (続き)

ポート 方向 クロック ド メ イン 説明

UltraScale アーキテクチャ GTY ト ランシーバー 67UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 68: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

表 2-30 に、 GTY ト ランシーバー RX の初期化プロセスで必要な属性を示します。 通常、 RX データパス上の各リ

セッ トに要する時間は、 ライン レートや関数によって異なり ます。 表 2-30 に示すユーザー指定の属性を使用して、

各リセッ ト時間を設定します。

表 2-30: RX の初期化およびリセッ ト属性

属性 タイプ 説明

RXOSCALRESET_TIME 5 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。 GTRXRESET

を使用して リセッ ト プロセスを開始する場合は、 0 以外の値に

設定してください。

RXOSCALRESET_TIMEOUT 5 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。 通常動作時は

0 に設定します。

RXPMARESET_TIME 5 ビッ ト バイナリ 予約。 RX PMA リセッ ト を適用する時間です。 ウ ィザードの推

奨値を使用してください。 GTRXRESET または RXPMARESET

を使用して リセッ ト プロセスを開始する場合は、 0 以外の値に

設定してください。

RXCDRPHRESET_TIME 5 ビッ ト バイナリ 予約。 RX CDR 位相リセッ ト を適用する時間です。

RXCDRRESET を使用して リセッ ト プロセスを開始する場合は、

0 以外の値に設定して ください。

RXCDRFREQRESET_TIME 5 ビッ ト バイナリ 予約。 RX CDRFREQ リセッ ト を適用する時間です。 ウ ィザード

の推奨値を使用してください。 RXCDRFREQRESET を使用して

リセッ ト プロセスを開始する場合は、 0 以外の値に設定してくだ

さい。

RXDFELPMRESET_TIME 7 ビッ ト バイナリ 予約。 RX DFE リセッ ト を適用する時間です。 ウ ィザードの推

奨値を使用してください。 RXDFELPMRESET を使用して リセッ

ト プロセスを開始する場合は、 0 以外の値に設定してください。

RXISCANRESET_TIME 5 ビッ ト バイナリ 予約。 RX EYESCAN リセッ ト を適用する時間です。 ウ ィザードの

推奨値を使用してください。 RXISCANRESET_TIME を使用して

リセッ ト プロセスを開始する場合は、 0 以外の値に設定してくだ

さい。

RXPCSRESET_TIME 5 ビッ ト バイナリ 予約。 RX PCS リセッ ト を適用する時間です。 ウ ィザードの推奨

値を使用してください。 RXPCSRESET を使用して リセッ ト プロ

セスを開始する場合は、 0 以外の値に設定して ください。

RXBUFRESET_TIME 5 ビッ ト バイナリ 予約。 RX BUFFER リセッ ト を適用する時間です。 ウ ィザードの

推奨値を使用してください。RXBUFRESET を使用して リセッ ト

プロセスを開始する場合は、 0 以外の値に設定して ください。

RX_PMA_POWER_SAVE 1 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

UltraScale+ FPGA のみ

CKCAL1_CFG_0 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

CKCAL1_CFG_1 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

CKCAL1_CFG_2 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

CKCAL1_CFG_3 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

CKCAL2_CFG_0 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

CKCAL2_CFG_1 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

CKCAL2_CFG_2 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

UltraScale アーキテクチャ GTY ト ランシーバー 68UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 69: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

コンフ ィギュレーシ ョ ンの完了に応答する GTY ト ランシーバー RX のリセッ ト

図 2-25 に示す RX リセッ ト シーケンスは、 グローバル GSR 信号に続いて自動的に開始されるこ とはあ り ません。

次の条件を満たす必要があ り ます。

1. シーケンシャル モードを使用するため、 GTRESETSEL が Low 駆動している。

2. GTRXRESET が使用されている。

3. RXRESETDONE が High に遷移するまでのリセッ ト プロセス全体で、 RXPMARESET、 RXCDRRESET、

RXCDRFREQRESET、 RXDFELPMRESET、 EYESCANRESET、 RXPCSRESET、 および RXBUFRESET を含むす

べてのシングル リセッ ト入力が常に Low に維持されている。

4. 関連する PLL がロ ッ ク されるまで、 GTRXRESET は Low 駆動できない。

5. GTPOWERGOOD が High であるこ とを確認してから C/QPLLRESET および GTRXRESET を解放する。

リセッ ト モードがデフォルトでシングル モードになる と、 次を実行する必要があ り ます。

1. リセッ ト モードをシーケンシャル モードに変更します。

2. さ らに 300 ~ 500ns 間待機します。

3. 図 2-26 に示すリセッ ト シーケンスに続いて、CPLLRESET または QPLL0/1RESET および GTRXRESET をアサー

ト します。

推奨: 図 2-26 で示すよ うに、 CPLL または QPLL のいずれかからの PLLLOCK 信号を使用して、 GTRXRESET を High

から Low へ遷移させてください。 RX リセッ ト ステート マシンは、 GTRXRESET の High が検出されて リセッ ト

シーケンスを開始し、 Low に遷移するまで待機します。

CKCAL2_CFG_3 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

CKCAL2_CFG_4 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXCKCAL1_IQ_LOOP_RST_CFG 16 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

RXCKCAL1_I_LOOP_RST_CFG 16 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

RXCKCAL1_Q_LOOP_RST_CFG 16 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

RXCKCAL2_DX_LOOP_RST_CFG 16 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

RXCKCAL2_D_LOOP_RST_CFG 16 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

RXCKCAL2_S_LOOP_RST_CFG 16 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

RXCKCAL2_X_LOOP_RST_CFG 16 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

SRSTMODE 1 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

表 2-30: RX の初期化およびリセッ ト属性 (続き)

属性 タイプ 説明

UltraScale アーキテクチャ GTY ト ランシーバー 69UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 70: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

GTRXRESET パルスに応答する GTY ト ランシーバー RX のリセッ ト

GTY ト ランシーバーでは、 GTRXRESET 信号を High 駆動するこ とで、 RX 全体を随時リセッ トできます。 表 2-29 に

リ ス ト されたすべての RX リセッ ト属性は、 あらかじめ設定できますが、 GTRXRESET を適用する前に DRP ポート

を使用して適切な リセッ ト時間に変更するこ と も可能です。 GTRXRESET を使用する際は、 次の条件を満たす必要

があ り ます。

1. シーケンシャル モードを使用するため、 GTRESETSEL が Low 駆動している。

2. RXRESETDONE が High に遷移するまでのリセッ ト プロセス中は、 RXPMARESET、 RXCDRRESET、

RXCDRFREQRESET、 RXDFELPMRESET、 EYESCANRESET、 RXPCSRESET、 および RXBUFRESET を含む

図 2-25 の左側にあるすべてのリセッ ト入力が常に Low を保持している。

3. 関連する PLL がロ ッ ク されている。

ヒン ト : 非同期 GTRXRESET 信号のパルス幅のガイ ド ラインは、 基準クロ ッ クの 1 周期分です。

X-Ref Target - Figure 2-26

図 2-26: コンフ ィギュレーシ ョ ン後の GTY レシーバー

CPLLPD/QPLL0/1RESET

CPLLLOCK/QPLL0/1LOCK

GTRXRESET

RXUSERRDY

RXRESETDONE

RX RESET FSM WAIT RXOSCALRESET RXPMARESET RXCDRRESET RXDFERESET RXEYESCANRESET RXPCSRESET RXBUFRESET

RXBUFRESET_TIMETXPCSRESET_TIME RXCDRFREQRESET_TIME RXISCANRESET_TIMERXCDRPHRESET_TIME RXDFELPMRESET_TIME RXPCSRESET_TIME

IDLERXCDRFREQRESET

GTPOWERGOOD

TXPMARESET_TIME

X19593-081417

X-Ref Target - Figure 2-27

図 2-27: GTRXRESET パルスによる GTY レシーバーのリセッ ト

GTRXRESET

RXUSERRDY

RXRESETDONE

RX RESET FSM IDLE WAIT RXPMARESET RXCDRRESET RXDFELPMRESET RXISCANRESET RXPCSRESET RXBUFRESET

RXBUFRESET_TIMERXPMARESET_TIME RXCDRFREQRESET_TIME RXISCANRESET_TIMERXCDRPHRESET_TIME RXDFELPMRESET_TIME RXPCSRESET_TIME

IDLERXCDRFREQRESET

X19594-081417

UltraScale アーキテクチャ GTY ト ランシーバー 70UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 71: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

GTY ト ランシーバー RX コンポーネン トのリセッ ト

GTY ト ランシーバー RX コンポーネン トの リセッ トには、 シーケンシャル モードまたはシングル モードのいずれか

を使用できます。 これらのリセッ トは、 主に特別な場合に使用され、 特定のサブセクシ ョ ンの リセッ トが必要な場

合にのみ実行します。 表 2-31 および表 2-32 では、 GTY ト ランシーバー RX で利用できるすべてのリセッ ト とそれら

の対象となるコンポーネン ト をモード別 (シーケンシャル モード /シングル モード ) に示します。 これらのリセッ トは

すべて非同期です。

表 2-31: RX コンポーネン ト リセッ トの範囲 (シーケンシャル モードの場合)

ファンクシ ョ ン ブロックGTRX

リセッ ト

RXPMAリセッ ト

RXDFEリセッ ト

EYESCANリセッ ト

RXPCSリセッ ト

RXBUFリセッ ト

RX PCS

RX インターコネク ト

ロジッ ク インターフェイス✓ ✓ ✓ ✓ ✓

RX ギアボッ クス ✓ ✓ ✓ ✓ ✓

RX ステータス制御 ✓ ✓ ✓ ✓ ✓

RX エラスティ ッ ク

バッファーの遅延調整機能✓ ✓ ✓ ✓ ✓

RX 8B/10B エンコーダー ✓ ✓ ✓ ✓ ✓

RX カンマ検出および

アライ メン ト✓ ✓ ✓ ✓ ✓

RX 極性 ✓ ✓ ✓ ✓ ✓

PRBS チェッカー ✓ ✓ ✓ ✓ ✓

RX エラスティ ッ ク

バッファー✓ ✓ ✓ ✓ ✓ ✓

RX リセッ ト FSM ✓

RX PMA

RX アナログ フロン ト エンド ✓ ✓

RX の OOB 信号 ✓ ✓

RX SIPO ✓ ✓

RX CDR 位相パス ✓ ✓

RX CDR 周波数パス ✓ ✓

RX DFE ✓ ✓ ✓

RX EYESCAN ✓ ✓ ✓ ✓

UltraScale アーキテクチャ GTY ト ランシーバー 71UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 72: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

表 2-33 に、 状況に応じた リセッ ト方法を示します。

表 2-32: RX コンポーネン ト リセッ トの範囲 (シングル モードの場合)

ファンクシ ョ ン ブロックGTRX

リセッ ト

RXPMAリセッ ト

RXDFEリセッ ト

EYESCANリセッ ト

RXPCSリセッ ト

RXBUFリセッ ト

RXOOBリセッ ト

RX PCS

RX インターコネク ト

ロジッ ク インターフェイス✓

RX ギアボッ クス ✓

RX ステータス制御 ✓

RX 遅延調整機能 ✓

RX 8B/10B エンコーダー ✓

RX カンマ検出および

アライ メン ト✓

RX 極性 ✓

PRBS チェッカー ✓

RX エラスティ ッ ク

バッファー✓

RX リセッ ト FSM

RX PMA

RX アナログ フロン ト エンド ✓

RX の OOB 信号 ✓ ✓

RX SIPO ✓

RX CDR 位相パス

RX CDR 周波数パス

RX DFE ✓

RX EYESCAN ✓

表 2-33: 一般的な状況で推奨されるリセッ ト方法

状況 リセッ トするコンポーネント 推奨リセッ ト (1)

電源投入およびコンフ ィギュレーシ ョ ン後 使用されている

CPLL/QPLL、 RX 全体

CPLLPD、 QPLL0/1RESET、GTRXRESET

使用されている CPLL/QPLL への基準

クロ ッ クの電源投入後

使用されている

CPLL/QPLL、 RX 全体

CPLLPD、 QPLL0/1RESET、GTRXRESET

使用されている CPLL/QPLL への基準

クロ ッ ク変更後

使用されている

CPLL/QPLL、 RX 全体

CPLLPD、 QPLL0/1RESET、GTRXRESET

使用されている PLL に対する CPLLPD ま

たは QPLLPD のアサート /ディアサート後

使用されている

CPLL/QPLL、 RX 全体

CPLLPD、 QPLL0/1RESET、GTRXRESET

RXPD[1:0] のアサート /ディアサート後 RX 全体 GTRXRESET

UltraScale アーキテクチャ GTY ト ランシーバー 72UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 73: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

RX レートの変更 RX 全体 PLL の設定が変更される場合、

CPLLPD、 QPLL0/1RESET が必要です。

RX CDR または PLL の設定が変更され

る場合は、 GTRXRESET が必要です。

リセッ ト シーケンスは RXRATE によっ

て自動的に実行されます。 RX CDR ま

たは PLL の設定を変更しない場合は

RXRATE を使用できます。 詳細は、

74 ページの 「RX レートの変更」 を参

照してください。

RX パラレル ク ロ ッ ク ソースのリセッ ト RX PCS RXPCSRESET

リモート側の電源投入後 RX 全体 GTRXRESET

電気的アイ ドル状態 RX 全体 適切な属性の設定で自動的に処理される

RXN/RXP の接続後(2) RX 全体 GTRXRESET

リ カバリ ク ロ ッ クの安定後 RX エラスティ ッ ク

バッファー

RXBUFRESET

RXBUFFER エラーの後 RX エラスティ ッ ク

バッファー

RXBUFRESET

リ アルタイムでチャネル ボンディング

モードを変更後

RX エラスティ ッ ク

バッファー

RXBUF_RESET_ON_CB_CHANGE を

TRUE に設定し、 チャネル ボンディン

グ モードが変更される と RX エラス

ティ ッ ク バッファーが自動的にリセッ

ト される

PRBS エラー後 PRBS エラー カウンター PRBSCNTRESET

カンマ リ アライ メン ト後 RX エラスティ ッ ク

バッファー (オプシ ョ ン)

RXBUF_RESET_ON_COMMAALIGN を

TRUE に設定し、 カンマ リ アライ メン

トが実行される と RX エラスティ ッ ク

バッファーが自動的にリセッ ト される

近端 PMA ループバッ クへの、 または近端

PMA ループバッ クからの遷移

RX 全体 79 ページの 「ループバッ ク」 を参照し

てください。

注記:1. 推奨する リセッ ト方法を使用する と、 GTY ト ランシーバーのほかのコンポーネン トへの影響が 小になり ます。

2. RXN/RXP の同時接続を前提と しています。

表 2-33: 一般的な状況で推奨されるリセッ ト方法 (続き)

状況 リセッ トするコンポーネント 推奨リセッ ト (1)

UltraScale アーキテクチャ GTY ト ランシーバー 73UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 74: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

電源投入およびコンフ ィギュレーシ ョ ン後

コンフ ィギュレーシ ョ ン後、 使用されている PLL と GTY TX 全体を リセッ トする必要があ り ます。 69 ページの 「コ

ンフ ィギュレーシ ョ ンの完了に応答する GTY ト ランシーバー RX のリセッ ト 」 を参照してください。

使用されている CPLL/QPLL0/1 への基準クロックの電源投入後

コンフ ィギュレーシ ョ ン後に基準クロ ッ クが変更した、 または GTY ト ランシーバーに電源が投入された場合、 PLL

のリセッ トが完全に終了した後に GTRXRESET を ト グルする必要があ り ます。

使用されている CPLL/QPLL0/1 への基準クロックの変更後

PLL への基準クロ ッ ク入力の変更時には、 新たな周波数に対して確実にロ ッ クするよ う、 PLL を リセッ トする必要

があ り ます。 PLL のリセッ トが完全に終了したら、 GTRXRESET を ト グルします。

使用されている PLL に対する CPLLPD または QPLL0/1PD のアサート /ディアサート後

電源切断後に使用されている CPLL または QPLL が通常動作に戻った場合、 PLL を リセッ トする必要があ り ます。

PLL のリセッ トが完全に終了したら、 GTRXRESET を ト グルします。

RXPD[1:0] のアサート /ディアサート後

RXPD 信号がディアサート された後は、 GTRXRESET 信号をアサート される必要があ り ます。

RX レートの変更

ほとんどの場合、 出力分周器の変更に加え、 RX レート を変更する際も DRP を介して RX CDR ループ フ ィルターの

設定を変更する必要があ り ます。 CDR ループ フ ィルターの設定変更以外にも、 異なるレートに合わせて属性を変更

する必要があるかもしれません。 異なるライン レートへ切り替る際には、 すべての属性がカバーされるよ うに、 指

定のライン レートでUltraScale FPGAs Transceivers Wizard からラ ッパーを生成し、 すべての属性を比較して差分を

取ってから DRP を介して対応する値を書き込むこ とをザイ リ ンクスは推奨しています。 DRP によって RX CDR ルー

プ フ ィルターを適切に設定し、 RXOUT_DIV 属性およびその他必要な属性を更新した うえで、 GTRXRESET ポート

を ト グルするこ とで RX を リセッ トする必要があ り ます。 PLL 属性が DRP を介して変更される場合は、 使用してい

る PLL を リセッ ト してロ ッ ク してから、 RX を リセッ トする必要があ り ます。

DRP による CDR ループ フ ィルターの更新が不要の場合、 レートは、 RXRATEMODE が 1'b0 に設定されている と き

に RXRATE ポート を使用する と変更できます。 その場合、 必要な リセッ ト シーケンスが自動的に実行されます。

レート変更と必要な リセッ ト シーケンスの両方が適用されて完了する と、 RXRATE に応答して RXRATEDONE がア

サート されます。

RX バッファーが有効の場合、 レートの変更後に RX バッファーが自動的にリセッ トするよ うに

RXBUF_RESET_ON_RATE_CHANGE 属性を TRUE に設定する必要があ り ます。 RX バッファーをバイパスする場合

は、 RXRATEDONE のアサート後にアライ メン ト を反復実行します。

RX パラレル クロック ソースのリセッ ト

適切な動作を実現するには、 RXUSRCLK および RXUSRCLK2 を駆動するクロ ッ クが安定している必要があ り ます。

ク ロ ッ ク ソースが再度ロッ ク された後に、 RXPCSRESET を ト グルします。 RX バッファーをバイパスする場合は、

リセッ トの完了後にアライ メン ト を反復実行します。

UltraScale アーキテクチャ GTY ト ランシーバー 74UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 75: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

リモート側の電源投入後

入力データのソースの電源が、 そのデータを受信して動作を開始した GTY ト ランシーバーよ り後に投入された場合

は、 RX 側を リセッ ト し、 入力データに対して確実にロ ッ クする必要があ り ます。

電気的アイドル リセッ ト

OOB および電気的アイ ドルをサポートするプロ ト コルについては、 ト ランシーバーへの RX 入力の差動電圧が OOB

または電気的アイ ドル レベルまで降下する と き、 電気的アイ ドルに関連する属性が適切な値に設定される と RX

CDR が自動的に制御されます。 ウ ィザードの推奨値を使用してください。

RXN/RXP の接続後

GTY ト ランシーバーへの RX データが接続および接続解除可能なコネクタから入力されている場合、 データ ソース

が接続されたと きに入力データに対して適切にロッ クするよ う、 RX 側を リセッ トする必要があ り ます。

リカバリ クロックの安定後

ク ロ ッキング手法の設計によっては、 CDR が入力データに対してロ ッ ク される前に、 RX のリセッ ト シーケンスを

完了させるこ とができます。 この場合、 リ カバリ ク ロ ッ クは、 RXRESETDONE がアサート される と安定しなくなる

可能性があ り ます。

RX バッファーの使用時は、 リ カバリ ク ロ ッ クが安定した後に RXBUFRESET を ト リガーする必要があ り ます。 RX

バッファーのバイパス使用時は、 リ カバリ ク ロ ッ クが安定するまでアライ メン ト を開始できません。

CDR のデータへのロ ッ クに関する基準は、 『UltraScale および UltraScale+ デバイス データシート 』 [参照 6] を参照し

てください。

RX バッファー エラー後

RX エラスティ ッ ク バッファーのオーバーフローまたはアンダーフローが発生した場合、 適切に動作させるため、

RXBUFRESET を使用して RX エラスティ ッ ク バッファーを リセッ トする必要があ り ます。

実行中におけるチャネル ボンディング モードの変更後

RXBUF_RESET_ON_CB_CHANGE を TRUE に設定する と、 RXCHANBONDMASTER、 RXCHANBONDSLAVE、 また

は RXCHANBONDLEVELRX が変更された後、 エラスティ ッ ク バッファーが自動的にリセッ ト されます。

PRBS エラー後

PRBSCNTRESET がアサート される と PRBS エラー カウンターがリセッ ト されます。

カンマ リアライ メン ト後

RXBUF_RESET_ON_COMMAALIGN を TRUE に設定する と、 カンマ リ アラ イ メン ト中に RX エラスティ ッ ク バッ

ファーを自動的にリセッ トできます。

UltraScale アーキテクチャ GTY ト ランシーバー 75UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 76: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

パワーダウン

機能の説明

GTY ト ランシーバーは、 さまざまなパワーダウン モードをサポート します。 これらのモードでは、 一般的なパワー

マネージメン ト機能と PCI Express® および SATA 規格で定められたパワー マネージメン ト機能を使用できます。

GTY ト ランシーバーには異なるレベルの電力制御があ り ます。 各方向のチャネルは、 それぞれ TXPD および RXPD

を使用して個別に電源を切断できます。 QPLL0/1PD ポートが直接クワッ ド PLL0/1 へ接続されている場合は、

CPLLPD ポートでチャネル PLL の電源を切断できます。

ポートおよび属性

表 2-34 に、 パワーダウンのポート を示します。

表 2-34: パワーダウン用のポート

ポート 方向 クロック ド メイン 説明

CPLLPD 入力 非同期 アクティブ High の場合、 チャネル PLL の電源

を切断します。

QPLL0PD/QPLL1PD 入力 非同期 アクティブ High の場合、 クワ ッ ド PLL0/1 の

電源を切断します。

RXPD[1:0] 入力 非同期 PCI Express PIPE プロ ト コル エンコードに応じて

RX レーンの電源を切断します。

00: P0 (通常動作)

01: P0s (短いリ カバリ時間の電源切断)

10: P1 (長いリ カバリ時間の電源切断)

11: P2 (電力が も低い状態)

TXPD[1:0] 入力 TXUSRCLK2

(TXPDELECIDLEMODE

によって非同期になる )

PCI Express PIPE プロ ト コル エンコードに応じて

TX レーンの電源を切断します。

00: P0 (通常動作)

01: P0s (短いリ カバリ時間の電源切断)

10: P1 (長いリ カバリ時間の電源切断、 受信検

出はオンのまま)

11: P2 (電力が も低い状態)

これらのパワーダウン ステート間の移行時間は

属性で制御できます。

TXPDELECIDLEMODE 入力 非同期 TXELECIDLE および TXPD が同期信号または非

同期信号のいずれで処理されるかを決定します。

TXPHDLYPD 入力 非同期 TX の位相および遅延調整回路の電源切断に使

用します。 TX バッファー バイパス モードで

1'b0 に設定されます。

0: TX の位相および遅延調整回路に電源を投入

1: TX の位相および遅延調整回路の電源を切断

UltraScale アーキテクチャ GTY ト ランシーバー 76UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 77: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

表 2-35 に、 パワーダウンの属性を示します。

一般的なパワーダウン機能

GTY ト ランシーバーは、 多様なアプリ ケーシ ョ ンで使用可能なパワーダウン機能を提供します。 表 2-36 に、 これら

の機能の基本概要を示します。

RXPHDLYPD 入力 非同期 RX の位相および遅延調整回路の電源切断に使

用します。 RX バッファー バイパス モードで

1'b0 に設定されます。

0: RX の位相および遅延調整回路に電源を投入

1: RX の位相および遅延調整回路の電源を切断

表 2-35: パワーダウンの属性

属性 タイプ 説明

PD_TRANS_TIME_FROM_P2 12 ビッ トの 16 進数 PCIe 動作用の P2 ステートから別のパワーダウン モードへ

の移行時間を設定します。 ウ ィザードの推奨値を使用し

てください。

PD_TRANS_TIME_NONE_P2 8 ビッ トの 16 進数 PCIe 動作の P2 ステート以外のモード間の移行時間を設定

します。 ウ ィザードの推奨値を使用してください。

PD_TRANS_TIME_TO_P2 8 ビッ トの 16 進数 PCIe 動作用の P2 ステートへの移行時間を設定します。

ウ ィザードの推奨値を使用してください。

TRANS_TIME_RATE 8 ビッ トの 16 進数 PCIe プロ ト コル (Gen2/Gen1 データ レート ) を含むすべて

の規格において [TX/RX]RATE ピンを使用してライン レー

ト を変更する際の移行時間 (変更完了までの時間) を指定

します。 ウ ィザードの推奨値を使用してください。

RX_CLKMUX_EN 1 ビッ ト バイナリ ウ ィザードの推奨値を使用してください。

TX_CLKMUX_EN 1 ビッ ト バイナリ ウ ィザードの推奨値を使用してください。

表 2-36: 基本的なパワーダウン機能の概要

機能 制御ポート 影響

クワ ッ ド PLL0 の制御/

クワ ッ ド PLL1 の制御

QPLL0/1PD クワ ッ ド PLL0/1 への電力供給を切断します。

チャネル PLL の制御 CPLLPD チャネル PLL への電力供給を切断します。

TX の電力制御 TXPD[1:0] GTY ト ランシーバーの TX 側が影響を受けます。

RX の電力制御 RXPD[1:0] GTY ト ランシーバーの RX 側が影響を受けます。

表 2-34: パワーダウン用のポート (続き)

ポート 方向 クロック ド メイン 説明

UltraScale アーキテクチャ GTY ト ランシーバー 77UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 78: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

複数のレーンおよびクワッ ドの電源投入/切断

1 つまたは複数のクワ ッ ド内にある複数のレーンの電源を投入/切断する と、 電源レギュレータ回路に影響を与えま

す (317 ページの 「複数のレーンの電源投入/切断およびリセッ ト 」 を参照)。

PLL のパワーダウン

PLL0/1 のパワーダウン モードをアクティブにするには、 アクティブ High の QPLL0/1PD 信号をアサート します。

同様に、 チャネル PLL のパワーダウン モードをアクティブにするには、 アクティブ High の CPLLPD 信号をアサー

ト します。 QPLL0/1PD または CPLLPD のいずれかがアサート される と、 対応する PLL の電力が切断されます。 つま

り、 PLL から派生するすべてのクロ ッ クが停止します。

対応する PLL ロ ッ ク信号 (クワ ッ ド PLL0/1 の QPLL0/1LOCK 信号、 クワッ ド PLL0/1 の GTY ト ランシーバーの

CPLLLOCK 信号、 または各チャネルの CPLLLOCK 信号のいずれか) がアサート される と、 この省電力モードから通

常モードへ回復します。 初のコンフ ィギュレーシ ョ ンおよび電源投入時、 基準クロ ッ クのエッジが検出されるま

で、 CPLL の電源は、 CPLLPD ポート を使用して切断しておく こ とをザイ リ ンクスでは推奨しています。

TX および RX のパワーダウン

PCI Express を使用しないデザインで、 TX および RX パワーダウン信号を使用する場合は、 TXPD および RXPD を個

別に使用できます。 これらのインターフェイスが PCI Express 以外のアプリ ケーシ ョ ンで使用される場合、 表 2-37 に

示す 2 つの電力ステートのみがサポート されます。 このパワーダウン方法を使用する場合は、 次の要件を満たす必

要があ り ます。

• TXPD[1] と TXPD[0] が接続されている

• RXPD[1] と RXPD[0] が接続されている

• TXDETECTRX が Low に固定されている

• TXELECIDLE が TXPD[1] および TXPD[0] に固定されている

TXPD および RXPD ポート を High にアサート し、TX_CLKMUX_EN および RX_CLKMUX_EN を 1'b0 に設定する と

消費電力を削減できます。

表 2-37: PCI Express デザイン以外の動作における TX および RX 電力ステート

TXPD[1:0] または RXPD[1:0] 説明

0 通常モード。 ト ランシーバーの TX または RX はデータの送信/受信が可能な状態です。

11 パワーダウン モード。 ト ランシーバーの TX または RX はアイ ドル状態です。

UltraScale アーキテクチャ GTY ト ランシーバー 78UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 79: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

ループバック

機能の説明

ループバッ ク モードは、 ト ラフ ィ ッ ク ス ト リームが折り返してソースに戻るよ うにデータパスを構成するもので

す。 通常は、 特定のト ラフ ィ ッ ク パターンが送信され、 エラーがないかをチェッ クするために比較されます。

図 2-28 に、 4 つのループバッ ク モードを備えたループバッ ク テス トのコンフ ィギュレーシ ョ ンを示します。

ループバッ ク テス ト モードは、 大き く次の 2 つに分類されます。

• 近端ループバッ ク モードの場合、 送信データが ト ラフ ィ ッ ク ジェネレーターに も近接している ト ランシー

バーに戻り ます。 UltraScale FPGA の GTY ト ランシーバーでは、 シ リ アル データは TX 差動出力ペアでも利用で

きます。

• 遠端ループバッ ク モードの場合、 受信データがリ ンクの も遠い位置にある ト ランシーバーに戻り ます。

UltraScale FPGA の GTY ト ランシーバーでは、 受信データは、 通常動作と同じよ うに RXDATA インターフェイ

スに現れます。

ループバッ ク テス トは、 開発段階またはシステム展開後に故障隔離のために実施できます。 テス トには、 アプリ

ケーシ ョ ン ト ラフ ィ ッ ク パターンあるいは擬似ランダム ビッ ト シーケンスのどちらの ト ラフ ィ ッ ク パターンも使

用可能です。 各 GTY ト ランシーバーに PRBS ジェネレーターおよびチェッカーが内蔵されています。

GTY ト ランシーバーは、 テス ト用にいくつかのループバッ ク モードを備えています。

X-Ref Target - Figure 2-28

図 2-28: ループバック テストの概略図

Traffic Checker

Traffic Generator

Test Logic Near-End GTY Far-End GTY

Link Near-End Test Structures Link Far-End Test Structures

RX-PCS

RX-PCS

TX-PCS

TX-PCS

TX-PMA

TX-PMA

RX-PMA

RX-PMA

2 3 41

X19595-081417

UltraScale アーキテクチャ GTY ト ランシーバー 79UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 80: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

• 近端 PCS ループバッ ク (図 2-28 のパス ①)

近端 PCS ループバッ クが正し く機能するよ うにするには、 RX エラスティ ッ ク バッファーを有効にし、

RX_XCLK_SEL を RXDES に設定する必要があ り ます。 近端 PCS ループバッ クでは、 RX XCLK ド メ インには

TX PMA パラレル ク ロ ッ ク (TX XCLK) によってクロ ッ クが供給されます。 インターコネク ト ロジッ クにクロ ッ

クを供給するために RXOUTCLK が用いられ、 通常動作時に RXOUTCLKSEL が RXOUTCLKPMA に設定されて

いる場合、 GTY ト ランシーバーを近端 PCS ループバッ クに配置する際にこれら 2 つのうちの 1 つを変更する必

要があ り ます。

° RXOUTCLKPCS を選択するよ うに RXOUTCLKSEL を設定する、 または

° RXCDRHOLD = 1'b1 に設定する

• 近端 PMA ループバッ ク (図 2-28 のパス ②)

25Gb/s を下回るライン レートの場合、近端 PMA ループバッ クへ/からの遷移後に GTRXRESET を使用できます。

25Gb/s 以上のライン レートの場合、 近端 PMA ループバッ クへ的確に遷移するために次のシーケンスに従う必

要があ り ます。

a. ループバッ ク モードではない (LOOPBACK = 3’b000) と きに、GTRXRESET を使用して GTY レシーバーを

リセッ ト します。

b. ループバッ ク モードを近端 PMA ループバッ ク (LOOPBACK = 3’b010) に変更します。

c. RXPMARESET を使用して GTY レシーバーを リセッ ト します。

d. ループバッ クなしの通常動作に戻るには、 ルークバッ ク ポート制御を 3’b000 に戻し、 GTRXRESET また

は RXPMARESET でレシーバーを リセッ ト します。

• 遠端 PMA ループバッ ク (図 2-28 のパス ③)

遠端 PMA ループバッ クが正し く機能するよ うにするには、 TX バッファーを有効にし、 TX_XCLK_SEL を

TXOUT に設定する必要があ り ます。 遠端 PMA ループバッ クでは、 TX バッファーの書き込み側には RX PMA

パラレル ク ロ ッ ク (RX XCLK) によってクロ ッ クが供給されます。 TXPIPPMEN および TXPIPPMSEL ポート を

1'b0 に設定して、 TX 位相インターポレーター PPM コン ト ローラーを無効にする必要があ り ます。 遠端 PMA

ループバッ クへ/からの遷移後に GTTXRESET が必要です。 遠端 PMA ループバッ クを使用する場合、 TX 非同期

ギアボッ クスを同時には使用できないため、 TXGEARBOX_EN を 1'b0 に設定する必要があ り ます。

• 遠端 PCS ループバッ ク (図 2-28 のパス ④)

ク ロ ッ ク コレクシ ョ ン機能を使用しない場合、 遠端 PCS ループバッ クの ト ランシーバーは、 ループバッ ク デー

タを供給する ト ランシーバーで使用されている同じ基準クロ ッ クを用いる必要があ り ます。 ク ロ ッ ク コレク

シ ョ ンの使用に関係なく、 TXUSRCLK および RXUSRCLK ポートは同じク ロ ッ ク リ ソース (BUFG_GT) によっ

て駆動する必要があ り ます。 チャネルの両方またはどちらかのギアボッ クスが有効の場合は、 遠端 PCS ループ

バッ クはサポート されません。

UltraScale アーキテクチャ GTY ト ランシーバー 80UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 81: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

ポートおよび属性

表 2-38 に、 ループバッ ク用のポート を示します。

UltraScale FPGA にはループバッ ク用の属性はあ り ません。 表 2-39 に、 UltraScale+ FPGA のループバッ クの属性を示

します。

ダイナミ ック リ コンフ ィギュレーシ ョ ン ポート

機能の説明

ダイナミ ッ ク リ コンフ ィギュレーシ ョ ン ポート (DRP) を使用するこ とで、 動作中に GTYE3/4_CHANNEL および

GTYE3/4_COMMON プリ ミ ティブのパラ メーターを変更できます。 DRP はプロセッサとの併用が容易な同期イン

ターフェイスで、 1 つのアドレス バス (DRPADDR) とプ リ ミ テ ィブへのコンフ ィギュレーシ ョ ン データの読み出し

(DRPDO) 用および書き込み (DRPDI) 用に別々のデータ バスを備えています。 イネーブル信号 (DRPEN)、 読み出し /

書き込み信号 (DRPWE)、 および Ready/Valid 信号 (DRPRDY) は、 読み出しおよび書き込み動作の制御信号であ り、

処理の完了や有効なデータの有無を示します。

表 2-38: ループバック用のポート

ポート 方向 クロック ド メ イン 説明

LOOPBACK[2:0] 入力 非同期 000: 通常動作

001: 近端 PCS ループバッ ク

010: 近端 PMA ループバッ ク

011: 予約

100: 遠端 PMA ループバッ ク

101: 予約

110: 遠端 PCS ループバッ ク

表 2-39: ループバックの属性

属性 タイプ 説明

LPBK_BIAS_CTRL 3 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

LPBK_EN_RCAL_B 1 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

LPBK_EXT_RCAL 4 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

LPBK_IND_CTRL0 3 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

LPBK_IND_CTRL1 3 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

LPBK_IND_CTRL2 3 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

LPBK_RG_CTRL 4 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

UltraScale アーキテクチャ GTY ト ランシーバー 81UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 82: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

ポートおよび属性

表 2-40 に、 GTYE3/4_CHANNEL の DRP ポート を示します。

表 2-40: GTYE3/4_CHANNEL の DRP ポート

ポート 方向 クロック ド メイン 説明

DRPADDR[9:0] 入力 DRPCLK DRP アドレス バス

DRPCLK 入力 N/A DRP インターフェイス ク ロ ッ ク

DRPEN 入力 DRPCLK DRP のイネーブル信号

0: 読み出しまたは書き込み処理が無効

1: 読み出しまたは書き込み処理が有効

書き込み処理の場合、 DRPWE および DRPEN を

DRPCLK の 1 サイ クル間のみ High に駆動する必要があ

り ます。 正しい動作については、 図 2-29 を参照してくだ

さい。

読み出し処理の場合、 DRPEN を DRPCLK の 1 サイ クル

間のみ High に駆動する必要があ り ます。 正しい動作に

ついては、 図 2-30 を参照してください。

DRPDI[15:0] 入力 DRPCLK インターコネク ト ロジッ クから ト ランシーバーへコン

フ ィギュレーシ ョ ン データを書き込むためのデータ バ

スです。

DRPRDY 出力 DRPCLK DRP 書き込み処理が完了し、 読み出しデータが有効であ

るこ とを示します。

読み出し /書き込みレジスタへの書き込みまたは読み出し

処理の場合、 DRP ト ランザクシ ョ ンの初期化後、

DRPRDY を DRPCLK の 6 サイクル間アサート します。

読み出し専用レジスタでは、 DRPRDY のアサートに必要

な DRPCLK サイクル数は、 DRPCLK 周波数と USRCLK

周波数の関係で決ま り ます。 読み出し専用レジスタで

は、 DRP ト ランザクシ ョ ンの初期化後 DRPCLK の 500

サイクル以内に DRPRDY が検出されない場合、 ポート

PCSRSVDIN[2] を使用して DRP インターフェイスを リ

セッ ト します。

DRPDO[15:0] 出力 DRPCLK GTY ト ランシーバーからインターコネク ト ロジッ ク リ

ソースへコンフ ィギュレーシ ョ ン データを読み出すため

のデータ バスです。

DRPWE 入力 DRPCLK DRP の書き込みイネーブル

0: DRPEN が 1 のと きに読み出し処理を実行

1: DRPEN が 1 のと きに書き込み処理を実行

書き込み処理の場合、 DRPWE および DRPEN を

DRPCLK の 1 サイ クル間のみ High に駆動する必要があ

り ます。 正しい動作については、 図 2-29 を参照してくだ

さい。

UltraScale アーキテクチャ GTY ト ランシーバー 82UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 83: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

表 2-41 に、 GTYE3/4_COMMON の DRP ポート を示します。

PCSRSVDIN[2] 入力 非同期 UltraScale FPGA のみ

DRP リセッ ト 。 XCLK がト グルしていない間 (たとえば、

基準クロ ッ クの リセッ ト時または変更時) に読み出し専

用レジスタを読み出すと、 DRP で DRPRDY 信号が返さ

れず、 それ以降の DRP ト ランザクシ ョ ンが妨げられま

す。 そのよ うな場合、 さ らに DRP ト ランザクシ ョ ンを

開始する前に、 PCSRSVDIN[2] をパルスして DRP イン

ターフェイスを リセッ トする必要があ り ます。

DRPRST 入力 DRPCLK UltraScale+ FPGA のみ

DRP リセッ ト 。 XCLK がト グルしていない間 (たとえば、

基準クロ ッ クの リセッ ト時または変更時) に読み出し専

用レジスタを読み出すと、 DRP で DRPRDY 信号が返さ

れず、 それ以降の DRP ト ランザクシ ョ ンが妨げられま

す。 そのよ うな場合、 さ らに DRP ト ランザクシ ョ ンを

開始する前に、DRPRST をパルスして DRP インターフェ

イスを リセッ トする必要があ り ます。

表 2-41: GTYE3/4_COMMON の DRP ポート

ポート 方向 クロック ド メイン 説明

DRPADDR[9:0] 入力 DRPCLK DRP アドレス バス

DRPCLK 入力 N/A DRP インターフェイス ク ロ ッ ク

DRPEN 入力 DRPCLK DRP のイネーブル信号

0: 読み出しまたは書き込み処理が無効

1: 読み出しまたは書き込み処理が有効

書き込み処理の場合、DRPWE および DRPEN を DRPCLK の

1 サイクル間のみ High に駆動する必要があ り ます。 正しい

動作については、 図 2-29 を参照してください。

読み出し処理の場合、 DRPEN を DRPCLK の 1 サイクル間の

み High に駆動する必要があ り ます。 正しい動作については、

図 2-30 を参照してください。

DRPDI[15:0] 入力 DRPCLK インターコネク ト ロジッ クから ト ランシーバーへコンフ ィ

ギュレーシ ョ ン データを書き込むためのデータ バスです。

DRPRDY 出力 DRPCLK DRP 書き込み処理が完了し、 読み出しデータが有効である

こ とを示します。 読み出し /書き込みレジスタへの書き込み

または読み出し処理の場合、 DRP ト ランザクシ ョ ンの初期

化後、 DRPRDY を DRPCLK の 6 ~ 7 サイクル間アサート し

ます。

表 2-40: GTYE3/4_CHANNEL の DRP ポート (続き)

ポート 方向 クロック ド メイン 説明

UltraScale アーキテクチャ GTY ト ランシーバー 83UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 84: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

使用モデル

書き込み

図 2-29 に、 DRP の書き込み処理のタイ ミ ングを示します。 DRPRDY がアサート される と、 新たな DRP 動作を開始

できます。

DRPDO[15:0] 出力 DRPCLK GTY ト ランシーバーからインターコネク ト ロジッ ク リ ソー

スへコンフ ィギュレーシ ョ ン データを読み出すためのデー

タ バスです。

DRPWE 入力 DRPCLK DRP の書き込みイネーブル

0: DRPEN が 1 のと きに読み出し処理を実行

1: DRPEN が 1 のと きに書き込み処理を実行

書き込み処理の場合、DRPWE および DRPEN を DRPCLK の

1 サイクル間のみ High に駆動する必要があ り ます。 正しい

動作については、 図 2-29 を参照してください。

X-Ref Target - Figure 2-29

図 2-29: DRP の書き込みタイ ミング

表 2-41: GTYE3/4_COMMON の DRP ポート (続き)

ポート 方向 クロック ド メイン 説明

DRPCLK

DRPEN

DRPRDY

DRPWE

DRPADDR

DRPDI

DRPDO

ADR

DAT

X19596-081417

UltraScale アーキテクチャ GTY ト ランシーバー 84UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 85: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

読み出し

図 2-30 に、 DRP の読み出し処理のタイ ミ ングを示します。 DRPRDY がアサート される と、 新たな DRP 動作を開始

できます。

デジタル モニター

機能の説明

2 つのレシーバー モード (LPM および DFE) は、 リ ンクの 適化に適応アルゴ リズムを使用します。 デジタル モニ

ターでは、 これらの適応ループの現在のステート を視覚的に監視できます。 デジタル モニターの動作にはクロ ッ ク

が必要です。 これには DRPCLK または RXUSRCLK2 を使用できます。 RXDFE_CFG1 属性または RXLPM_CFG 属性

で、 DMONITOROUT ポートでモニターされる適応ループを選択します。 選択したループの現在のコードは、 出力

ポート DMONITOROUT に含まれます。 1 つのループには、 3 つの定常ステート (min、 max、 または dithering) があ り

ます。

X-Ref Target - Figure 2-30

図 2-30: DRP の読み出しタイ ミング

DRPCLK

DRPEN

DRPRDY

DRPWE

DRPADDR

DRPDI

DRPDO

ADR

DATX19597-081417

UltraScale アーキテクチャ GTY ト ランシーバー 85UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 86: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

ポートおよび属性

表 2-42 に、 GTY のデジタル モニターのポート を示します。

表 2-43 に、 GTY のデジタル モニターの属性を示します。

表 2-42: デジタル モニターのポート

ポート 方向 クロック ド メイン 説明

DMONITOROUT[16:0] 出力 非同期/ローカル

ク ロ ッ ク

UltraScale FPGA のみ

デジタル モニター出力バス

[16] - 内部クロ ッ ク

[6:0] - RXDFEOS、 RXDFEVP、 RXDFEUT、 RXLPMOS

[6:2] - RXDFEKL、 RXLPMKH、 RXLPMKL

[5:0] - RXDFETAP2、 RXDFETAP3

[4:0] - RXDFEAGC、 RXDFETAP4、 RXDFETAP5、

RXDFETAP6、 RXDFETAP7、 RXDFETAP8、 RXDFETAP9、

RXDFETAPA、 RXDFETAPB、 RXDFETAPC、

RXDFETAPD、 RXDFETAPE、 RXDFETAPF、 RXLPMAGC

DMONITOROUT[15:0] 出力 非同期/ローカル

ク ロ ッ ク

UltraScale+ FPGA のみ

デジタル モニター出力バス

[6:0] - RXDFEOS、 RXDFEVP、 RXDFEUT、 RXLPMOS

[6:2] - RXDFEKL、 RXDFEKH、 RXLPMKH、 RXLPMKL、

RXDFEAGC、 RXDFETAP4、 RXDFETAP5、 RXDFETAP6、

RXDFETAP7、 RXDFETAP8、 RXDFETAP9、

RXDFETAPA、 RXDFETAPB、 RXDFETAPC、

RXDFETAPD、 RXDFETAPE、 RXDFETAPF、 RXLPMAGC

[6:1] - RXDFETAP2、 RXDFETAP3

DMONITORCLK 入力 非同期 デジタル モニターのクロ ッ ク

DMONFIFORESET 入力 DMONITORCLK 予約。 GND に接続します。

DMONITOROUTCLK 出力 非同期 UltraScale+ FPGA のみ

適応ループからの内部クロ ッ クです。

表 2-43: デジタル モニターの属性

ポート タイプ 説明

ADAPT_CFG1[15:0] 16 進数 [15]: 適応ループをモニターする場合、 1'b1 に設定。

[14:0]: 予約。

UltraScale アーキテクチャ GTY ト ランシーバー 86UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 87: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

RXDFE_CFG1[15:0] 16 進数 [15:6]: 予約。

[5]: DFE 適応ループをモニターする場合、 1'b1 に設定。 それ以外は、 1'b0 に設定。

[4:0]: DFE 適応ループを選択。

表 2-43: デジタル モニターの属性 (続き)

ポート タイプ 説明

RXDFE_CFG1[4:0] UltraScale+

RXDFE_CFG1[4:0] UltraScale DFE 適応のラインの選択

コード マッピングの範囲

5'b00000 5'b00000 RXDFEOS: ベースライン ワンダーの

キャンセレーシ ョ ン。 2 つのニュー

ト ラルによる 7 ビッ ト符号化。

7'd0: 小 (負)

7'd63: ニュート ラル

7'd64: ニュート ラル

7'd127: 大 (正)

5'b00001 5'b00001 RXDFEKL: DFE 低周波数ゲイン 5'd0: 小

5'd31: 大

5'b00010 N/A RXDFEKH: DFE 高周波数ゲイン 5'd0: 小

5'd31: 大

5'b00011 5'b00010 RXDFEVP: DFE の電圧ピーク 7'd0: 小

7'd127: 大

5'b00100 5'b00011 RXDFEUT: DFE タ ップ 1 7'd0: 小

7'd127: 大

5'b00101 5'b00100 RXDFEAGC: DFE AGC 周波数ゲイン 5'd0: 小

5'd31: 大

5'b00110 5'b00101 RXDFETAP2 の場合は DFE タ ップ 2 6'd0: 小

6'd63: 大

5'b00111 5'b00110 RXDFETAP3 の場合は DFE タ ップ 3。

2 つのニュート ラルによる 6 ビッ ト

符号化。

6'd0: 小 (負)

6'd31: ニュート ラル

6'd32: ニュート ラル

6'd63: 大 (正)

5'b01000 5'b00111 RXDFETAP4 の場合は DFE タ ップ 4。

2 つのニュート ラルによる 5 ビッ ト

符号化。

5'd0: 小 (負)

5'd15: ニュート ラル

5'd16: ニュート ラル

5'd31: 大 (正)

5'b01001 5'b01000 RXDFETAP5 の場合は DFE タ ップ 5。

2 つのニュート ラルによる 5 ビッ ト

符号化。

5'd0: 小 (負)

5'd15: ニュート ラル

5'd16: ニュート ラル

5'd31: 大 (正)

5'b01010 5'b01001 RXDFETAP6 の場合は DFE タ ップ 6。

2 つのニュート ラルによる 5 ビッ ト

符号化。

5'd0: 小 (負)

5'd15: ニュート ラル

5'd16: ニュート ラル

5'd31: 大 (正)

5'b01011 5'b01010 RXDFETAP7 の場合は DFE タ ップ 7。

2 つのニュート ラルによる 5 ビッ ト

符号化。

5'd0: 小 (負)

5'd15: ニュート ラル

5'd16: ニュート ラル

5'd31: 大 (正)

5'b01100 5'b01011 RXDFETAP8 の場合は DFE タ ップ 8。

2 つのニュート ラルによる 5 ビッ ト

符号化。

5'd0: 小 (負)

5'd15: ニュート ラル

5'd16: ニュート ラル

5'd31: 大 (正)

UltraScale アーキテクチャ GTY ト ランシーバー 87UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 88: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

RXDFE_CFG1[15:0]

(続き )

16 進数 [4:0]: DFE 適応ループを選択 (続き ):

表 2-43: デジタル モニターの属性 (続き)

ポート タイプ 説明

RXDFE_CFG1[4:0] UltraScale+

RXDFE_CFG1[4:0] UltraScale DFE 適応のラインの選択

コード マッピングの範囲

5'b01101 5'b01100 RXDFETAP9: DFE タ ップ 9。 2 つの

ニュート ラルによる 5 ビッ ト符号化。

5'd0: 小 (負)

5'd15: ニュート ラル

5'd16: ニュート ラル

5'd31: 大 (正)

5'b01110 5'b01101 RXDFETAPA: DFE タ ップ A。 2 つの

ニュート ラルによる 5 ビッ ト符号化。

5'd0: 小 (負)

5'd15: ニュート ラル

5'd16: ニュート ラル

5'd31: 大 (正)

5'b01111 5'b01110 RXDFETAPB: DFE タ ップ B。 2 つの

ニュート ラルによる 5 ビッ ト符号化。

5'd0: 小 (負)

5'd15: ニュート ラル

5'd16: ニュート ラル

5'd31: 大 (正)

5'b10000 5'b01111 RXDFETAPC: DFE タ ップ C。 2 つの

ニュート ラルによる 5 ビッ ト符号化。

5'd0: 小 (負)

5'd15: ニュート ラル

5'd16: ニュート ラル

5'd31: 大 (正)

5'b10001 5'b10000 RXDFETAPD: DFE タ ップ D。 2 つの

ニュート ラルによる 5 ビッ ト符号化。

5'd0: 小 (負)

5'd15: ニュート ラル

5'd16: ニュート ラル

5'd31: 大 (正)

5'b10010 5'b10001 RXDFETAPE: DFE タ ップ E。 2 つの

ニュート ラルによる 5 ビッ ト符号化。

5'd0: 小 (負)

5'd15: ニュート ラル

5'd16: ニュート ラル

5'd31: 大 (正)

5'b10011 5'b10010 RXDFETAPF: DFE タ ップ F。 2 つの

ニュート ラルによる 5 ビッ ト符号化。

5'd0: 小 (負)

5'd15: ニュート ラル

5'd16: ニュート ラル

5'd31: 大 (正)

UltraScale アーキテクチャ GTY ト ランシーバー 88UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 89: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

使用モード

DMONITOR からループ値を読み出すには DMONITORCLK 入力ポートにクロ ッ クが必要となり、 DRP を介して適応

ループの選択を変更し、 DMONITOROUT 出力をモニターします。 DRP ポート を介して DMONITOR_CFG1、

RXLPM_CFG、 RXDFE_CFG1、 および ADAPT_CFG1 の各属性を設定し、 デジタル モニターを有効化して、 モニ

ターに適したループを選択します。 各属性の DRP 位置は次のとおりです。

0x003A[15:8] = DMONITOR_CFG1[7:0]

0x0032[15:0] = RXLPM_CFG[15:0]

0x0054[15:0] = RXDFE_CFG1[15:0]

0x0092[15:0] = ADAPT_CFG1[15:0]

デジタル モニターの出力は、 DMONITOROUT ポートで確認できます。 デジタル モニターからの信号は LSB に揃え

られ、 非同期です。

RXLPM_CFG[15:0] 16 進数 [15:6]: 予約。

[5]: LPM 適応ループをモニターする場合、 1'b1 に設定。 それ以外は、 1'b0 に設定。

[4:3]: LPM 適応ループを選択。

[2:0]: 予約。

DMONITOR_CFG1[7:0] 16 進数 [7:1]: 予約。

[0]: デジタル モニターを有効化。

表 2-43: デジタル モニターの属性 (続き)

ポート タイプ 説明

RXLPM_CFG[4:3] LPM 適応のラインの選択コード マッピング

の範囲

2'b00 RXLPMKH: LPM 高周波数ゲイン 5'd0: 小

5'd31: 大

2'b01 RXLPMKL: LPM 低周波数ゲイン 5'd0: 小

5'd31: 大

2'b10 RXLPMOS: ベースライン ワンダーの

キャンセレーシ ョ ン。 2 つのニュート

ラルによる 7 ビッ ト符号化。

7'd0: 小 (負)

7'd63: ニュート ラル

7'd64: ニュート ラル

7'd127: 大 (正)

2'b11 RXLPMAGC: LPM AGC 周波数ゲイン 5'd0: 小

5'd31: 大

UltraScale アーキテクチャ GTY ト ランシーバー 89UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 90: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

デジタル モニター出力のキャプチャ

DMONITOROUT 信号は、 RXUSRCLK2 と比較する と緩やかに変化します。 こ こでは、 DMONIOTROUT 出力のキャ

プチャ方法の 1 つを説明します。

reg [7:0] compare1, compare2, dmonitorout_sync;

always@ (posedge RXUSRCLK2) begin if (reset) begin compare1 <= 8'd0; compare2 <= 8'd0; dmonitorout_sync <= 8'd0; end else begin compare1 <= DMONITOROUT[7:0]; compare2 <= compare1;

if (compare1 == compare2) dmonitorout_sync <= compare2; else dmonitorout_sync <= dmonitorout_sync; end //elseend //always

情報が正常にキャプチャされる方法はいずれも有効です。

ソフ トウェアでのデジタル モニター出力のキャプチャ

「デジタル モニター出力のキャプチャ」 の Verilog コードで記述された dmonitorout_sync をホス ト プロセッサ メモ リ

にマップして、 デジタル モニターの出力をキャプチャできます。 チャネル DRP ポート をホス ト プロセッサ メモ リ

にマップする と、 監視対象の適応ループを選択できます。 次に C コードの実例を示します。 drpread ファンクシ ョ ン

と drpwrite ファンクシ ョ ンは、 84 ページの 「使用モデル」 で説明した DRP の動作です。 captureDMON ファンクシ ョ

ンは、 「デジタル モニター出力のキャプチャ」 の Verilog コードで記述されている dmonitorout_sync レジスタを読み出

します。

//////////////////////////////////////////// Function Prototype//////////////////////////////////////////

void drpwrite(unsigned int drpaddress, unsigned int drpvalue);

usigned int drpread(unsigned int drpaddress);

unsigned int captureDMON(unsigned int msb, unsigned int lsb);

/////////////////////////////////////////////////// Initialize Digital Monitor /////////////////////////////////////////////////

// Enable Digital Monitor// Read/Modify/Write DMONITOR_CFG1[0]temp = drpread(0x003A);drpwrite(0x003A, (temp & 0xFEFF) | 0x0100);

UltraScale アーキテクチャ GTY ト ランシーバー 90UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 91: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

/////////////////////////////////////////////////// Read Digital Monitor as often as required/////////////////////////////////////////////////

while(!done) {

/////////////////////////////////////////////////// LPM Mode Only/////////////////////////////////////////////////

// DFE monitor disabledrpwrite(0x0054, 0x0000);

// Read/Modify/Write ADAPT_CFG1[15]temp = drpread(0x0092);drpwrite(0x0092, (temp & 0x7FFF) | 0x8000);

// LPM Mode Only: RXLPMHFdrpwrite(0x0032, 0x0010);captureDMON(6, 2);

// LPM Mode Only: RXLPMLFdrpwrite(0x0032, 0x0014);captureDMON(6, 2);

// LPM Mode Only: RXLPMOSdrpwrite(0x0032, 0x0018);captureDMON(6, 0);

// LPM Mode Only: RXLPMAGCdrpwrite(0x0032, 0x001C);captureDMON(4, 0);

/////////////////////////////////////////////////// DFE Mode Only/////////////////////////////////////////////////

//LPM monitor disabledrpwrite(0x0032, 0x0000);

// Read/Modify/Write ADAPT_CFG1[15]temp = drpread(0x0092);drpwrite(0x0092, (temp & 0x7FFF) | 0x8000);

// DFE Mode Only: RXDFEOSdrpwrite(0x0054, 0x0020);captureDMON(6, 0);

// DFE Mode Only: RXDFEKLdrpwrite(0x0054, 0x0021);captureDMON(6, 2);

// DFE Mode Only: RXDFEVPdrpwrite(0x0054, 0x0022);captureDMON(6, 0);

// DFE Mode Only: RXDFEUTdrpwrite(0x0054, 0x0023);captureDMON(6, 0);

UltraScale アーキテクチャ GTY ト ランシーバー 91UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 92: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

// DFE Mode Only: RXDFEAGCdrpwrite(0x0054, 0x0024);captureDMON(4, 0);

// DFE Mode Only: RXDFETAP2drpwrite(0x0054, 0x0025);captureDMON(5, 0);

// DFE Mode Only: RXDFETAP3drpwrite(0x0054, 0x0026);captureDMON(4, 0);

// DFE Mode Only: RXDFETAP4drpwrite(0x0054, 0x0027);captureDMON(4, 0);

// DFE Mode Only: RXDFETAP5drpwrite(0x0054, 0x0028);captureDMON(4, 0);

// DFE Mode Only: RXDFETAP6drpwrite(0x0054, 0x0029);captureDMON(4, 0);

// DFE Mode Only: RXDFETAP7drpwrite(0x0054, 0x002A);captureDMON(4, 0);

// DFE Mode Only: RXDFETAP8drpwrite(0x0054, 0x002B);captureDMON(4, 0);

// DFE Mode Only: RXDFETAP9drpwrite(0x0054, 0x002C);captureDMON(4, 0);

// DFE Mode Only: RXDFETAPAdrpwrite(0x0054, 0x002D);captureDMON(4, 0);

// DFE Mode Only: RXDFETAPBdrpwrite(0x0054, 0x002E);captureDMON(4, 0);

// DFE Mode Only: RXDFETAPCdrpwrite(0x0054, 0x002F);captureDMON(4, 0);

// DFE Mode Only: RXDFETAPDdrpwrite(0x0054, 0x0030);captureDMON(4, 0);

UltraScale アーキテクチャ GTY ト ランシーバー 92UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 93: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

// DFE Mode Only: RXDFETAPEdrpwrite(0x0054, 0x0031);captureDMON(4, 0);

// DFE Mode Only: RXDFETAPFdrpwrite(0x0054, 0x0032);captureDMON(4, 0);

}

Interpreting the Digital Monitor Output

RXDFEOS[6:0] = DMONITOROUT[6:0]7'd0 = - Full Scale7'd63,7'd64 = 07'd127 = + Full Scale

RXDFEKL[4:0] = DMONITOROUT[6:2]5'd0 = 05'd31 = Full Scale

RXDFEVP[6:0] = RXDFEUT[6:0] = DMONITOROUT[6:0]7'd0 = 07'd127 = Full Scale

RXDFEAGC[4:0] = DMONITOROUT[4:0]5'd0 = 05'd31 = Full Scale

RXDFETAP2[5:0] = DMONITOROUT[5:0]6'd0 = 06'd63 = Full Scale

RXDFETAP3[5:0] = DMONITOROUT[5:0]7'd0 = - Full Scale7'd31,7'd32 = 07'd63 = + Full Scale

RXDFETAP4[4:0] = RXDFETAP5[4:0] = RXDFETAP6[4:0] = RXDFETAP7[4:0] = RXDFETAP8[4:0] = RXDFETAP9[4:0] = RXDFETAPA[4:0] = RXDFETAPB[4:0] = RXDFETAPC[4:0] = RXDFETAPD[4:0] = RXDFETAPE[4:0] = RXDFETAPF[4:0] = DMONITOROUT[4:0]7'd0 = - Full Scale7'd15,7'd16 = 07'd31 = + Full Scale

RXLPMKH [4:0] = RXLPMKL [4:0] = DMONITOROUT[6:2]5'd0 = 05'd31 = Full Scale

RXLPMOS[6:0] = DMONITOROUT[6:0]7'd0 = - Full Scale7'd63,7'd64 = 07'd127 = + Full Scale

RXLPMAGC[4:0] = DMONITOROUT[4:0]5'd0 = 05'd31 = Full Scale

UltraScale アーキテクチャ GTY ト ランシーバー 93UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 94: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 2 章: 共有機能

IBERT でのデジタル モニター出力のキャプチャ

ウ ィザードで生成したサンプル デザインではなく IBERT を使用する場合、 IBERT 内部の MicroBlaze™ プロセッサに

よって ト ランシーバー内のすべてのループが繰り返し実行されるため、デジタル モニターからの特定の DFE または

LPM ループを手動でリードバッ クするには、 まずこの MicroBlaze プロセッサを停止する必要があ り ます。 また、

DFE/LPM ループを IBERT を使用して手動で読み出す場合は、 次のよ うに属性を設定する必要があ り ます。

• ADAPT_CFG0[8] = 0

• ADAPT_CFG0[9] = 0

• ADAPT_CFG0[10] = 0

• ADAPT_CFG0[15] = 0

• ADAPT_CFG1[1] = 0

• ADAPT_CFG1[15] = 1

UltraScale アーキテクチャ GTY ト ランシーバー 94UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 95: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章

ト ランスミ ッ ター

ト ランスミ ッ ター (TX) の概要

機能の説明

この章では、 ト ランス ミ ッ ター (TX) にある各ファンクシ ョ ン ブロ ッ クのコンフ ィギュレーシ ョ ンおよび使用方法に

ついて説明します。 各ト ランシーバーには、 PCS と PMA で構成される独立した ト ランス ミ ッ ターが含まれます。

図 3-1 に、 ト ランス ミ ッターのブロッ ク図を示します。 デバイス ロジッ クからのパラレル データは、 TX インター

フェイスを介して入力されて PCS および PMA を通り、高速シ リアル データ と して TX ド ライバーから出力されます。

GTY ト ランシーバー TX は、 次のよ うなエレ メン トで構成されています。

1. 96 ページの 「TX インターフェイス」

2. 106 ページの 「TX 8B/10B エンコーダー」

3. 110 ページの 「TX 同期ギアボッ クス」

4. 126 ページの 「TX バッファー」

X-Ref Target - Figure 3-1

図 3-1: GTY ト ランシーバー TX のブロック図

PISO

TXPre/PostEmp

TXOOBandPCIe

TXClock

Dividers

Polarity SATAOOB

PCIeBeacon

PhaseAdjustFIFO

TX AsyncGearbox

TX PIPEControl

TX SyncGearbox

8B/10BEncoder

TXInterface

PatternGenerator

128B/130BEncoder

From ChannelClocking

Architecture

To RX Parallel Data

(Near-End PCS Loopback)

From RX Parallel Data(Far-End PMA Loopback)

From RX Parallel Data(Far-End PCS Loopback)

TXDriver

TX PMA TX PCS

TX PhaseInterpolator

TX PhaseInterpolatorController

To RX EQ(Near-End

PMA Loopback)

X19612-082217

UltraScale アーキテクチャ GTY ト ランシーバー 95UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 96: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

5. 129 ページの 「TX バッファーのバイパス」

6. 144 ページの 「TX パターン ジェネレーター」

7. 147 ページの 「TX 極性制御」

8. 148 ページの 「TX のファブリ ッ ク ク ロ ッ ク出力制御」

9. 155 ページの 「TX 位相インターポレーター PPM コン ト ローラー」

10. 158 ページの 「コンフ ィギュレーシ ョ ン可能な TX ド ラ イバー」

11. 169 ページの 「PCI Express デザイン用の TX レシーバー検出機能」

12. 172 ページの 「TX の OOB 信号」

TX インターフェイス

機能の説明

TX インターフェイスは、 GTY ト ランシーバーの TX データパスへの入り口です。 アプリ ケーシ ョ ンは、

TXUSRCLK2 の立ち上がりエッジで TXDATA ポートにデータを書き込むこ とで GTY ト ランシーバーにデータを送信

します。 ポート幅は、 2、 4、 8 または 16 バイ ト幅から選択できます。 実際のポート幅は、 TX_DATA_WIDTH 属性と

TX_INT_DATAWIDTH 属性そして TX8B10BEN ポートの設定に依存します。 有効なポート幅は、 16、 20、 32、 40、

64、 80、 128 および 160 ビッ トです。 インターフェイスでのパラレル ク ロ ッ ク (TXUSRCLK2) のレートは、 TX ライ

ン レート、 TXDATA ポート幅、 8B/10B エンコードが有効か無効かによって異なり ます。 2 番目のパラレル ク ロ ッ ク

(TXUSRCLK) は、 ト ランス ミ ッ ターの内部 PCS ロジッ クに使用する必要があ り ます。 こ こでは、 パラレル ク ロ ッ ク

がどのよ うに駆動されるかを示し、 それらが正し く動作するための制約について説明します。 高速ト ランス ミ ッ

ター データ レートの場合、指定動作範囲内で TXUSRCLK2 レート を実現するには 8 バイ ト インターフェイスが必要

です。

インターフェイス幅の設定

GTY ト ランシーバーには、 2 バイ ト、 4 バイ トおよび 8 バイ トの内部データパスがあ り、 TX_INT_DATAWIDTH 属性

で設定できます。 インターフェイス幅は、 TX_DATA_WIDTH 属性で設定できます。 8B/10B エンコーダーが有効の場

合、 TX_DATA_WIDTH 属性は 20 ビッ ト 、 40 ビッ ト、 または 80 ビッ トで設定される必要があ り ます。 この場合、 TX

インターフェイスは TXDATA ポートのみを使用します。 たとえば、 インターフェイス幅が 16 の場合、

TXDATA[15:0] が使用されます。 8B/10B エンコーダーをバイパスする場合、 TX_DATA_WIDTH 属性は 16 ビッ ト 、 20

ビッ ト 、 32 ビッ ト、 40 ビッ ト 、 64 ビッ ト 、 80 ビッ ト 、 128 ビッ ト または 160 ビッ トで設定できます。

UltraScale アーキテクチャ GTY ト ランシーバー 96UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 97: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

表 3-1 に、 TX データパスのインターフェイス幅がどのよ うに決定されるかを示します。 8B/10B エンコードについて

は、 106 ページの 「TX 8B/10B エンコーダー」 で詳し く説明します。

8B/10B エンコーダーがバイパスされる場合、 TX_DATA_WIDTH は 20、 40、 80 または 160 とな り、 TXCTRL1 および

TXCTRL0 ポート を使用して TXDATA ポート を 16 から 20 ビッ トへ、 32 から 40 ビッ トへ、 64 から 80 ビッ トへ、 ま

たは 128 から 160 ビッ トへ拡張します。 表 3-2 に、 8B/10B エンコーダーが無効の場合の転送データを示します。

TX_DATA_WIDTH = 16、 32、 または 64 の場合、 TXCTRL1/0 ポートは無視され、 表 3-2 と同じ順番 (ただし

TXCTRL1/0 ビッ トはなし ) でデータが送信されます。 TX ギアボッ クスを使用する場合のデータ転送順は、 110 ペー

ジの 「TX 同期ギアボッ クス」 を参照してください。

表 3-1: TX インターフェイスのデータパス設定

TX8B10BEN TX_DATA_WIDTH TX_INT_DATAWIDTH インターフェイス幅 内部データ幅

1

20 0 16 20

40 0 32 20

40 1 32 40

80 1 64 40

0

16 0 16 16

20 0 20 20

32 0 32 16

32 1 32 32

40 0 40 20

40 1 40 40

64 1 64 32

64 2 64 64

80 1 80 40

80 2 80 80

128 2 128 64

160 2 160 80

UltraScale アーキテクチャ GTY ト ランシーバー 97UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 98: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

TXUSRCLK および TXUSRCLK2 の生成

TX インターフェイスには、 TXUSRCLK および TXUSRCLK2 の 2 つのパラレル ク ロ ッ クがあ り ます。 TXUSRCLK

は、 GTY ト ランス ミ ッ ターの PCS ロジッ ク用の内部クロ ッ クです。 TXUSRCLK で必要なレートは、 GTYE3/

4_CHANNEL プリ ミ ティブの内部データパス幅および GTY ト ランシーバー TX のライン レートによって決ま り ま

す。 TX 非同期ギアボッ クスを使用する場合を除き、 TXUSRCLK の必要なレートは式 3-1 で求めるこ とができます。

4 バイ トの内部データパスで TX 非同期ギアボッ クスを使用する場合の TXUSRCLK の必要なレートは、 式 3-2 で求

めるこ とができます。 8 バイ トの内部データパスの場合の必要なレートは、 式 3-3 で求めます。

式 3-1

式 3-2

式 3-3

表 3-2: 8B/10B エンコーダーがバイパスされている場合の転送データ

< < < 右から左へデータ転送 (LSB から MSB) < < <

39 38 37 36 35 34 33 32 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0

受信

デー

TX

CT

RL

1[3]

TX

CT

RL

0[3]

TX

DA

TA[3

1:24

]

TX

CT

RL

1[2]

TX

CT

RL

0[2]

TX

DA

TA[3

2:16

]

TX

CT

RL

1[1]

TX

CT

RL

0[1]

TX

DA

TA[1

5:8]

TX

CT

RL

1[0]

TX

CT

RL

0[0]

TX

DA

TA[7

:0]

< < < 右から左へデータ転送 (LSB から MSB) < < <

79 78 77 76 75 74 73 72 71 70 69 68 67 66 65 64 63 62 61 60 59 58 57 56 55 54 53 52 51 50 49 48 47 46 45 44 43 42 41 40

受信

デー

TX

CT

RL

1[7]

TX

CT

RL

0[7]

TX

DA

TA[6

3:56

]

TX

CT

RL

1[6]

TX

CT

RL

0[6]

TX

DA

TA[5

5:48

]

TX

CT

RL

1[5]

TX

CT

RL

0[5]

TX

DA

TA[4

7:40

]

TX

CT

RL

1[4]

TX

CT

RL

0[4]

TX

DA

TA[3

9:32

]

< < < 右から左へデータ転送 (LSB から MSB) < < <

119 118 117 116 115 114 113 112 111 110 109 108 107 106 105 104 103 102 101 100 99 98 97 96 95 94 93 92 91 90 89 88 87 86 85 84 83 82 81 80

受信

デー

TX

CT

RL

1[11

]

TX

CT

RL

0[11

]

TX

DA

TA[9

5:88

]

TX

CT

RL

1[10

]

TX

CT

RL

0[10

]

TX

DA

TA[8

7:80

]

TX

CT

RL

1[9]

TX

CT

RL

0[9]

TX

DA

TA[7

9:72

]

TX

CT

RL

1[8]

TX

CT

RL

0[8]

TX

DA

TA[7

1:64

]

< < < 右から左へデータ転送 (LSB から MSB) < < <

159 158 157 156 155 154 153 152 151 150 149 148 147 146 145 144 143 142 141 140 139 138 137 136 135 134 133 132 131 130 129 128 127 126 125 124 123 122 121 120

受信

デー

TX

CT

RL

1[15

]

TX

CT

RL

0[15

]

TX

DA

TA[1

27:1

20]

TX

CT

RL

1[14

]

TX

CT

RL

0[14

]

TX

DA

TA[1

19:1

12]

TX

CT

RL

1[13

]

TX

CT

RL

0[13

]

TX

DA

TA[1

11:1

04]

TX

CT

RL

1[12

]

TX

CT

RL

0[12

]

TX

DA

TA[1

03:9

6]

TXUSRCLK Rate L ine RateInternal Datapath Width-------------------------------------------------------=

TXUSRCLK Rate L ine Rate33

----------------------=

TXUSRCLK Rate L ine Rate66

----------------------=

UltraScale アーキテクチャ GTY ト ランシーバー 98UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 99: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

TXUSRCLK2 は、 GTY ト ランシーバーの TX 側に入る信号すべてを同期化するためのプライマ リ ク ロ ッ クです。

GTY ト ランシーバーの TX 側に入力される信号のほとんどは、 TXUSRCLK2 の立ち上がりエッジで取り込まれます。

TXUSRCLK2 と TXUSRCLK は、 TX_DATA_WIDTH と TX_INT_DATAWIDTH の設定に基づく固定されたレート関係

があ り ます。 表 3-3 に、 TX_DATA_WIDTH と TX_INT_DATAWIDTH 値に対する TXUSRCLK2 と TXUSRCLK の関係

を示します。 上記のライン レートには、 4 バイ ト または 8 バイ トの内部データパスの使用が求められます。 各ス

ピード グレードの詳細は、 『UltraScale および UltraScale+ デバイス データシート 』 [参照 6] を参照して ください。

TXUSRCLK と TXUSRCLK2 の関係には、 次のよ うな規則があ り ます。

• TXUSRCLK および TXUSRCLK2 は、 クロ ッ ク スキューを可能な限り 小限に抑えた状態で、 立ち上がりエッ

ジで揃える必要があ り ます。 そのため、 スキューが小さいクロ ッ ク リ ソース (BUFG_GT) を使用して

TXUSRCLK および TXUSRCLK2 を駆動する必要があ り ます。

• TXUSRCLK、 TXUSRCLK2、 およびト ランス ミ ッ ターの基準クロ ッ ク周波数が異なる場合でも、 同じオシレー

ターをクロ ッ ク ソース と して使用する必要があ り ます。 つま り、 TXUSRCLK および TXUSRCLK2 は、 ト ラン

ス ミ ッ ターの基準クロ ッ クを逓倍または分周した周波数クロ ッ クにする必要があ り ます。

表 3-3: TXUSRCLK2 と TXUSRCLK の周波数関係

インターフェイス幅 TX_DATA_WIDTH TX_INT_DATAWIDTH TXUSRCLK2 の周波数

2 バイ ト 16、 20 0 FTXUSRCLK2 = FTXUSRCLK

4 バイ ト 32、 40 0 FTXUSRCLK2 = FTXUSRCLK/2

4 バイ ト 32、 40 1 FTXUSRCLK2 = FTXUSRCLK

8 バイ ト 64、 80 1 FTXUSRCLK2 = FTXUSRCLK/2

8 バイ ト 64、 80 2 FTXUSRCLK2 = FTXUSRCLK

16 バイ ト 128、 160 2 FTXUSRCLK2 = FTXUSRCLK/2

UltraScale アーキテクチャ GTY ト ランシーバー 99UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 100: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

ポートおよび属性

表 3-4 に、 TX インターフェイスのポート を示します。

表 3-5 に、 TX インターフェイスの属性を示します。

表 3-4: TX インターフェイスのポート

ポート 方向 クロック ド メイン 説明

TXCTRL0[15:0]/

TXCTRL1[15:0]入力 TXUSRCLK2 8B/10B エンコードが無効のと きは、 20、 40、 80、 または

160 ビッ ト TX インターフェイスのデータ バスの拡張に

使用されます。

TXDATA[127:0] 入力 TXUSRCLK2 データ送信用のバスです。 ポート幅は

TX_DATA_WIDTH で決ま り ます。

TX_DATA_WIDTH = 16、 20:

TXDATA[15:0] = 16 ビッ ト幅

TX_DATA_WIDTH = 32、 40:

TXDATA[31:0] = 32 ビッ ト幅

TX_DATA_WIDTH = 64、 80:

TXDATA[63:0] = 64 ビッ ト幅

TX_DATA_WIDTH = 128、 160:

TXDATA[127:0] = 128 ビッ ト幅

20 ビッ ト 、 40 ビッ ト 、 80 ビッ ト または 160 ビッ トのバ

スが必要な場合は、 8B/10B エンコーダーの TXCTRL0

および TXCTRL1 ポート と TXDATA ポート を結合しま

す。 98 ページの表 3-2 を参照してください。

TXDATAEXTENDRSVD 入力 非同期 予約。

TXUSRCLK 入力 クロ ッ ク 内部 TX PCS データパスのクロッ クの提供に使用します。

TXUSRCLK2 入力 クロ ッ ク インターコネク ト ロジッ ク と TX インターフェイスの同

期に使用します。 このクロ ッ クは、 TXUSRCLK の立ち

上がりエッジに揃う必要があ り ます。

表 3-5: TX インターフェイスの属性

属性 タイプ 説明

TX_DATA_WIDTH 整数 TXDATA ポートのビッ ト幅を設定します。 8B/10B エンコーダーが

有効の場合、 TX_DATA_WIDTH は 20 ビッ ト、 40 ビッ ト 、 または

80 ビッ トに設定される必要があ り ます。 有効な設定値は 16、 20、

32、 40、 64、 80、 128、 および 160 です。 詳細は、 96 ページの 「イ

ンターフェイス幅の設定」 を参照してください。

TX_INT_DATAWIDTH 整数 内部データパス幅を指定します。

0: 2 バイ トの内部データパス

1: 4 バイ トの内部データパスライン レートが 8.1875Gb/s よ り も

高い場合は 1 に設定

2: 8 バイ トの内部データパス。 ライン レートが 16.375Gb/s よ り

も高い場合は 2 に設定

UltraScale アーキテクチャ GTY ト ランシーバー 100UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 101: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

TXOUTCLK を使用して TX インターフェイスを駆動

TXUSRCLK および TXUSRCLK2 の周波数によって、 UltraScale アーキテクチャのクロ ッ ク リ ソースを使用して TX

インターフェイスのパラレル ク ロ ッ クを駆動する方法は異なり ます。 図 3-2 ~図 3-5 に、 TX インターフェイスのパ

ラレル ク ロ ッ クの駆動に使用するいくつかのクロ ッ ク リ ソースを示します。 これらの例では、 148 ページの図 3-30

に示すとおり、 TXOUTCLK が PMA から派生しており、 TXOUTCLKSEL = 3'b010 と設定するこ とで

TXOUTCLKPMA パスを選択しています。

• 入力基準クロ ッ ク周波数および必要なライン レートに応じて、 分周設定が正し く行われている BUFG_GT と適

切な TXOUTCLKSEL ポート設定が必要です。 UltraScale FPGAs Transceivers Wizard は、 通常異なるデザイン要件

に基づいてサンプル デザインを作成します。

• TX バッファーがバイパスされる使用モデルの場合、 クロ ッ ク リ ソースに制約が追加されます。 詳細は、

129 ページの 「TX バッファーのバイパス」 を参照してください。

TX_FABINT_USRCLK_FLOP 1 ビッ ト

バイナリ

ポート信号を TXUSRCLK2 ド メ インで取得した後、 TXUSRCLK ド

メ インでも取得するかど うかを指定します。 この属性は、 TX 内部

データパス幅が TX インターフェイス幅と等しい場合のみ適用さ

れ、 それ以外の場合は無視されます。 ウ ィザードの推奨値を使用

してください。

0: TXUSRCLK フ リ ップフロ ップをバイパス

1: TXUSRCLK フ リ ップフロ ップを使用

表 3-5: TX インターフェイスの属性 (続き)

属性 タイプ 説明

UltraScale アーキテクチャ GTY ト ランシーバー 101UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 102: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

2 バイ ト、 4 バイ ト、 または 8 バイ ト モードで TXOUTCLK を使用して GTY ト ランシーバー TX を駆動

シングル レーンのコンフ ィギュレーシ ョ ンで 2 バイ ト モード (TX_DATA_WIDTH = 16 または 20 および

TX_INT_DATAWIDTH = 0) または 4 バイ ト モード (TX_DATA_WIDTH = 32 または 40 および TX_INT_DATAWIDTH =

1) または 8 バイ ト モード (TX_DATA_WIDTH = 64 または 80 および TX_INT_DATAWIDTH = 2) の場合、 TXOUTCLK

を使用して TXUSRCLK および TXUSRCLK2 を駆動します (図 3-2 参照)。いずれの場合も、TXUSRCLK2 の周波数は

TXUSRCLK と同じ値です。

図 3-2 について説明します。

1. ク ロ ッ ク リ ソース (BUFG_GT、 BUFG_GT_SYNC など) の配置制約および制限の詳細は、 『UltraScale アーキテク

チャ ク ロ ッキング リ ソース ユーザー ガイ ド』 (UG572) [参照 3] を参照してください。

2. FTXUSRCLK2 = FTXUSRCLK です。

X-Ref Target - Figure 3-2

図 3-2: シングル レーン - TXOUTCLK を使用して TXUSRCLK および TXUSRCLK2 を駆動 (2 バイ ト、 4 バイ ト、 または 8 バイ ト モード )

BUFG_GT1

TXOUTCLK

TXUSRCLK22

TXUSRCLK2

TXDATA (TX_DATA_WIDTH = 16 / 20 / 32 / 40 / 64 / 80 bits)

Design in UltraScale

ArchitectureUltraScaleDevices GTY Transceiver

X19613-081517

UltraScale アーキテクチャ GTY ト ランシーバー 102UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 103: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

図 3-3 に、 同じ設定を適用したマルチ レーンのコンフ ィギュレーシ ョ ンを示します。 マルチ レーン コンフ ィギュレー

シ ョ ンでは、 真中の GTY ト ランシーバーを TXOUTCLK のソースと して選択する必要があり ます。 たとえば、 3 つの

隣接するクワッ ドで構成される 12 個の GTY ト ランシーバーのマルチ レーン コンフ ィギュレーシ ョ ンでは、 真中のク

ワッ ドにある中央の GTY ト ランシーバーのいずれかを TXOUTCLK のソースと して選択する必要があり ます。

図 3-3 について説明します。

1. ク ロ ッ ク リ ソース (BUFG_GT、 BUFG_GT_SYNC など) の配置制約および制限の詳細は、 『UltraScale アーキテク

チャ ク ロ ッキング リ ソース ユーザー ガイ ド』 (UG572) [参照 3] を参照してください。

2. FTXUSRCLK2 = FTXUSRCLK です。

X-Ref Target - Figure 3-3

図 3-3: マルチ レーン - TXOUTCLK を使用して TXUSRCLK2 を駆動 (2 バイ ト、 4 バイ ト、 または 8 バイ ト モード )

BUFG_GT1

UltraScaleDevices

GTYTransceiver

TXOUTCLK

TXUSRCLK22

TXUSRCLK2

TXDATA (TX_DATA_WIDTH = 16 / 20 / 32 / 40 / 64 / 80 bits)

Design inUltraScale

Architecture

UltraScaleDevices

GTYTransceiver

TXUSRCLK22

TXUSRCLK2

TXDATA (TX_DATA_WIDTH = 16 / 20 / 32 / 40 / 64 / 80 bits)

X19623-081717

UltraScale アーキテクチャ GTY ト ランシーバー 103UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 104: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

4 バイ ト、 8 バイ ト、 または 16 バイ ト モードで TXOUTCLK を使用して GTY ト ランシーバー TX を駆動

4 バイ ト モード (TX_DATA_WIDTH = 32 または 40 および TX_INT_DATAWIDTH = 0) または 8 バイ ト モード

(TX_DATA_WIDTH = 64 または 80 および TX_INT_DATAWIDTH = 1) または 16 バイ ト モード (TX_DATA_WIDTH =

128 または 160 および TX_INT_DATAWIDTH = 2) の場合、TXOUTCLK を使用して TXUSRCLK2 を駆動します (図 3-4

参照)。 いずれの場合も、 TXUSRCLK2 の周波数は TXUSRCLK の半分です。

図 3-4 について説明します。

1. FTXUSRCLK2 = FTXUSRCLK/2 です。

2. ク ロ ッ ク リ ソース (BUFG_GT、 BUFG_GT_SYNC など) の配置制約および制限の詳細は、 『UltraScale アーキテク

チャ ク ロ ッキング リ ソース ユーザー ガイ ド』 (UG572) [参照 3] を参照してください。

X-Ref Target - Figure 3-4

図 3-4: シングル レーン - TXOUTCLK を使用して TXUSRCLK2 を駆動 (4 バイ ト、 8 バイ ト、 または 16 バイ ト モード )

UltraScale DevicesGTY Transceiver

TXOUTCLK

TXUSRCLK1

TXUSRCLK21

TXDATA (32 / 40 / 64 / 80 / 128 / 160 bits) Design inUltraScale

Architecture

BUFG_GT

BUFG_GT

÷2

÷1

X19624-082117

UltraScale アーキテクチャ GTY ト ランシーバー 104UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 105: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

図 3-5 に、 同じ設定を適用したマルチ レーンのコンフ ィギュレーシ ョ ンを示します。

図 3-5 について説明します。

1. FTXUSRCLK2 = FTXUSRCLK/2 です。

2. ク ロ ッ ク リ ソース (BUFG_GT、 BUFG_GT_SYNC など) の配置制約および制限の詳細は、 『UltraScale アーキテク

チャ ク ロ ッキング リ ソース ユーザー ガイ ド』 (UG572) [参照 3] を参照してください。

X-Ref Target - Figure 3-5

図 3-5: マルチ レーン - TXOUTCLK を使用して TXUSRCLK2 を駆動 (4 バイ ト、 8 バイ ト、 または 16 バイ ト モード )

UltraScale DevicesGTY Transceiver

TXOUTCLK

TXUSRCLK21

TXUSRCLK1

TXUSRCLK21

TXUSRCLK1

TXDATA (TX_DATA_WIDTH = 32 / 40 / 64 / 80 / 128 / 160 bits)

Design inUltraScale

Architecture

TXDATA (TX_DATA_WIDTH = 32 / 40 / 64 / 80 / 128 / 160 bits)

UltraScale DevicesGTY Transceiver

BUFG_GT

BUFG_GT

÷2

÷1

X19625-081717

UltraScale アーキテクチャ GTY ト ランシーバー 105UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 106: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

TX 8B/10B エンコーダー

機能の説明

多数のプロ ト コルが出力されるデータに 8B/10B エンコーダーを使用します。 8B/10B は業界標準のエンコード方式

で、 DC バランスおよび確かなディ スパリティを達成するために 1 バイ ト ごとに 2 ビッ ト を付加するこ とでクロ ッ ク

を回復できます。 GTY ト ランシーバーは 8B/10B エンコーダーを備えており、 デバイスのリ ソースを使用するこ とな

く TX データをエンコード します。 8B/10B エンコーダーを有効にした場合は、 TX パスのレイテンシが増加します。

エンコードが不要な場合は、 8B/10B エンコーダーを無効に (バイパス) できます。

8B/10B のビッ トおよびバイ ト順序

8B/10B エンコードではビッ ト a0 を 初に送信する必要があ り、 GTY ト ランシーバーでは常に も右にあるビッ ト

が 初に送信されます。 このため、 8B/10B エンコーダーを通過したビッ ト順序は、 付録 A 「8B/10B の符号」 に示

す順序の逆になり ます。 GTY ト ランシーバーの 8B/10B エンコーダーは、 8B/10B と一致するよ う自動的にビッ ト順

序を反転します。 図 3-6 に、 TX_DATA_WIDTH = 20、 40、 および 80 の場合の GTY ト ランシーバーによるデータ転

送を示します。 8B/10B エンコーダーは、 TX_DATA_WIDTH = 160 をサポート しません。 TX_INT_DATAWIDTH = 0

(2 バイ トの内部データパス) または 1 (4 バイ トの内部データパス) に設定する必要があ り ます。 TXDATA で使用され

るビッ トの数と対応するバイ トの順序は、 TX_DATA_WIDTH によって異なり ます。

• TX_DATA_WIDTH = 20 の場合は、 TXDATA[15:0] のみを使用

• TX_DATA_WIDTH = 40 の場合は、 TXDATA[31:0] のみを使用

• TX_DATA_WIDTH = 80 の場合は、 TXDATA[63:0] のみを使用

8B/10B エンコーダーがバイパスされ、 TX_DATA_WIDTH が 10 の倍数に設定されている場合は、 次のフォーマッ ト

で 10 ビッ トのキャラ ク ターが TX データ インターフェイスへ送られます。

• 対応する TXCTRL1 は 9 番目のビッ ト を示す

• 対応する TXCTRL0 は 8 番目のビッ ト を示す

• 対応する TXDATA バイ トは [7:0] ビッ ト を示す

K 符号

8B/10B テーブルには、 機能制御で頻繁に使用される特殊文字 (K 符号) が含まれます。 TXCTRL2 ポート を使用して、

TXDATA 上のデータが K 符号か通常データかを判断します。 TXCTRL2 ビッ トが High に遷移する と、 8B/10B エン

コーダーは K 符号の有効性を確認するため、 受信した TXDATA バイ ト を確認します。

UltraScale アーキテクチャ GTY ト ランシーバー 106UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 107: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

ランニング ディスパリテ ィ

8B/10B コーディングは DC バランスを保つための手法です。 つま り、 送信された 1 と 0 の割合を長期間にわたって

正確に 50% にする必要があ り ます。 このため、 エンコーダーは送信された -1 と 0 の数の差を常に計算し、 送信した

各キャラ クターの 後で +1 または -1 の差を算出します。 この差をランニング ディ スパリティ といいます。

X-Ref Target - Figure 3-6

図 3-6: 8B/10B のビッ トおよびバイ ト順序

H1 G1 F1 E1 D1 C1 B1 A1

8B/10B

H0 G0 F0 E0 D0 C0 B0 A0

j1 h1 g1 f1 i1 e1 d1 c1 b1 a1 j0 h0 g0 f0 i0 e0 d0 c0 b0 a0

j3 h3 g3 f3 i3 e3 d3 c3 b3 a3 j2 h2 g2 f2 i2 e2 d2 c2 b2 a2 j1 h1 g1 f1 i1 e1 d1 c1 b1 a1 j0 h0 g0 f0 i0 e0 d0 c0 b0 a0

TX_DATA_WIDTH = 20

TX_DATA_WIDTH = 40

TX_DATA_WIDTH = 80

8B/10B

8B/10B

TXDATA

TXDATA

MSB

MSB LSB

MSB LSB

LSB

TransmittedLast

TransmittedFirst

TransmittedLast

TransmittedFirst

15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0

H1 G1 F1 E1 D1 C1 B1 A1 H0 G0 F0 E0 D0 C0 B0 A0

15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0

H3 G3 F3 E3 D3 C3 B3 A3 H2 G2 F2 E2 D2 C2 B2 A2

31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16

TXDATA H1 G1 F1 E1 D1 C1 B1 A1 H0 G0 F0 E0 D0 C0 B0 A0

15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0

H3 G3 F3 E3 D3 C3 B3 A3 H2 G2 F2 E2 D2 C2 B2 A2

31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16

TXDATA H5 G5 F5 E5 D5 C5 B5 A5 H4 G4 F4 E4 D4 C4 B4 A4

47 46 45 44 43 42 41 40 39 38 37 36 35 34 33 32

H7 G7 F7 E7 D7 C7 B7 A7 H6 G6 F6 E6 D6 C6 B6 A6

63 62 61 60 59 58 57 56 55 54 53 52 51 50 49 48

j3 h3 g3 f3 i3 e3 d3 c3 b3 a3 j2 h2 g2 f2 i2 e2 d2 c2 b2 a2 j1 h1 g1 f1 i1 e1 d1 c1 b1 a1 j0 h0 g0 f0 i0 e0 d0 c0 b0 a0

j7 h7 g7 f7 i7 e7 d7 c7 b7 a7 j6 h6 g6 f6 i6 e6 d6 c6 b6 a6 j5 h5 g5 f5 i5 e5 d5 c5 b5 a5 j4 h4 g4 f4 i4 e4 d4 c4 b4 a4

TransmittedFirst

TransmittedLast

X19626-081717

UltraScale アーキテクチャ GTY ト ランシーバー 107UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 108: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

ディ スパリティを使用して制御情報を送信するプロ ト コルに対応するために、 ランニング ディ スパリ ティは 8B/10B

エンコーダーで生成されるだけでなく、 TXCTRL1 および TXCTRL0 (表 3-6 参照) で直接制御するこ と も可能です。

たとえば、 反転したディ スパリティ と共に送信されたアイ ドル キャラ ク ターを使用し、 ク ロ ッ ク コレクシ ョ ンを開

始する場合もあ り ます。

ポートおよび属性

表 3-7 に、 TX 8B/10B エンコーダーで必要なポート を示します。

注記: TX エンコーダーの属性はあ り ません。

表 3-6: TXCTRL1 および TXCTRL0 および出力されるディスパリテ ィ値

TXCTRL1 TXCTRL0 出力されるディスパリティ値

0 0 8B/10B エンコーダーで計算されます。

0 1 TXDATA のエンコード時に、 ランニング ディ スパリティを反転します。

1 0TXDATA のエンコード時に、 強制的に負のランニング ディ スパリティを送信

します。

1 1TXDATA のエンコード時に、 強制的に正のランニング ディ スパリティを送信

します。

表 3-7: TX 8B/10B エンコーダーのポート

ポート 方向クロック ド メイン

説明

TX8B10BBYPASS[7:0] 入力 TXUSRCLK2 このアクティブ High ポートは、 バイ ト インターリーブされたデータが

バイ ト単位で 8B/10B をバイパスできるよ うにします。 このバイ ト単位

のバイパス モードを使用するには、 TX8B10BEN を High にします。

TX8B10BBYPASS [7] は TXDATA[63:56] に対応

TX8B10BBYPASS [6] は TXDATA[55:48] に対応

TX8B10BBYPASS [5] は TXDATA[47:40] に対応

TX8B10BBYPASS [4] は TXDATA[39:32] に対応

TX8B10BBYPASS [3] は TXDATA[31:24] に対応

TX8B10BBYPASS [2] は TXDATA[23:16] に対応

TX8B10BBYPASS [1] は TXDATA[15:8] に対応

TX8B10BBYPASS [0] は TXDATA[7:0] に対応

TXBYPASS8B10B[x] = 1、 バイ ト x のエンコーダーをバイパスする

TXBYPASS8B10B[x] = 0、 バイ ト x のエンコーダーを使用する

TX8B10BEN 入力 TXUSRCLK2 8B/10B エンコーダーを有効にするには、 TX8B10BEN を High に設定

します。 8B/10B エンコーダーが有効の場合、 TX_DATA_WIDTH は

20、 40、 または 80 に設定されます。

0: 8B/10B エンコーダーをバイパス。 このオプシ ョ ンでレイテンシ

が削減される

1: 8B/10B エンコーダーを使用

UltraScale アーキテクチャ GTY ト ランシーバー 108UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 109: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

TXCTRL1[15:0] 入力 TXUSRCLK2 TXDATA のエンコード時、 TXCTRL0 を使用して強制的に正または

負のランニング ディ スパリティを送信する場合は、 このポート を

High に設定します。 通常のランニング ディ スパリティを使用する場

合は、 Low に設定します。 詳細は、 表 3-6 を参照して ください。 TX

8B/10B エンコーダーが有効の場合、 TXCTRL1[15:8] は未使用です。

TXCTRL1[7] は TXDATA[63:56] に対応

TXCTRL1[6] は TXDATA[55:48] に対応

TXCTRL1[5] は TXDATA[47:40] に対応

TXCTRL1[4] は TXDATA[39:32] に対応

TXCTRL1[3] は TXDATA[31:24] に対応

TXCTRL1[2] は TXDATA[23:16] に対応

TXCTRL1[1] は TXDATA[15:8] に対応

TXCTRL1[0] は TXDATA[7:0] に対応

TXCTRL0[15:0] 入力 TXUSRCLK2 TXCTRL1 と共に使用してランニング ディ スパリティを制御します。

詳細は、表 3-6 を参照してください。 TX 8B/10B エンコーダーが有効

の場合、 TXCTRL0[15:8] は未使用です。

TXCTRL0[7] は TXDATA[63:56] に対応

TXCTRL0[6] は TXDATA[55:48] に対応

TXCTRL0[5] は TXDATA[47:40] に対応

TXCTRL0[4] は TXDATA[39:32] に対応

TXCTRL0[3] は TXDATA[31:24] に対応

TXCTRL0[2] は TXDATA[23:16] に対応

TXCTRL0[1] は TXDATA[15:8] に対応

TXCTRL0[0] は TXDATA[7:0] に対応

TXCTRL2[7:0] 入力 TXUSRCLK2 TXDATA の対応するデータ バイ トが有効な K 符号である場合、High

になり ます。

TXCTRL2[7] は TXDATA[63:56] に対応

TXCTRL2[6] は TXDATA[55:48] に対応

TXCTRL2[5] は TXDATA[47:40] に対応

TXCTRL2[4] は TXDATA[39:32] に対応

TXCTRL2[3] は TXDATA[31:24] に対応

TXCTRL2[2] は TXDATA[23:16] に対応

TXCTRL2[1] は TXDATA[15:8] に対応

TXCTRL2[0] は TXDATA[7:0] に対応

TXDATA からの対応するデータ バイ トが 8B/10B エンコーダーを

バイパスするよ うに設定されている場合は、 Low 駆動します。

表 3-7: TX 8B/10B エンコーダーのポート (続き)

ポート 方向クロック ド メイン

説明

UltraScale アーキテクチャ GTY ト ランシーバー 109UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 110: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

8B/10B エンコーダーの有効/無効

8B/10B エンコーダーを有効にするには TX8B10BEN を High に駆動する必要があ り ます。 TX 8B/10B エンコーダー

は、バイ ト インターリーブされたデータがバイ ト単位でエンコーダーをバイパスできるよ うにします。 TX8B10BEN

が Low 駆動の場合、 すべてのエンコーダーが無効とな り、 TXDATA からのデータがエンコード されません。

TX8B10BEN が High の場合、 TX8B10BBYPASS からのビッ ト を High 駆動するこ とで、 TXDATA からの対応バイ ト

チャネルが 8B/10B エンコードをバイパスできます。 エンコーダーを無効にしたと きの TXDATA ポートの動作は、

TX インターフェイスで説明しています。

TX 同期ギアボックス

機能の説明

一部の高速データ レート プロ ト コルは、64B/66B エンコードを使用して 8B/10B エンコードのオーバーヘッ ドを削減

しながらエンコード手法の利点を利用します。 TX 同期ギアボッ クスが、 64B/66B と 64B/67B のヘッダーおよびペイ

ロードの結合をサポート します。 Interlaken インターフェイス プロ ト コルの仕様書では、 64B/67B エンコード手法を

使用しています。 詳細は、 Interlaken の仕様書を参照して ください。

TX 同期ギアボッ クスは、 2 バイ ト、 4 バイ ト、 8 バイ ト、 および 16 バイ トのインターフェイスをサポート します。

データのスク ランブルはインターコネク ト ロジッ クで実行されます。 一般的な同期ギアボッ クス モードに加えて

CAUI インターフェイス モード もサポート されます。

ポートおよび属性

表 3-8 に、 TX 同期ギアボッ クスのポート を示します。

表 3-8: TX 同期ギアボックスのポート

ポート名 方向 クロック ド メ イン 説明

TXHEADER[5:0] 入力 TXUSRCLK2 ヘッダーを提供する入力ポートです。

2 バイ ト、 4 バイ ト、 および 8 バイ トのインターフェイ

スで通常モードの場合、 64B/66B ギアボッ クスには

TXHEADER[1:0] が使用され、 64B/67B ギアボッ クスに

は TXHEADER[2:0] が使用されます。 64B/67B ギアボッ

クス 16 バイ ト インターフェイスの場合、 64B/66B ギア

ボッ クスには TXHEADER[1:0] と TXHEADER[4:3] が使

用され、 64B/67B ギアボッ クスには TXHEADER[2:0] と

TXHEADER[5:3] が使用されます。

CAUI インターフェイス モードでは、 TXHEADER[2:0]

はデータ ス ト リーム A 用に使用され、

TXHEADER[5:3] はデータ ス ト リーム B 用に使用され

ます。

UltraScale アーキテクチャ GTY ト ランシーバー 110UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 111: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

表 3-9 に、 TX 同期ギアボッ クスの属性を示します。

TX 同期ギアボックスの有効化

TX 同期ギアボッ クスを有効にするには、 TXGEARBOX_EN を TRUE に設定する必要があ り ます。

GEARBOX_MODE 属性のビッ ト 4 は 0 に設定します。 ビッ ト 3 およびビッ ト 1 は未使用のため、 0 に設定します。

ビッ ト 2 は、 通常インターフェイスまたは CAUI インターフェイスのいずれを使用するかを指定します。 ビッ ト 0

は、 64B/67B ギアボッ クスまたは 64B/66B ギアボッ クスのいずれを使用するかを指定します。 GTY ト ランシーバー

の TX ギアボッ クス と RX ギアボッ クスは同じモードを使用します。

TXSEQUENCE[6:0] 入力 TXUSRCLK2 TX ギアボッ クスが使用される際、 インターコネク ト

ロジッ クのシーケンス カウンター用に使用される入力

ポートです。 ビッ ト [5:0] は 64B/66B ギアボッ クス用に

使用され、 ビッ ト [6:0] は 64B/67B ギアボッ クス用に使

用されます。 CAUI インターフェイス モードでは、 こ

のポートは両方の PCS レーン (PCSL) で共有されます。

表 3-9: TX 同期ギアボックスの属性

属性 タイプ 説明

GEARBOX_MODE 5 ビッ ト バイナリ TX および RX ギアボッ クス モードを示します。

• ビッ ト 4:

0: 同期ギアボッ クスを選択

1: 非同期ギアボッ クスを選択

• ビッ ト 3:

未使用。 0 に設定。

• ビッ ト 2:

0: 通常モード。

1: CAUI インターフェイス モード

• ビッ ト 1:

未使用。 0 に設定。

• ビッ ト 0:

0: Interlaken 用の 64B/67B ギアボッ クス ボード (同期ギアボッ クスの

場合にのみ有効)

1: 64B/66B ギアボッ クス

TXGEARBOX_EN 文字列 TRUE の場合、 TX 同期ギアボッ クスまたは TX 非同期ギアボッ クスが

有効になり ます。 どちらの TX ギアボッ クスを有効にするかは、

GEARBOX_MODE 属性で設定します。

表 3-8: TX 同期ギアボックスのポート (続き)

ポート名 方向 クロック ド メ イン 説明

UltraScale アーキテクチャ GTY ト ランシーバー 111UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 112: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

TX 同期ギアボックスのビッ ト順およびバイ ト順

図 3-7 に、 4 バイ ト インターフェイス (TX_DATA_WIDTH = 32 (4 バイ ト )、 TX_INT_DATAWIDTH = 1 (4 バイ ト ) を通常モード (GEARBOX_MODE[2] = 1'b0) で使用した場合の 64B/66B エンコードで、 TX ギアボッ クスへ入力されるデータおよび TX ギアボッ クスから出力されるデータの 初の 4 サイクルを例示します。 入力は 2 ビッ トのヘッダーと 32 ビッ トのデータで構成されています。 初のサイ クルで、 ヘッダーと 30 ビッ トのデータが TX ギアボッ クスから出力されます。 2 番目のサイ クルでは、 前のサイ クルの TXDATA で残った 2 ビッ トのデータが、 現在の TXDATA からの 30 ビッ ト データ と共に TX ギアボッ クスから出力されます。 3 番目のサイクルでは、 TX ギアボッ クスの出力に、 初の 66 ビッ ト ブロッ クからの残りの 2 ビッ ト データ、 2 番目の 66 ビッ ト ブロ ッ クのヘッダー、 および 2 番目の 66 ビッ ト ブロッ クからの 28 ビッ ト データが含まれます。

図 3-7 について説明します。

1. IEEE802.3ae の命名規則に従って、 H1、 H0 はそれぞれ TxB0、 TxB1 に対応し、 以降も同様です。

X-Ref Target - Figure 3-7

図 3-7: 通常モードにおける TX ギアボックスのビッ ト順 (GEARBOX_MODE[2] = 1'b0)

H1 H0 D31 D30 D5 D4 D3 D2………………………

TransmittedFirst

TransmittedLast

H1 H0 D31 D30 D5 D4 D3 D2……………………… D1 D0

TXDATATXHEADER

Output of the TXGearboxCycle 0

D1 D0 D31 D30 D5 D4 D3 D2………………………

D31 D30 D5 D4 D3 D2……………………… D1 D0

TXDATA

Output of the TXGearboxCycle 1

D1 D0 H1 H0 D7 D6 D5 D4………………………

H1 H0 D31 D30 D5 D4 D3 D2……………………… D1 D0

TXDATATXHEADER

Output of the TXGearboxCycle 2

D3 D2 D1 D0 D7 D6 D5 D4………………………

D31 D30 D5 D4 D3 D2……………………… D1 D0

TXDATA

Output of the TXGearboxCycle 3

TransmittedFirst

TransmittedLast

TransmittedFirst

TransmittedLast

TransmittedFirst

TransmittedLast

X19627-082117

UltraScale アーキテクチャ GTY ト ランシーバー 112UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 113: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

TX 同期ギアボックスの使用

TX 同期ギアボッ クスには、 インターコネク ト ロジッ クにインプリ メン ト される外部シーケンス カウンターを使用

する必要があ り ます。 TX ギアボッ クスは、 インターコネク ト ロジッ クに対して 2 バイ ト、 4 バイ ト、 8 バイ ト 、 16

バイ トのインターフェイスをサポート します。

外部シーケンス カウンター動作 (図 3-8) は、 通常モード (GEARBOX_MODE[2] = 1'b0) では TXSEQUENCE[6:0]、

TXDATA[127:0]、 および TXHEADER[5:0] 入力を使用します。 CAUI インターフェイスが使用されている場合

(GEARBOX_MODE[2] = 1'b1)、 TXHEADER[5:3] も使用されます。 TXSEQUENCE 入力ポート を駆動するには、 ユー

ザー ロジッ クにバイナリ カウンターが必要です。 64B/66B エンコードの場合、 カウンターは 0 から 32 までインク リ

メン ト し、 また 0 から開始します。 64B/67B エンコードの場合、 カウンターは 0 から 66 までインク リ メン ト し、 ま

た 0 から開始します。 64B/66B エンコードを使用する場合は、 TXSQUENCE [6] をロジッ ク 0 に接続し、 未接続の

TXHEADER ビッ ト をロジッ ク 0 へ接続します。 CAUI インターフェイスが使用され、 64B/66B エンコードが選択され

ている (GEARBOX_MODE[2] = 1'b1、 GEARBOX_MODE[0] = 1'b1) 場合、 TXHEADER[5] はロジッ ク 0 に接続する

必要があ り ます。 シーケンス カウンターのインク リ メン ト範囲 ({0 ~ 32}、 {0 ~ 66}) は、 2 バイ ト 、 4 バイ ト、 8 バ

イ ト、 16 バイ トのインターフェイスのいずれの場合も同じです。 ただし、 TX_DATA_WIDTH が

TX_INT_DATAWIDTH と同じ場合 (たとえば、 4 バイ ト インターコネク ト ロジッ ク インターフェイス

(TX_DATA_WIDTH = 32) と 4 バイ ト内部データ幅 (TX_INT_DATAWIDTH= 1) のモードを使用する と き )、 カウンター

は TXUSRCLK2 の 2 サイ クルに 1 回のみインク リ メン ト します。

X-Ref Target - Figure 3-8

図 3-8: 外部シーケンス カウンター動作モードの TX 同期ギアボックス、 通常モード (GEARBOX_MODE[2] = 1'b0)

TX Gearbox(in GTY Transceiver)

Design in Interconnect Logic

Data Source

Sequence Counter(0–32 or 0–66)

TXHEADER[5:0]

TXDATA[15:0], TXDATA[31:0],TXDATA[63:0], or TXDATA[127:0]

TXSEQUENCE[6:0]

Pause

X19628-082117

UltraScale アーキテクチャ GTY ト ランシーバー 113UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 114: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

64B/66B および 64B/67B エンコードの特性および TX 同期ギアボッ クスによって、さまざまなシーケンス カウンター

値でユーザー データが保留 (ポーズ) されます。 TX_DATA_WIDTH および TX_INT_DATAWIDTH が同じモードでは、

データは TXUSRCLK2 の 2 サイ クル間保留され、TX_DATA_WIDTH 値が TX_INT_DATAWIDTH の 2 倍のモードでは

TXUSRCLK2 の 1 サイクル間保留されます。 そして次の TXUSRCLK2 サイ クルでデータ転送が再開されます。

表 3-10 および表 3-11 に、 TXSEQUENCE のデータ保留位置をモード別に示します。

表 3-10: 通常モード (GEARBOX_MODE[2] = 1'b0) での 64B/66B エンコード使用時における TXSEQUENCE のデータ保留サイクルとその位置

TX_DATA_WIDTH TX_INT_DATAWIDTH TXSEQUENCE のデータ保留サイクル

TXSEQUENCE のデータ保留位置

128

(16 バイ ト )

2

(8 バイ ト )

1 X

TXUSRCLK232

64

(8 バイ ト )

2

(8 バイ ト )

2 X

TXUSRCLK232

64

(8 バイ ト )

1

(4 バイ ト )

1 X

TXUSRCLK232

32

(4 バイ ト )

1

(4 バイ ト )

2 X

TXUSRCLK232

32

(4 バイ ト )

0

(2 バイ ト )

1 X

TXUSRCLK231

16

(2 バイ ト )

0

(2 バイ ト )

2 X

TXUSRCLK231

表 3-11: 通常モード (GEARBOX_MODE[2] = 1'b0) での 64B/67B エンコード使用時における TXSEQUENCE のデータ保留サイクルとその位置

TX_DATA_WIDTH TX_INT_DATAWIDTH TXSEQUENCE のデータ保留サイクル

TXSEQUENCE のデータ保留位置

128

(16 バイ ト )

2

(8 バイ ト )

1 X

TXUSRCLK222、 44、 66

64

(8 バイ ト )

2

(8 バイ ト )

2 X

TXUSRCLK222、 44、 66

64

(8 バイ ト )

1

(4 バイ ト )

1 X

TXUSRCLK222、 44、 66

32

(4 バイ ト )

1

(4 バイ ト )

2 X

TXUSRCLK222、 44、 66

32

(4 バイ ト )

0

(2 バイ ト )

1 X

TXUSRCLK221、 44、 65

16

(2 バイ ト )

0

(2 バイ ト )

2 X

TXUSRCLK221、 44、 65

UltraScale アーキテクチャ GTY ト ランシーバー 114UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 115: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

図 3-9 に、 通常モード (GEARBOX_MODE[2] = 1'b0) で 64B/66B エンコードを実行する際に外部シーケンス カウン

ター モードでカウンター値が 32 のと きにデータ保留が生じるプロセスを示します。 こ こでは、 4 バイ トの内部デー

タ幅で 8 バイ ト インターコネク ト ロジッ ク インターフェイスを使用しています。

図 3-10 に、 通常モード (GEARBOX_MODE[2] = 1'b0) で 64B/67B エンコードを実行する際に外部シーケンス カウン

ター モードでカウンター値が 44 のと きにデータ保留が生じるプロセスを示します。 こ こでは、 2 バイ トの内部デー

タ幅で 2 バイ ト インターコネク ト ロジッ ク インターフェイスを使用しています。

通常モード (GEARBOX_MODE[2] = 1'b0) で 2 バイ トの内部データ幅 (TX_INT_DATAWIDTH = 0) を使用する場合、

外部シーケンス カウンター モードの 64/67 データ転送シーケンスは次のとおりです。

1. GTTXRESET を適用し、 リセッ ト サイクルが完了するまで待機します。

2. リセッ ト中、 TXSEQUENCE に 7'h00 を、 TXHEADER にヘッダー情報を、 TXDATA に初期データを適用しま

す。 データ転送可能な状態になるまでこのステートは無限に保持できます。

3. カウン ト 0 のと き、 TXDATA にデータを、 TXHEADER にヘッダー情報を適用します。 2 バイ ト インターフェイ

ス (TX_DATA_WIDTH = 16) の場合、 カウン ト 0 が保持されているため、 TXDATA に 2 つ目の 2 バイ ト データを

提供します。

X-Ref Target - Figure 3-9

図 3-9: 通常モード (GEARBOX_MODE[2] = 1'b0)、 シーケンス カウンター値が 32 でデータを保留

1

29 30 31 32 0 1 2 3 4 5 6 7 8

1

Pause for one TXUSRCLK2 cycle.Data is ignored.

2

TXUSRCLK2

Unused

TXHEADER[1:0]

TXSEQUENCE[5:0]

TXDATA[63:0] Da Db Dc Dd De Df Dg Dh Di Dj Dk Dl

X19629-082117

X-Ref Target - Figure 3-10

図 3-10: 通常モード (GEARBOX_MODE[2] = 1'b0)、 シーケンス カウンター値が 44 でデータを保留

1

42 43 44 45 46

Da

2

TXUSRCLK2

Pause for two TXUSRCLK2 cycles.Data is ignored.

Unused

TXHEADER[2:0]

TXSEQUENCE[6:0]

TXDATA[15:0] Db Dc Dd De Df Dg

X19630-090517

UltraScale アーキテクチャ GTY ト ランシーバー 115UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 116: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

4. TXDATA でデータが駆動される と、 シーケンス カウンターは 1 にインク リ メン ト します。

5. カウン ト値が 1 の間に 4 バイ ト データを適用後、 カウンターは 2 にインク リ メン ト します。 TXDATA にデータ

を、 TXHEADER にヘッダー情報を適用します。

6. カウン ト値が 21 のと き、 データ パイプラインが停止します。

7. カウン ト値が 22 のと き、 TXDATA にデータを駆動します。

8. カウン ト値が 44 のと き、 データ パイプラインが停止します。

9. カウン ト値が 45 のと き、 TXDATA にデータを駆動します。

10. カウン ト値が 65 のと き、 データ パイプラインが停止します。

11. カウン ト値が 66 のと き、 TXDATA にデータを駆動します。

通常モード (GEARBOX_MODE[2] = 1'b0) で 4 バイ トの内部データ幅 (TX_INT_DATAWIDTH = 1) を使用する場合、

外部シーケンス カウンター モードの 64/67 データ転送シーケンスは次のよ うに実行されます。

1. GTTXRESET を適用し、 リセッ ト サイクルが完了するまで待機します。

2. リセッ ト中、 TXSEQUENCE に 7'h00 を、 TXHEADER にヘッダー情報を、 TXDATA に初期データを適用しま

す。 データ転送可能な状態になるまでこのステートは無限に保持できます。

3. カウン ト 0 のと き、 TXDATA にデータを、 TXHEADER にヘッダー情報を適用します。 4 バイ ト インターフェイ

ス (TX_DATA_WIDTH = 32) の場合、 カウン ト 0 が保持されているため、 TXDATA に 4 つ目の 2 バイ ト データを

提供します。

4. 8 バイ ト データの適用後、 カウンターは 1 にインク リ メン ト します。 TXDATA にデータを、 TXHEADER にヘッ

ダー情報を駆動します。

5. カウン ト値が 22 のと き、 データ パイプラインが停止します。

6. カウン ト値が 23 のと き、 TXDATA にデータを駆動します。

7. カウン ト値が 44 のと き、 データ パイプラインが停止します。

8. カウン ト値が 45 のと き、 TXDATA にデータを駆動します。

9. カウン ト値が 66 のと き、 データ パイプラインが停止します。

通常モード (GEARBOX_MODE[2] = 1'b0) で 8 バイ トの内部データ幅 (TX_INT_DATAWIDTH = 2) を使用する場合、

外部シーケンス カウンター モードで 64/67 データ転送シーケンスは次のよ うに実行されます。

1. GTTXRESET を適用し、 リセッ ト サイクルが完了するまで待機します。

2. リセッ ト中、 TXSEQUENCE に 6'h00 を、 TXHEADER に適切なヘッダー データを、 TXDATA に初期データを

適用します。 データ転送可能な状態になるまでこのステートは無限に保持できます。

3. 8 バイ ト インターフェイス (TX_DATA_WIDTH = 64) の場合、 カウン ト 0 で TXDATA[63:0] にデータを、

TXHEADER[2:0] にヘッダー情報を駆動します。 カウン ト値が 22 になるまで、 各 TXUSRCLK2 サイクルで

TXDATA[63:0] と TXHEADER[2:0] にデータ とヘッダー情報の駆動を継続します。 16 バイ ト インターフェイス

(TX_DATA_WIDTH = 128) の場合、 TXDATA[63:0]、 TXDATA[127:64]、 TXHEADER[2:0]、 TXHEADER[5:3] に

データを適用します。 TXHEADER[2:0] は TXDATA[63:0] と関連し、 TXHEADER[5:3] は TXDATA[127:64] と関連

します。TXHEADER[2:0] と TXDATA[63:0] よ り も先に TXHEADER[5:3] と TXDATA[127:64] がシ リアライズされ

て送信されます。

4. カウン ト値が 22 のと き、 データ パイプラインが停止します。

5. カウン ト値が 23 のと き、 TXDATA へのデータ駆動および TXHEADER へのヘッダー情報の駆動を再開します。

6. カウン ト値が 44 のと き、 データ パイプラインが停止します。

UltraScale アーキテクチャ GTY ト ランシーバー 116UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 117: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

7. カウン ト値が 45 のと き、 TXDATA へのデータ駆動および TXHEADER へのヘッダー情報の駆動を再開します。

8. カウン ト値が 66 のと き、 データ パイプラインが停止します。

通常モード (GEARBOX_MODE[2] = 1'b0) で 2 バイ トの内部データ幅 (TX_INT_DATAWIDTH = 0) を使用する場合、

外部シーケンス カウンター モードの 64/66 データ転送シーケンスは次のよ うに実行されます。

1. GTTXRESET を適用し、 リセッ ト サイクルが完了するまで待機します。

2. リセッ ト中、 TXSEQUENCE に 6'h00 を、 TXHEADER に適切なヘッダー データを、 TXDATA に初期データを

適用します。 データ転送可能な状態になるまでこのステートは無限に保持できます。

3. カウン ト 0 のと き、 TXDATA にデータを、 TXHEADER にヘッダー情報を適用します。 2 バイ ト インターフェイ

ス (TX_DATA_WIDTH = 16) の場合、 カウン ト 0 が保持されているため、 TXDATA に 2 つ目の 2 バイ ト データを

提供します。

4. TXDATA でデータが駆動される と、 シーケンス カウンターは 1 にインク リ メン ト します。

5. カウン ト値が 1 の間に 4 バイ ト データを適用後、 カウンターは 2 にインク リ メン ト します。 TXDATA にデータ

を、 TXHEADER にヘッダー情報を駆動します。

6. カウン ト値が 31 のと き、 データ パイプラインが停止します。

7. カウン ト値が 32 のと き、 TXDATA にデータを駆動します。

通常モード (GEARBOX_MODE[2] = 1'b0) で 4 バイ トの内部データ幅 (TX_INT_DATAWIDTH = 1) を使用する場合、

外部シーケンス カウンター モードの 64/66 データ転送シーケンスは次のとおりです。

1. GTTXRESET を適用し、 リセッ ト サイクルが完了するまで待機します。

2. リセッ ト中、 TXSEQUENCE に 6'h00 を、 TXHEADER に適切なヘッダー データを、 TXDATA に初期データを

適用します。 データ転送可能な状態になるまでこのステートは無限に保持できます。

3. カウン ト 0 のと き、 TXDATA にデータを、 TXHEADER にヘッダー情報を駆動します。 4 バイ ト インターフェイ

ス (TX_DATA_WIDTH = 32) の場合、 カウン ト 0 が保持されているため、 TXDATA に 4 つ目の 2 バイ ト データを

提供します。

4. 8 バイ ト データの適用後、 カウンターは 1 にインク リ メン ト します。 TXDATA にデータを、 TXHEADER にヘッ

ダー情報を駆動します。

5. カウン ト値が 32 のと き、 データ パイプラインが停止します。

通常モード (GEARBOX_MODE[2] = 1'b0) で 8 バイ トの内部データ幅 (TX_INT_DATAWIDTH = 2) を使用する場合、

外部シーケンス カウンター モードで 64/66 データ転送シーケンスは次のよ うに実行されます。

1. GTTXRESET を適用し、 リセッ ト サイクルが完了するまで待機します。

2. リセッ ト中、 TXSEQUENCE に 6'h00 を、 TXHEADER に適切なヘッダー データを、 TXDATA に初期データを

適用します。 データ転送可能な状態になるまでこのステートは無限に保持できます。

3. 8 バイ ト インターフェイス (TX_DATA_WIDTH = 64) の場合、 カウン ト 0 で TXDATA[63:0] にデータを、

TXHEADER[1:0] にヘッダー情報を駆動します。 カウン ト値が 32 になるまで、 各 TXUSRCLK2 サイクルで

TXDATA[63:0] と TXHEADER[1:0] にデータ とヘッダー情報の駆動を継続します。 16 バイ ト インターフェイス

(TX_DATA_WIDTH = 128) の場合、 TXDATA[63:0]、 TXDATA[127:64]、 TXHEADER[1:0]、 TXHEADER[4:3] に

データを適用します。 TXHEADER[1:0] は TXDATA[63:0] と関連し、 TXHEADER[4:3] は TXDATA[127:64] と関連

します。TXHEADER[1:0] と TXDATA[63:0] よ り も先に TXHEADER[4:3] と TXDATA[127:64] がシ リアライズされ

て送信されます。

4. カウン ト値が 32 のと き、 データ パイプラインが停止します。

UltraScale アーキテクチャ GTY ト ランシーバー 117UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 118: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

CAUI インターフェイス

CAUI インターフェイスには、 ト ランシーバーに接続される 2 つのデータ インターフェイス (データ ス ト リーム A お

よびデータ ス ト リーム B) が必要です。 64B/66B または 64B/67B ギアボッ クス モードがサポート されます。 CAUI イ

ンターフェイス モードは、 GEARBOX_MODE[2] 属性を 1'b1 に設定するこ とで有効になり ます。 CAUI インター

フェイス モードでは、 データ幅の設定と して、 TX_INT_DATAWIDTH = 1 (4 バイ ト ) および TX_DATA_WIDTH = 64

(8 バイ ト ) または 32 (4 バイ ト ) のみが認められています。

TX 同期ギアボッ クスの 上位には、 CAUI インターフェイスで使用される次のコンポーネン トがあ り ます。

° 64B/66B 2 バイ ト ギアボッ クスのインスタンスが 2 つ

° 64B/67B 2 バイ ト ギアボッ クスのインスタンスが 2 つ

CAUI インターフェイスをサポートするために、 GTY ト ランシーバーには 2 バイ ト ギアボッ クスのインスタンスが

2 つあ り ます。 2 つのデータ ス ト リームを結合するために、 Bit Mux ブロッ クの 2 つのインスタンス (64B/66B モード

および 64B/67B モードそれぞれに 1 つずつ) も追加されています。 入力 TXHEADER[2:0] はデータ ス ト リーム A の

ヘッダー ビッ トに使用されます。入力ポート [TXHEADER[5:3] はデータ ス ト リーム B のヘッダー ビッ トに使用され

ます。

図 3-11 に、 GTY ト ランシーバーの CAUI インターフェイス (TX パス) を示します。

X-Ref Target - Figure 3-11

図 3-11: CAUI インターフェイス (TX データパス)

8-Byte to 4-ByteConverter

TX GearboxSynchronizer

TX Interface TX Gearbox Block

64/66 – 4-Byte Gearbox

64/67 – 4-Byte Gearbox

64/66 – 2-Byte Gearbox A

64/66 – 2-Byte Gearbox B

64/67 – 2-Byte Gearbox A

64/67 – 2-Byte Gearbox B

BitMUX

BitMUX

GTYE3/4_CHANNEL

TXDATAto PMA

TXDATA[63:0]

TXHEADER[2:0]

[31:0][1:0]

[31:0][2:0]

[15:0][1:0]

[15:0][2:0]

[31:16][4:3]

[31:16][5:3]

TXHEADER[5:3]

TXSEQUENCE

X19631-081717

UltraScale アーキテクチャ GTY ト ランシーバー 118UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 119: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

CAUI モードであ り、かつ PCSL データ幅がそれぞれ 32 ビッ トの場合 (TX_DATA_WIDTH = 64 (8 バイ ト ))、データ ス

ト リーム A およびデータ ス ト リーム B がそれぞれ対応するギアボッ クスに達するよ うに、 データは 8-4 バイ ト コン

バーターによって分割されます (図 3-12 および図 3-13 参照)。

Bit Mux ブロ ッ クは 2 つのビッ ト ス ト リーム (2 つの 16 ビッ ト入力) をインターリーブして 2 倍の幅となる結合ビッ ト

ス ト リームを 1 つ作成します。 Bit Mux 機能は、 IEEE 規格 802.3ba-2010 の 83.5.2 節で記述されています。

TX_INT_DATAWIDTH = 1 (4 バイ ト ) が CAUI インターフェイス モードで使用されていますが、 2 つの 2 バイ ト ギア

ボッ クスを使用してその機能性を実現します (図 3-11 参照)。 これら 2 バイ ト ギアボッ クスの機能性は、 113 ページ

の 「TX 同期ギアボッ クスの使用」 で説明した、 TX_INT_DATAWIDTH = 0 (2 バイ ト ) の場合と同じです。 表 3-12 お

よび表 3-13 に、 TXSEQUENCE のデータ保留位置をモード別に示します。

X-Ref Target - Figure 3-12

図 3-12: 8-4 バイ ト コンバーター (TX_DATA_WIDTH = 64 (8 バイ ト )、 TX_INT_DATAWIDTH = 1 (4 バイ ト )、GEARBOX_MODE[2] = 1'b1) への入力

X-Ref Target - Figure 3-13

図 3-13: 8-4 バイ ト コンバーター (TX_DATA_WIDTH = 64 (8 バイ ト )、 TX_INT_DATAWIDTH = 1 (4 バイ ト )、GEARBOX_MODE[2] = 1'b1) の出力

TXUSRCLK2

D0TXDATA[63:56]

TXDATA[47:40]

TXDATA[39:32]

TXDATA[31:24]

TXDATA[23:16]

TXDATA[15:8]

TXDATA[7:0]

TXDATA[55:48] D1

D2

D3

D4

D5

D6

D7

D8

D9

D10

D11

D12

D13

D14

D15

D16

D17

D18

D19

D20

D21

D22

D23

X19632-081717

TXUSRCLK

D0TXDATA[31:24]

TXDATA[23:16]

TXDATA[15:8]

TXDATA[7:0]

D2 D8 D10 D16 D18

D1 D3 D9 D11 D17 D19

D4 D6 D12 D14 D20 D22

D5 D7 D13 D15 D21 D23

X19633-082117

UltraScale アーキテクチャ GTY ト ランシーバー 119UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 120: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

TX 非同期ギアボックス

機能の説明

一部の高速データ レート プロ ト コルは、64B/66B エンコードを使用して 8B/10B エンコードのオーバーヘッ ドを削減

しながらエンコード手法の利点を利用します。 TX 非同期ギアボッ クスが、 64B/66B のヘッダーおよびペイロードの

結合をサポート します。 64B/67B は TX 非同期ギアボッ クスでサポート されていません。

TX 非同期ギアボッ クスは、 インターコネク ト ロジッ クに接続される 4 バイ ト、 8 バイ ト、 および 16 バイ ト TX デー

タ インターフェイスをサポート し、 4 バイ ト または 8 バイ トの内部データパスを使用する必要があ り ます。 データ

のスク ランブルはインターコネク ト ロジッ クで実行されます。 一般的な非同期ギアボッ クス モードに加えて CAUI

インターフェイス モード もサポート されます。 CAUI インターフェイスは、 8 バイ ト TX データ インターフェイスで

インターコネク ト ロジッ クに接続し、 4 バイ トの内部データパスを使用する場合のみサポート されます。 表 3-14 に、

非同期ギアボッ クスで有効なデータ幅の組み合わせを示します。

表 3-12: 64B/66B エンコード使用時の TXSEQUENCE のデータ保留サイクルとその位置、 CAUI インターフェイス モードを使用 (GEARBOX_MODE[2] = 1'b1)

TX_DATA_WIDTH TX_INT_DATAWIDTH TXSEQUENCE のデータ保留サイクル

TXSEQUENCE のデータ保留位置(1)

64

(8 バイ ト )

1

(4 バイ ト )

1 x TXUSRCLK2 31

32

(4 バイ ト )

1

(4 バイ ト )

2 x TXUSRCLK2 31

注記:1. TX シーケンスのデータ保留位置は 31 ですが、 TX_INT_DATAWIDTH = 0 (2 バイ ト ) の場合の 64B/66B エンコードに対応する外

部シーケンス カウンター動作のシーケンスについて 117 ページで説明されている とおりに正し く動作するよ うに、 外部シーケ

ンス カウンターは 0 ~ 32 サイクル間を周期的に繰り返す必要があ り ます。

表 3-13: 64B/67B エンコード使用時の TXSEQUENCE のデータ保留サイクルとその位置、 CAUI インターフェイス モードを使用 (GEARBOX_MODE[2] = 1'b1)

TX_DATA_WIDTH TX_INT_DATAWIDTH TXSEQUENCE のデータ保留サイクル

TXSEQUENCE のデータ保留位置(1)

64

(8 バイ ト )

1

(4 バイ ト )

1 x TXUSRCLK2 21、 44、 65

32

(4 バイ ト )

1

(4 バイ ト )

2 x TXUSRCLK2 21、 44、 65

注記:1. TX シーケンスのデータ保留位置は 65 ですが、 TX_INT_DATAWIDTH = 0 (2 バイ ト ) の場合の 64B/67B エンコードに対応する外

部シーケンス カウンター動作のシーケンスについて 115 ページで説明されている とおりに正し く動作するよ うに、 外部シーケ

ンス カウンターは 0 ~ 66 サイクル間を周期的に繰り返す必要があ り ます。

UltraScale アーキテクチャ GTY ト ランシーバー 120UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 121: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

TX 同期ギアボッ クスでは、 さまざまなシーケンス カウンター値でデータの転送を保留するよ うに要求されますが、

TX 非同期ギアボッ クスでは各 TXUSRCLK2 サイクルでデータを継続して転送可能です。 TX 非同期ギアボッ クスは

周波数および位相が異なる 2 つのクロ ッ ク ド メ インをブリ ッジするため、 この非同期ギアボッ クス使用時は TX

バッファー バイパスはサポート されません。 また、 TX 非同期ギアボッ クスは TX バッファーに並列して配置されま

す。 図 3-14 に、 TX 非同期ギアボッ クスの位置を示します。 4 バイ トの内部データパス (TX_INT_DATAWIDTH = 1)

を選択した場合、 32 ビッ トのデータが常に、 各 TX XCLK サイクルで TX 非同期ギアボッ クスによって出力されま

す。 34 ビッ ト データ (2 ビッ トのヘッダーと 32 ビッ トのペイロード ) および 32 ビッ ト データ (32 ビッ トのペイロー

ド ) が交互に、 各 TXUSRCLK サイクルで TX 非同期ギアボッ クスに入力されます。 8 バイ トの内部データパスの場

合、 64 ビッ ト データが常に、 各 TX XCLK サイクルで TX 非同期ギアボッ クスによって出力されます。 66 ビッ ト

データ (2 ビッ トのヘッダーと 64 ビッ トのペイロード ) が、各 TXUSRCLK サイ クルで TX 非同期ギアボッ クスに入力

されます。

通常モードでは、 TX 非同期ギアボッ クスを介したデータパスのレイテンシが内部で計測され、 DRP を用いて読み出

し専用レジスタを読み出すこ とでその計測されたレイテンシにアクセスできます。 TX 非同期ギアボッ クスは、 TX

プログラマブル分周器と併用されます。 TXOUTCLKSEL を 3'b101 に設定し、 TXUSRCLK および TXUSRCLK2 の

クロ ッ ク周波数を適切に生成できる分周値を選択する必要があ り ます。

表 3-14: TX 非同期ギアボックスで有効なデータ幅の組み合わせ

インターフェイス モード

内部データパス幅

インターフェイス幅

XCLK (MHz) TXUSRCLK (MHz) TXUSRCLK2 (MHz)

通常モード 32 32 TX ライン レート /32 TX ライン レート /33 TX ライン レート /33

32 64 TX ライン レート /32 TX ライン レート /33 TX ライン レート /66

64 64 TX ライン レート /64 TX ライン レート /66 TX ライン レート /66

64 128 TX ライン レート /64 TX ライン レート /66 TX ライン レート /132

CAUI モード 32 64 TX ライン レート /32 TX ライン レート /33 TX ライン レート /66

X-Ref Target - Figure 3-14

図 3-14: TX クロック ド メインの例 (TX_INT_DATAWIDTH = 1 (4 バイ ト ) および TX_DATA_WIDTH = 64)

PISO

TXPre/PostEmp

TXOOBandPCIe

TXClockDividers

Polarity SATAOOB

PCIeBeacon

PhaseAdjustFIFO

TX AsyncGearbox

TX PIPEControl

TX SyncGearbox

8B/10BEncoder

TXInterface

PatternGenerator

128B/130BEncoder

From ChannelClocking Architecture

To RX Parallel Data(Near-End PCS Loopback)

From RX Parallel Data (Far-End PMA Loopback)

From RX Parallel Data(Far-End PCS Loopback)

TXDriver

TX PMA TX PCS

TX PhaseInterpolator

TX PhaseInterpolatorController

TX Serial Clock PMA Parallel Clock (XCLK) PCS Parallel Clock (TXUSRCLK)Device Parallel

Clock(TXUSRCLK2)

64 Data + 2Header

bits 34, 32 bits

32 b

its

X19634-090617

UltraScale アーキテクチャ GTY ト ランシーバー 121UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 122: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

ポートおよび属性

表 3-15 に、 TX 非同期ギアボッ クスのポート を示します。

表 3-15: TX 非同期ギアボックスのポート

ポート名 方向 クロック ド メイン 説明

TXHEADER[5:0] 入力 TXUSRCLK2 ヘッダーを提供する入力ポートです。 TXHEADER[1:0] は通

常モードで使用され、 CAUI インターフェイス モードでは

データ ス ト リーム A 用ヘッダーを提供するために使用されま

す。 CAUI インターフェイス モードでは、 TXHEADER[4:3] を

使用してデータ ス ト リーム B 用のヘッダーを提供します。

16 バイ ト インターフェイスで通常モードを使用する場合、

TXHEADER[1:0] と TXHEADER[4:3] を使用してヘッダー情報

を提供します。

TXSEQUENCE[0] 入力 TXUSRCLK2 どの TXUSRCLK2 サイクルでヘッダーをインターフェイスに

提供するかを示します。 TXSEQUENCE[0] = 1'b0 の場合のサ

イクルでは、 ヘッダーが TXHEADER に現れます。

インターコネク ト ロジッ クに接続される 64 ビッ ト (8 バイ ト )

または 128 ビッ ト (16 バイ ト ) TXDATA インターフェイスを使

用した場合、 TXSEQUENCE[0] を 1'b0 に接続します。

インターコネク ト ロジッ クに接続される 32 ビッ ト (4 バイ ト )

TXDATA インターフェイスを使用した場合、 各 TXUSRCLK2

サイクルで TXSEQUENCE[0] を ト グルします。

TXBUFSTATUS[1:0] 出力 TXUSRCLK2 TXBUFSTATUS は TX バッファーまたは TX 非同期ギアボッ ク

スのステータスを提供します。 TX 非同期ギアボッ クス使用

時のポートのステータスは次のとおりです。

ビッ ト 1:

0: TX 非同期ギアボッ クスの FIFO オーバーフローなし

1: TX 非同期ギアボッ クスの FIFO オーバーフローが発生

ビッ ト 0:

0: TX 非同期ギアボッ クスの FIFO アンダーフローなし

1: TX 非同期ギアボッ クスの FIFO アンダーフローが発生

ポートは High になる と、 TX 非同期ギアボッ クスが リセッ ト

されるまで High が保持されます。

TXLATCLK 入力 クロ ッ ク TX 非同期ギアボッ クスのレイテンシ計算用にクロ ッ クを提

供するために使用される入力ポートです。

UltraScale アーキテクチャ GTY ト ランシーバー 122UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 123: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

表 3-16 に、 TX 非同期ギアボッ クスのポート を示します。

表 3-16: TX 非同期ギアボックスのポート

属性 タイプ 説明

GEARBOX_MODE 5 ビッ ト バイナリ TX および RX ギアボッ クスの動作モードを選択します。

ビッ ト 4:

0: 同期ギアボッ クスを選択

1: 非同期ギアボッ クスを選択

ビッ ト 3

未使用。 0 に設定。

ビッ ト 2:

0: 通常モード

1: CAUI インターフェイス モード

ビッ ト 1:

未使用。 0 に設定。

ビッ ト 0:

0: 64B/67B ギアボッ クス ボード (同期ギアボッ クスの場合にの

み有効)

1: 64B/66B ギアボッ クス

TXGEARBOX_EN 文字列 TRUE の場合、 TX 同期ギアボッ クスまたは TX 非同期ギアボッ ク

スが有効になり ます。 どちらの TX ギアボッ クスを有効にするか

は、 GEARBOX_MODE 属性で設定します。 FALSE の場合、 TX 同

期ギアボッ クスおよび TX 非同期ギアボッ クスが無効になり ます。

TXGBOX_FIFO_INIT_RD_ADDR 整数 初期化読み出しアドレスです。 予約。 UltraScale FPGAs

Transceivers Wizard からの推奨値を使用してください。

TX_SAMPLE_PERIOD 3 ビッ ト バイナリ レイテンシの計算で平均化処理が行われる TXLATCLK サイクル

の数です。

• 3'b000: 256

• 3'b001: 512

• 3'b010: 1024

• 3'b011: 2048

• 3'b100: 4096

• 3'b101: 8192 (デフォルト )

• 3'b110: 16384

• 3'b111: 32768

TXGBOX_FIFO_LATENCY 16 ビッ ト バイナリ TX_SAMPLE_PERIOD サイクル間平均化処理が行われた TX 非同

期ギアボッ クスから、 UI 単位で計測されたレイテンシです。

レイテンシは、 1/8UI 単位で報告されます。

TXGBOX_FIFO_LATENCY 読み出し専用レジスタへは、 DRP を

介してアクセスします。 このレジスタのアドレスは 0x263 です。

UltraScale アーキテクチャ GTY ト ランシーバー 123UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 124: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

TX 非同期ギアボックスの有効化

TX 非同期ギアボッ クスを有効にするには、 TXGEARBOX_EN を TRUE に設定する必要があ り ます。 非同期ギアボッ

クスを選択するには、 GEARBOX_MODE[4] を 1'b1 に設定します。 GEARBOX_MODE[1] および

GEARBOX_MODE[3] は未使用のため、 1'b0 に設定します。 GEARBOX_MODE[2] は、 通常インターフェイスまた

は CAUI インターフェイスのいずれを使用するかを指定します。 TX 非同期ギアボッ クスは 64B/66B しかサポート し

ないため、 GEARBOX_MODE[0] を 1'b1 に設定します。

TX 非同期ギアボックスのビッ ト順およびバイ ト順

TX 非同期ギアボッ クスのビッ ト順は TX 同期ギアボッ クスの場合と同じです。 詳細は、 図 3-7 を参照してください。

TX 非同期ギアボックスの使用

図 3-15 に示すとおり、 通常モード (GEARBOX_MODE[2] = 1'b0) では、 TX 非同期ギアボッ クスは

TXSEQUENCE[0]、 TXDATA[63:0]、 および TXHEADER[1:0] 入力を使用します。

16 バイ ト TXDATA インターフェイス (TX_DATA_WIDTH = 128) を使用した場合、各 TXUSRCLK2 サイクルで 2 ビッ

トのヘッダー 2 つと 64 ビッ トのペイロード 2 つが TXHEADER および TXDATA に提供されます。 ヘッダーは

TXHEADER[4:3] と TXHEADER[1:0] に提供されます。 データ ペイロードは TXDATA[127:64] と TXDATA[63:0] に提

供されます。 TXHEADER[4:3] と対応する TXDATA[127:64] が 初に送信され、 次に TXHEADER[1:0] と

TXDATA[63:0] が送信されます。 128 ビッ ト (16 バイ ト ) TXDATA インターフェイスを使用する場合、

TXSEQUENCE[0] は Low に接続されます。

8 バイ ト TXDATA インターフェイス (TX_DATA_WIDTH = 64) を使用した場合、各 TXUSRCLK2 サイクルで 2 ビッ ト

のヘッダーおよび 64 ビッ トのペイロードが TXHEADER[1:0] および TXDATA[63:0] に提供されます。 64 ビッ ト (8 バ

イ ト ) TXDATAT インターフェイスを使用した場合、 各 TXUSRCLK2 サイ クルで 2 ビッ ト ヘッダーが提供されるた

め、 XSEQUENCE[0] が Low に接続されます。

4 バイ ト TXDATA インターフェイス (TX_DATA_WIDTH = 32) を使用した場合、 1 サイクルおきに 2 ビッ ト ヘッダー

が TXHEADER[1:0] に提供され、 各 TXUSRCLK2 サイクルで 64 ビッ ト ペイロードの半分が TXDATA[31:0] に提供さ

れます。 TXHEADER[1:0] が使用される同じ TXUSRCLK2 サイ クル間は、 TXSEQUENCE[0] は Low にアサートする

必要があ り ます。

X-Ref Target - Figure 3-15

図 3-15: 通常モード (GEARBOX_MODE[2] = 1'b0) における TX 非同期ギアボックス

TX Asynchronous Gearbox(in GTY Transceiver)

Design in Interconnect Logic

Data Source

Tied Low if Using 8-byte or 16-byte TXDATA Interface

Toggle Every TXUSRCLK2 Cycle if Using 4-byte TXDATA Interface

TXHEADER[4:0]

TXDATA[31:0], TXDATA[63:0],or TXDATA[127:0]

TXSEQUENCE[0]

X19635-082117

UltraScale アーキテクチャ GTY ト ランシーバー 124UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 125: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

データパス レイテンシの読み出し

TX 非同期ギアボッ クス FIFO を通る際に生じるデータパス レイテンシは、TX_XCLK に非同期の TXLATCLK を使用

して統計的に計算されます。 TX_SAMPLE_PERIOD は、 平均化処理が行われる TXLATCLK サイクル数を決定しま

す。 TXGBOX_FIFO_LATENCY で測定されるレイテンシの値は、 TX_SAMPLE_PERIOD で定義されたサンプリ ング

周期ごとに更新されます。 レイテンシの測定は、 CAUI モードではサポート されていません。

次の設定を使用して、 レイテンシを読み出します。

• 通常モードで、 TX 非同期ギアボッ クスを有効化します。

• TX_SAMPLE_PERIOD を設定します。 平均化処理の周期が長いほど、 レイテンシ値は正確になり ます。

• TXGBOX_FIFO_LATENCY を読み出します。 値は、 1/8UI 単位です。

• 実際のレイテンシは、 TXGBOX_FIFO_LATENCY と固定値を合わせたものです。

CAUI インターフェイス

CAUI インターフェイスには、 ト ランシーバーに接続される 2 つのデータ インターフェイス (データ ス ト リーム A お

よびデータ ス ト リーム B) が必要です。 CAUI インターフェイス モードは、 GEARBOX_MODE[2] 属性を 1'b1 に設

定するこ とで有効になり ます。 CAUI インターフェイス モードであ り、 TX 非同期ギアボッ クスが選択されている場

合、 データ幅の設定と して、 TX_INT_DATAWIDTH = 1 (4 バイ ト ) および TX_DATA_WIDTH = 64 (8 バイ ト ) のみが認

められています。

図 3-16 に示すとおり、 CAUI モード (GEARBOX_MODE[2] = 1'b1) では、 TX 非同期ギアボッ クスは

TXSEQUENCE[0]、 TXDATA[63:0]、および TXHEADER[4:0] 入力を使用します。 TX_DATA_WIDTH = 32 (4 バイ ト ) の

場合、 各データ ス ト リームに対する CAUI インターフェイスの使用法は、 通常モードで説明されている内容と同じ

です。

X-Ref Target - Figure 3-16

図 3-16: CAUI モード (GEARBOX_MODE[2] = 1'b1) における TX 非同期ギアボックス

TX Asynchronous Gearbox(in GTY Transceiver)

Data SourcePCSL – Datastream A

Toggle every TXUSRCLK2 cycle

TXHEADER[1:0]

TXDATA[31:0]

Data SourcePCSL – Datastream B

TXDATA[63:32]

TXSEQUENCE[0]

Design in Interconnect Logic

TXHEADER[4:3]

X19636-090817

UltraScale アーキテクチャ GTY ト ランシーバー 125UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 126: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

TX バッファー

機能の説明

GTY ト ランシーバー TX のデータパスには、 PCS で使用される 2 つの内部パラレル ク ロ ッ ク ド メ イン (PMA パラレ

ル ク ロ ッ ク (XCLK) ド メ インおよび TXUSRCLK ド メ イン) があ り ます。データ送信する場合、XCLK と TXUSRCLK

のレート を一致させ、 2 つのド メ イン間の位相差をなくす必要があ り ます。 図 3-17 に、 XCLK ド メ インおよび

TXUSRCLK ド メ インを示します。

GTY ト ランス ミ ッ ターには TX バッファーおよび TX 位相アライ メン ト回路が含まれ、XCLK および TXUSRCLK ド メ

イン間の位相差を調整します。 TX 位相アライ メン ト回路は、 TX バッファーがバイパスされる場合に使用します

(129 ページの 「TX バッファーのバイパス」 参照)。 すべての TX データパスは、 TX バッファーまたは TX 位相アライ

メン ト回路のいずれかを使用する必要があり ます。 表 3-17 に、 バッファーと位相アライ メン トの比較を示します。

X-Ref Target - Figure 3-17

図 3-17: TX クロック ド メイン

PISO

TXPre/PostEmp

TXOOBAndPCIe

TXClockDividers

Polarity SATAOOB

PCIeBeacon

PhaseAdjustFIFO

TX AsyncGearbox

TX PIPEControl

TX SyncGearbox

8B/10BEncoder

TXInterface

PatternGenerator

128B/130BEncoder

From ChannelClocking Architecture

To RX Parallel Data(Near-End PCS Loopback)

From RX Parallel Data (Far-End PMA Loopback)

From RX Parallel Data(Far-End PCS Loopback)

TXDriver

TX PMA TX PCS

TX PhaseInterpolator

TX PhaseInterpolatorController

TX Serial Clock PMA Parallel Clock (XCLK) PCS Parallel Clock (TXUSRCLK)Device

ParallelClock(TXUSCLK2)

X19637-082117

UltraScale アーキテクチャ GTY ト ランシーバー 126UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 127: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

ポートおよび属性

表 3-18 に、 TX バッファーのポート を示します。

表 3-17: TX バッファーと位相アライメン トの比較

TX バッファー TX 位相アライメン ト

使いやすさ 可能な限り TX バッファーを

デフォルトで使用するこ とを

推奨。 動作が堅牢で扱いやすい。

追加ロジッ クやクロ ッ ク ソースに追加制約が必

要な高度な機能。TXUSRCLK を駆動するための

TXOUTCLK のソース と して、 TXOUTCLKSEL

が GTY ト ランシーバーの基準クロ ッ クを選択す

る必要がある。

レイテンシ 低いレイテンシが求められる

場合は、 TX バッファーをバイ

パスする必要がある。

位相アライ メン ト回路では、 TX データパス上で

使用するレジスタ数が少ないため、 よ り低く確

定的なレイテンシとなる。

TX レーン間のスキュー調整 位相アライ メン ト回路を使用して GTY ト ラン

シーバー間のレーン スキューを削減できる。

関連するすべての GTY ト ランシーバー間のライ

ン レート を同一にする必要がある。

TXUSRCLK のジッターの

影響

TXUSRCLK のジッターの影響

は受けない。

TXUSRCLK のジッターの影響を受けます。

表 3-18: TX バッファーのポート

ポート 方向 クロック ド メイン 説明

TXBUFSTATUS[1:0] 出力 TXUSRCLK2 TX バッファーのステータスです。

TXBUFSTATUS[1]: TX バッファーのオーバーフロー /アン

ダーフローを示します。 TXBUFSTATUS[1] は High になる

と、 TX バッファーがリセッ ト されるまで High が保持され

ます。

1: TX FIFO のオーバーフロー /アンダーフロー

0: TX FIFO のオーバーフロー /アンダーフロー エラーなし

TXBUFSTATUS[0]: TX バッファーのフルの程度を示します。

1: TX FIFO は 1/2 以上

0: TX FIFO は 1/2 未満

UltraScale アーキテクチャ GTY ト ランシーバー 127UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 128: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

表 3-19 に、 TX バッファーの属性を示します。

TX バッファーの使用

TXBUFSTATUS がオーバーフローまたはアンダーフローを示した場合は、 随時 TX バッファーを リセッ ト します。

TX バッファーのリセッ トには、 GTTXRESET や TXPCSRESET を使用する方法、 または

TXBUF_RESET_ON_RATE_CHANGE = TRUE のと きにレート を変更する際の GTY ト ランシーバーで内部生成される

TX バッファー リセッ ト を使用する方法があ り ます (57 ページの 「TX の初期化およびリセッ ト 」 参照)。

GTTXRESET をアサートするこ とで、 GTY ト ランシーバーのト ランス ミ ッ ター全体を リセッ トするシーケンスが ト

リガーされます。 XCLK および TXUSRCLK ド メ イン間の位相差を調整する TX バッファーを有効にするために、 次

の設定を使用します。

• TXBUF_EN = TRUE

• TX_XCLK_SEL = TXOUT

表 3-19: TX バッファーの属性

属性 タイプ 説明

TXBUF_EN ブール型 TX バッファーの使用の有無を示します。

TRUE: TX バッファーを使用 (デフォルト )

FALSE: TX バッファーをバイパス (アドバンス機能)

TX_XCLK_SEL 文字列 PMA パラレル ク ロ ッ ク ド メ イン (XCLK) を駆動する

クロ ッ クを選択します。

TXOUT: XCLK のソース と して TXOUTCLK を選択。

TX バッファーを使用する場合に指定。

TXUSR: XCLK のソース と して TXUSRCLK を選択。

TX バッファーをバイパスする場合に指定。

TXBUF_RESET_ON_RATE_CHANGE ブール型 レート変更時に GTY ト ランシーバー内部で生成される

TX バッファーのリセッ ト機能を示します。

TRUE: レート変更時の自動 TX バッファー リセッ ト

機能は有効

FALSE: レート変更時の自動 TX バッファー リセッ ト

機能は無効

TXFIFO_ADDR_CFG 文字列 Low: 標準レイテンシ モード (デフォルト )

High: 位相マージン拡張モード

TX_FIFO_BYP_EN 1 ビッ ト

バイナリ

予約。 TX バッファーを使用する場合は、 1'b0 に設定

する必要があ り ます。

UltraScale アーキテクチャ GTY ト ランシーバー 128UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 129: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

TX バッファーのバイパス

機能の説明

TX バッファーがバイパスされる場合、PMA パラレル ク ロ ッ ク ド メ イン (XCLK) と TXUSRCLK ド メ イン間の位相差

調整を行うために TX 位相アライ メン ト回路が使用されます。 また、 温度や電圧の変化に対応するために

TXUSRCLK を継続的に調整して、 TX 遅延の調整も行います。 TX の位相と遅延の調整は、 GTY ト ランシーバーで

自動実行できますが、 手動で制御するこ と も可能です。 バッファーと位相アライ メン トの比較は、 127 ページの

表 3-17 を参照して ください。 図 3-18 に、 TX バッファーのバイパスが可能な TX 位相アライ メン ト を示します。

TX 位相アライ メン トが実行される前は、PMA パラレル ク ロ ッ ク ド メ イン (XCLK) と TXUSRCLK ド メ イン間に保証

された位相関係はあ り ません。

バッファーのバイパスは、追加ロジッ クやクロ ッ ク ソースに追加制約が必要な高度な機能です。 TXUSRCLK レート

(式 3-1) が 325MHz よ り も大きい場合にインターフェイスのマージンを改善するソ リ ューシ ョ ンについては、 寄の

販売代理店にお問い合わせください。

X-Ref Target - Figure 3-18

図 3-18: TX バッファーのバイパス

PISO

TXPre/PostEmp

TXOOBand

PCIe

TXClock

Dividers

Polarity SATAOOB

PCIeBeacon

PhaseAdjustFIFO

TX AsyncGearbox

TX PIPEControl

TX SyncGearbox

8B/10BEncoder

TXInterface

PatternGenerator

128B/130BEncoder

From ChannelClocking Architecture

To RX Parallel Data(Near-End PCS Loopback)

From RX Parallel Data (Far-End PMA Loopback)

From RX Parallel Data(Far-End PCS Loopback)

TXDriver

TX PMA TX PCS

TX PhaseInterpolator

TX PhaseInterpolatorController

TX Serial Clock

PMA Parallel Clock (XCLK)

PCS Parallel Clock (TXUSRCLK)Device Parallel

Clock(TXUSCLK2)

32 b

its

34, 32 bits

X19651-090617

UltraScale アーキテクチャ GTY ト ランシーバー 129UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 130: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

ポートおよび属性

表 3-20 に、 TX バッファーをバイパスする場合のポート を示します。

表 3-20: TX バッファーをバイパスする場合のポート

ポート 方向 クロック ド メイン 説明

TXPHDLYRESET 入力 非同期 TXOUTCLK を遅延調整タップの中央に強制的に配置

するための、 TX 位相アライ メン トのハード リセッ ト

です。 遅延調整タップは、 全範囲が ±4ns、 低範囲が

±2ns です。 このハード リセッ トは、 その他すべての

TX バッファー バイパス入力ポートが Low に設定され

ている場合に、 GTY ト ランシーバーが TX の位相およ

び遅延調整を自動的に開始するために使用されます。

TXDLYSRESET は、 位相および遅延調整のためだけに

使用するこ とを推奨します。

TXPHALIGN 入力 非同期 TX 位相アライ メン ト を設定します。 自動調整モード

を使用する場合は Low に設定します。

TXPHALIGNEN 入力 非同期 手動モードの TX 位相アライ メン ト を有効にします。

自動モードを使用する場合は Low に設定します。

TXPHDLYPD 入力 非同期 TX の位相および遅延調整回路の電源切断に使用しま

す。 a) TX バッファー バイパスが使用されていない場

合、 b) TXPD がアサート されている場合、 あるいは

c) TXOUTCLKSEL が 3'b011 または 3'b100 に設定さ

れているにもかかわらず基準クロ ッ クが接続されてい

ない場合は、 High に接続してください。 TX バッ

ファーをバイパスする場合の通常動作では Low に接続

してください。

0: TX の位相および遅延調整回路に電源を投入

1: TX の位相および遅延調整回路の電源を切断

TXPHINIT 入力 非同期 TX の位相アライ メン ト を初期化します。 予約。 自動

調整モードを使用する場合は Low に設定します。

TXPHOVRDEN 入力 非同期 TX の位相アライ メン ト カウンターのオーバーライ ドを

有効にします。 使用しない場合は Low に設定します。

0: 通常動作

1: TXPH_CFG[10:0] の値で TX 位相アライ メン ト カ

ウンターをオーバーライ ド

UltraScale アーキテクチャ GTY ト ランシーバー 130UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 131: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

TXDLYSRESET 入力 非同期 TXOUTCLK を遅延調整タップの中央に徐々にシフ ト

させるための TX 遅延調整ソフ ト リセッ トです。 遅延

調整タップは、 全範囲が ±4ns、 低範囲が ±2ns です。

このソフ ト リセッ トは、 その他すべての TX バッ

ファー バイパス入力ポートが Low に設定されている場

合に、 GTY ト ランシーバーが TX の位相および遅延調

整を自動的に開始するために使用されます。

TXPHDLYRESET と GTTXRESET によって TXOUTCLK

が遅延調整タップの中央に強制的にシフ ト され、 1 ク

ロ ッ ク サイクル間で急激に位相シフ トする可能性があ

り ます。 TXOUTCLK を急激に位相シフ ト させるこ と

な く位相調整を再開させるには、 TXPMARESET の後

に TXDLYSRESET を使用して ト ランス ミ ッ ターを リ

セッ トする必要があ り ます。

TXDLYBYPASS 入力 非同期 TX の遅延調整をバイパスする場合に使用します。

0: TX の遅延調整回路を使用。

1: TX の遅延調整回路をバイパス。

TXDLYEN 入力 非同期 手動モードの TX 遅延調整を有効にします。 自動モー

ドを使用する場合は Low に設定します。

TXDLYOVRDEN 入力 非同期 TX の遅延調整カウンターのオーバーライ ドを有効に

します。 使用しない場合は Low に設定します。

0: 通常動作

1: TXDLY_CFG[14:6] の値で TX 遅延調整カウンター

をオーバーライ ド

TXPHDLYTSTCLK 入力 非同期 TX の位相および遅延調整回路のテス ト ク ロ ッ クです。

TXDLYHOLD および TXDLYUPDOWN と共に使用され

ます。 内部テス ト専用に予約されています。 ウ ィザー

ドの推奨値を使用してください。

TXDLYHOLD 入力 非同期 TX の遅延調整をホールド します。 TXPHDLY_CFG0[1]

= 1 に設定して TX 位相および遅延調整機能をバイパス

する場合に、 ホールド オーバーライ ド と して使用しま

す。 内部テス ト専用に予約されています。 ウ ィザード

の推奨値を使用してください。

TXDLYUPDOWN 入力 非同期 TX 遅延調整のアップ/ダウンを行います。

TXPHDLY_CFG0[1] = 1 に設定して TX 位相および遅延

調整機能をバイパスする場合に、 アップ/ダウン オー

バーライ ド と して使用します。 使用しない場合は Low

に設定します。 内部テス ト専用に予約されています。

ウ ィザードの推奨値を使用してください。

TXPHALIGNDONE 出力 非同期 TXPHALIGNDONE の各立ち上がりエッジは、 TX の位

相アライ メン ト または遅延調整が完了したこ とを示し

ます。 詳細は動作モード (シングル レーンまたはマル

チ レーン) によって異なり ます。

表 3-20: TX バッファーをバイパスする場合のポート (続き)

ポート 方向 クロック ド メイン 説明

UltraScale アーキテクチャ GTY ト ランシーバー 131UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 132: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

TXPHINITDONE 出力 非同期 TX の位相アライ メン ト初期化が完了したこ とを示し

ます。

TXDLYSRESETDONE 出力 非同期 TX の遅延調整ソフ ト リセッ トが完了したこ とを示し

ます。

TXSYNCMODE 入力 非同期 シングル レーン自動モードかマルチ レーン自動モード

かに応じて正し く設定する必要があ り ます。 マルチ

レーン自動モードの場合:

0: TX バッファー バイパス スレーブ レーン

1: TX バッファー バイパス マスター レーン

シングル レーン自動モードの場合、TXSYNCMODE は

High に設定します。

TXSYNCALLIN 入力 非同期 マルチ レーン自動モードで使用します。図 3-25 を参照

してください。

TXSYNCIN 入力 非同期 マルチ レーン自動モードで使用します。図 3-25 を参照

してください。

TXSYNCOUT 出力 非同期 マルチ レーン自動モードで使用します。図 3-25 を参照

してください。

TXSYNCDONE 出力 非同期 マルチ レーン自動モードで使用します。図 3-25 を参照

してください。

表 3-21: TX バッファー バイパス属性

属性 タイプ 説明

TXBUF_EN ブール型 TX バッファーの使用の有無を示します。

TRUE: TX バッファーを使用 (デフォルト )

FALSE: TX バッファーをバイパス (アドバンス機能)

TX_XCLK_SEL 文字列 PMA に隣接する PCS ロジッ クを駆動する際に使用するクロ ッ ク

ソースを選択します。

TXOUT: XCLK のソース と して PISO パラレル ク ロ ッ クを選択。

TX バッファーを使用する と きに使用。

TXUSR: XCLK のソース と して TXUSRCLK を選択。 TX バッ

ファーをバイパスする場合に指定。

TXPH_CFG 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

TXPH_MONITOR_SEL 5 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

TXPHDLY_CFG0/

TXPHDLY_CFG116 ビッ ト バイナリ TX の位相および遅延調整回路のコンフ ィギュレーシ ョ ンです。

予約。 ウ ィザードの推奨値を使用してください。

TXDLY_CFG 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

TXDLY_LCFG 9 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

TXDLY_TAP_CFG 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

TXSYNC_MULTILANE 1 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

TXSYNC_SKIP_DA 1 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

表 3-20: TX バッファーをバイパスする場合のポート (続き)

ポート 方向 クロック ド メイン 説明

UltraScale アーキテクチャ GTY ト ランシーバー 132UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 133: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

TX バッファー バイパスの使用モード

TX 位相アライ メン トは、 1 つのチャネル (シングル レーン) または 1 つの TXOUTCLK (マルチ レーン) を共有する

チャネル グループに対して実行可能です。 使用モードについては、 表 3-22 を参照してください。

シングル レーン自動モードで TX バッファー バイパスを使用

TX バッファーをバイパスするには、 GTY ト ランシーバーを次のよ うに設定します。

• TXBUF_EN = FALSE

• TX_XCLK_SEL = TXUSR

• TXOUTCLKSEL = 3'b011、 3'b100、 または 3'b101 ― TXOUTCLK のソース と して GTY ト ランシーバーの基

準クロ ッ クを選択します。

GTY ト ランシーバーの基準クロ ッ ク選択で、 TXOUTCLK を TXUSRCLK のソース と して使用します。 TXOUTCLK

および選択した GTY ト ランシーバーの基準クロ ッ クを、 確実に必要な周波数で動作させる必要があ り ます。

TX バッファーをバイパスする場合は、 次の条件の後に必ず TX 位相アライ メン ト プロセスを実行します。

• GTY ト ランシーバー TX のリセッ ト または電源投入

• CPLL/QPLL のリセッ ト または電源投入

• GTY ト ランシーバーの基準クロ ッ ク ソースまたは周波数の変更

• TX ライン レートの変更

TXSYNC_OVRD 1 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

LOOPBACK_CFG 1 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

TX_FIFO_BYP_EN 1 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

表 3-22: TX バッファー バイパスの使用モード

TX バッファーのバイパス GTY ト ランシーバー

シングル レーン 自動または手動

マルチ レーン 自動または手動

注記:1. SSI テク ノ ロジ デバイスでは、 TX_INT_DATAWIDTH = TX_DATA_WDITH と設定する と、

SLR 境界をまたぐマルチ レーン TX バッファーのバイパスがサポート されます。

表 3-21: TX バッファー バイパス属性 (続き)

属性 タイプ 説明

UltraScale アーキテクチャ GTY ト ランシーバー 133UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 134: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

図 3-19 に、 温度や電圧の変化に対応して TXUSRCLK を調整するのに必要な、 自動 TX 位相アライ メン ト と TX 遅延

調整を示します。

図 3-19 について説明します。

1. 図 3-19 はイベン ト シーケンスを表しており、 正確な縮尺ではあ り ません。

2. GTY ト ランス ミ ッ ターのリセッ トや TX のレート変更などの後、 XCLK と TXUSRCLKTX の位相を揃えるため

に、 TX 位相アライ メン トが実行される必要があ り ます。 TX 位相および遅延調整は、 TXDLYSRESET のアサー

トで開始されます。

3. TXDLYSRESETDONE が High になるまで待機します。 TXDLYSRESETDONE は少なく と も 100ns 間アサート さ

れたまま とな り ます。

4. TXPHALIGNDONE の 2 番目の立ち上がりエッジが検出される と、 TX 位相アライ メン トが完了したこ とを示し

ます。 TXPHALIGNDONE の 初のアサートでは、 小パルス幅は 100ns です。 TXPHALIGNDONE の 2 番目の

立ち上がりエッジでは、 この信号は、 別のアライ メン トが開始されるまでアサート された状態を維持する必要

があ り ます。

5. GTTXRESET のアサート /ディアサートは、 TXPHALIGNDONE が図 3-19 に示すシーケンスに従わない場合に必

要です。

6. 温度や電圧の変化に対応するために TXUSRCLK を継続的に調整して、 TX 遅延を調整します。

シングル レーン手動モードで TX バッファー バイパスを使用

TX バッファーをバイパスするには、 GTY ト ランシーバーを次のよ うに設定します。

• TXBUF_EN = FALSE

• TX_XCLK_SEL = TXUSR

• TXOUTCLKSEL = 3'b011、 3'b100、 または 3'b101 ― TXOUTCLK のソース と して GTY ト ランシーバーの基

準クロ ッ クを選択します。

GTY ト ランシーバーの基準クロ ッ ク選択で、 TXOUTCLK を TXUSRCLK のソース と して使用します。 TXOUTCLK

および選択した GTY ト ランシーバーの基準クロ ッ クを、 確実に必要な周波数で動作させる必要があ り ます。

TX バッファーをバイパスする場合は、 次の条件の後に必ず TX 位相アライ メン ト プロセスを実行します。

• GTY ト ランシーバー TX のリセッ ト または電源投入

• CPLL/QPLL のリセッ ト または電源投入

• GTY ト ランシーバーの基準クロ ッ ク ソースまたは周波数の変更

• TX ライン レートの変更

X-Ref Target - Figure 3-19

図 3-19: TX バッファー バイパス — シングル レーン自動モード

TXDLYSRESET

TXDLYSRESETDONE

TXPHALIGNDONE

X19638-081717

UltraScale アーキテクチャ GTY ト ランシーバー 134UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 135: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

図 3-20 に、 温度や電圧の変化に対応して TXUSRCLK を調整するのに必要な、 手動 TX 位相アライ メン ト と TX 遅延

調整を示します。

図 3-20 について説明します。

1. 図 3-20 はイベン ト シーケンスを表しており、 正確な縮尺ではあ り ません。

2. TXSYNC_OVRD 属性を 1'b1 に設定します。

3. すべてのレーンの TXPHDLYRESET および TXDLYBYPASS を Low に設定します。

4. TXPHALIGNEN を High に設定します。

5. TXDLYSRESET をアサート します。TXDLYSRESETDONE がアサート されるまでこの信号を High に保持します。

6. TXDLYSRESETDONE がアサート された後に TXDLYSRESET をディアサート します。

7. TXDLYSRESET がディアサート されたら、 TXPHINIT をアサート します。 TXPHINITDONE の立ち上がりエッジ

が確認されるまでこの信号を High に保持します。

8. TXPHINIT をディアサート します。

9. TXPHALIGN をアサート します。 TXPHALIGNDONE の立ち上がりエッジが確認されるまでこの信号を High に

保持します。

10. TXPHALIGN をディアサート します。

11. TXDLYEN をアサート します。 これによ り、 TXPHALIGNDONE がディアサート されます。

12. TXPHALIGNDONE の立ち上がりエッジが確認されるまで TXDLYEN を保持します。

13. 温度や電圧の変化に対応するために TXUSRCLK を継続的に調整して、 TX 遅延を調整します。

X-Ref Target - Figure 3-20

図 3-20: TX バッファー バイパス例 — シングル レーン手動モード

TXPHDLYRESET

TXDLYBYPASS

TXPHALIGNEN

TXDLYSRESET

TXDLYSRESETDONE

TXPHINIT

TXPHINITDONE

TXPHALIGN

TXDLYEN

TXPHALIGNDONE

X19639-081717

UltraScale アーキテクチャ GTY ト ランシーバー 135UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 136: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

TX 位相アライメン ト回路を使用した TX レーン間スキューの 小化

位相アライ メン ト回路は、 GTY ト ランシーバー間のレーン スキューの削減もできます。 図 3-21 に、 複数の GTY ト

ランシーバーの XCLK ド メ インを共通クロ ッ ク ソースに揃えるこ とによって、 TX 位相アライ メン ト回路がレーン

スキューを削減する方法を示します。 また、 図 3-21 では、 共通クロ ッ クへの位相アライ メン ト前後の GTY ト ラン

シーバー レーンを複数示しています。 TX 位相アライ メン ト前は、 すべての XCLK に任意の位相差があ り ます。

ただし、 調整後の位相差は共通クロ ッ クのスキューのみであるため、 データパスのレイテンシが一致している限り、

すべてのデータが同時に送信されます。 TX 位相アライ メン ト回路を有効にする際は、 すべての GTY ト ランシー

バーの TXUSRCLK および TXUSRCLK2 のソースが同一であ り、 このクロ ッ クが BUFG_GT などの低スキュー ク

ロ ッ ク リ ソースを使用して配線されている必要があ り ます。

X-Ref Target - Figure 3-21

図 3-21: TX 位相アライメン ト回路を使用した TX レーン間スキューの 小化

GTY TXLane 0

GTY TXLane 0

GTY TXLane 1

GTY TXLane 1

Skew

Before TX Phase Alignment After TX Phase Alignment

Reduced SkewParallel clocksare independent

Parallel clocks are phase aligned to thesame clock edge

X19640-081717

UltraScale アーキテクチャ GTY ト ランシーバー 136UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 137: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

マルチ レーン手動モードで TX バッファー バイパスを使用

このセクシ ョ ンでは、 マルチ レーン TX バッファー バイパスのアライ メン ト を手動で実行するために必要な手順を

説明します。

• マスター : マルチ レーンのアプリ ケーシ ョ ンでは、 TXOUTCLK のソース レーンがバッファー バイパス マス

ターです。 マルチ レーン アプリ ケーシ ョ ンでは、 真中の GTY ト ランシーバーを TXOUTCLK のソースと して

選択する必要があ り ます。 たとえば、 3 つの隣接するクワ ッ ドで構成される 12 個の GTY ト ランシーバーのマル

チ レーンアプリ ケーシ ョ ンでは真中のクワ ッ ドにある中央の GTY ト ランシーバーのいずれかを TXOUTCLK の

ソース と して選択する必要があ り ます。

• スレーブ: バッファー バイパス マスターの TXOUTCLK から生成される、 同じ TXUSRCLK/TXUSRCLK2 を共有

するすべてのレーンがスレーブです。

図 3-22 に、 バッファー バイパス マスターおよびスレーブ レーンの例を示します。

TX バッファーをバイパスするには、 GTY ト ランシーバーを次のよ うに設定します。

• TXBUF_EN = FALSE

• TX_XCLK_SEL = TXUSR

• TXOUTCLKSEL = 3'b011 または 3'b100 または 3'b101 ― TXOUTCLK のソースと して GTY ト ランシーバー

の基準クロ ッ クを選択します。

X-Ref Target - Figure 3-22

図 3-22: バッファー バイパス マスターおよびスレーブ レーンの例

BUFG_GT

Slave

GTY TXLane 3

TXUSRCLKTXUSRCLK2

Master

GTY TXLane 2

TXUSRCLK

TXOUTCLK

TXUSRCLK2

Slave

GTY TXLane 1

TXUSRCLKTXUSRCLK2

Slave

GTY TXLane 0

TXUSRCLKTXUSRCLK2

X19641-081717

UltraScale アーキテクチャ GTY ト ランシーバー 137UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 138: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

GTY ト ランシーバーの基準クロ ッ ク選択で、 TXOUTCLK を TXUSRCLK のソース と して使用します。 TXOUTCLK

および選択した GTY ト ランシーバーの基準クロ ッ クを、 確実に必要な周波数で動作させる必要があ り ます。

TX バッファーをバイパスする場合は、 次の条件の後に必ず TX 位相アライ メン ト プロセスを実行します。

• GTY ト ランス ミ ッ ターのリセッ ト または電源投入

• CPLL、 QPLL、 あるいはその両方のリセッ ト または電源投入

• GTY ト ランシーバーの基準クロ ッ ク ソースまたは周波数の変更

• TX ライン レートの変更

図 3-23 に、 手動による TX の位相および遅延調整を示します。

図 3-23 について説明します。

1. 図 3-23 はイベン ト シーケンスを表しており、 正確な縮尺ではあ り ません。

2. M_* はマスター レーンに関連するポート を示します。

3. S_* はスレーブ レーンに関連するポート を示します。

4. TXSYNC_OVRD 属性を 1'b1 に設定します。

5. すべてのレーンの TXPHDLYRESET および TXDLYBYPASS を Low に設定します。

6. すべてのレーンの TXPHALIGNEN を High に設定します。

7. すべてのレーンの TXDLYSRESET をアサート します。 各レーンの TXDLYSRESETDONE がアサート されるまで

この信号を High に保持します。

X-Ref Target - Figure 3-23

図 3-23: 手動モードでの TX の位相および遅延調整

M_TXPHDLYRESET

M_TXDLYBYPASS

M_TXPHALIGNEN

M_TXDLYSRESET

M_TXDLYSRESETDONE

M_TXPHINIT

M_TXPHINITDONE

M_TXPHALIGN

M_TXDLYEN

M_TXPHALIGNDONE

S_TXPHDLYRESET

S_TXDLYBYPASS

S_TXPHALIGNEN

S_TXDLYSRESET

S_TXDLYSRESETDONE

S_TXPHINIT

S_TXPHINITDONE

S_TXPHALIGN

S_TXDLYEN

S_TXPHALIGNDONE

X19642-081717

UltraScale アーキテクチャ GTY ト ランシーバー 138UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 139: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

8. TXDLYSRESETDONE がアサート されるレーンの TXDLYSRESET をディアサート します。

9. すべてのレーンの TXDLYSRESET がディアサート される場合、 マスター レーンの TXPHINIT をアサート しま

す。 マスター レーンの TXPHINITDONE の立ち上がりエッジが確認されるまでこの信号を High に保持します。

10. マスター レーンの TXPHINIT をディアサート します。

11. マスター レーンの TXPHALIGN をアサート します。 マスター レーンの TXPHALIGNDONE の立ち上がりエッジ

が確認されるまでこの信号を High に保持します。

12. マスター レーンの TXPHALIGN をディアサート します。

13. マスター レーンの TXDLYEN をアサート します。 これによ り、 TXPHALIGNDONE がディアサート されます。

14. マスター レーンの TXPHALIGNDONE の立ち上がりエッジが確認されるまでこのレーンの TXDLYEN を High に

保持します。

15. マスター レーンの TXDLYEN をディアサート します。

16. すべてのスレーブ レーンの TXPHINIT をアサート します。 各スレーブ レーンの TXPHINITDONE の立ち上がり

エッジが確認されるまでこの信号を High に保持します。

17. TXPHINITDONE がアサート されるレーンの TXPHINIT をディアサート します。

18. すべてのスレーブ レーンの TXPHINIT がディアサート される場合、 これらのレーンの TXPHALIGN をアサート

します。 各スレーブ レーンの TXPHALIGNDONE の立ち上がりエッジが確認されるまでこの信号を High に保持

します。

19. TXPHALIGNDONE がアサート されるレーンの TXPHALIGN をディアサート します。

20. すべてのスレーブ レーンの TXPHALIGN がディアサート される場合、 マスター レーンの TXDLYEN をアサート

します。 これによ り、 マスター レーンの TXPHALIGNDONE がディアサート されます。

21. マスター レーンの TXPHALIGNDONE が再度アサート されるまで待機します。 マルチ レーン インターフェイス

の位相および遅延調整が完了します。 温度および電圧の変化に対応するため、 マスター レーンの TXDLYEN を

引き続き High に保持します。

UltraScale アーキテクチャ GTY ト ランシーバー 139UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 140: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

マルチ レーン自動モードで TX バッファー バイパスを使用

GTY ト ランシーバーの場合、 マルチ レーンのアプリ ケーシ ョ ンで TX バッファーのバイパスが必要なと きは、 位相

アライ メン ト を手動または自動で実行できます。 このセクシ ョ ンでは、 マルチ レーン TX バッファー バイパスのア

ライ メン ト を自動で実行するために必要な手順を説明します。

• マスター : マルチ レーンのアプリ ケーシ ョ ンでは、 TXOUTCLK のソース レーンがバッファー バイパス マス

ターです。

• スレーブ: バッファー バイパス マスターの TXOUTCLK から生成される、 同じ TXUSRCLK/TXUSRCLK2 を共有

するすべてのレーンがスレーブです。

図 3-24 に、 バッファー バイパス マスターおよびスレーブ レーンの例を示します。

TX バッファーをバイパスするには、 次のよ うに設定します。

• TXBUF_EN = FALSE

• TX_XCLK_SEL = TXUSR

• TXOUTCLKSEL = 3'b011 または 3'b100 または 3'b101 ― TXOUTCLK のソースと して GTY ト ランシーバー

の基準クロ ッ クを選択します。

X-Ref Target - Figure 3-24

図 3-24: バッファー バイパス マスターおよびスレーブ レーンの例

BUFG_GT

Slave

GTY TXLane 3

TXUSRCLKTXUSRCLK2

Master

GTY TXLane 2

TXUSRCLK

TXOUTCLK

TXUSRCLK2

Slave

GTY TXLane 1

TXUSRCLKTXUSRCLK2

Slave

GTY TXLane 0

TXUSRCLKTXUSRCLK2

X19641-081717

UltraScale アーキテクチャ GTY ト ランシーバー 140UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 141: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

GTY ト ランシーバーの基準クロ ッ ク選択で、 TXOUTCLK を TXUSRCLK のソース と して使用します。 TXOUTCLK

および選択した GTY ト ランシーバーの基準クロ ッ クを、 確実に必要な周波数で動作させる必要があ り ます。

TX バッファーをバイパスする場合は、 次の条件の後に必ず TX 位相アライ メン ト プロセスを実行します。

• GTY ト ランス ミ ッ ターのリセッ ト または電源投入

• PLL のリセッ ト または電源投入

• GTY ト ランシーバーの基準クロ ッ ク ソースまたは周波数の変更

• TX ライン レートの変更

マルチ レーン自動モードで TX バッファー バイパスをセッ ト アップするには、 属性を次のよ うに設定します。

• TXSYNC_MULTILANE = 1

• TXSYNC_OVRD = 0

図 3-25 のよ うにポート を設定します。

X-Ref Target - Figure 3-25

図 3-25: TX バッファー バイパス、 マルチ レーン、 自動モード ポート接続

MasterTXSYNCMODE

TXSYNCALLIN

TXSYNCIN TXSYNCOUT

TXSYNCDONE

TXPHALIGNDONE

1’b1

1’b0

TXDLYSRESET

SlaveTXSYNCMODE

TXSYNCALLIN

TXSYNCIN TXSYNCOUT

TXSYNCDONE

TXPHALIGNDONE

TXDLYSRESET

X19643-081717

UltraScale アーキテクチャ GTY ト ランシーバー 141UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 142: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

図 3-26 に、 TX の位相および遅延の自動調整を示します。

図 3-26 について説明します。

1. 図 3-26 はイベン ト シーケンスを表しており、 正確な縮尺ではあ り ません。

2. M_* はマスター レーンに関連するポート を示します。

3. S_* はスレーブ レーンに関連するポート を示します。

4. GTY ト ランス ミ ッ ターのリセッ トや TX のレート変更などの後、 XCLK と TXUSRCLKTX の位相を揃えるため

に、 TX 位相アライ メン トが実行される必要があ り ます。 TX 位相および遅延調整は、 TXDLYSRESET のアサー

トで開始されます。

5. TXDLYSRESETDONE が High になるまで待機します。 TXDLYSRESETDONE は少なく と も 100ns 間アサート さ

れたまま とな り ます。

6. マスター レーンの TXSYNCDONE がアサート される と、 アラ イ メン トが完了します。 この信号は、 アライ メン

トが再開されるまでアサート されたまま とな り ます。

7. マスター レーンの TXSYNCDONE がアサート される と、 マスター レーンの TXPHALIGNDONE によってアライ

メン トの完了および継続が示されます。

8. 温度や電圧の変化に対応するために TXUSRCLK を継続的に調整して、 TX 遅延を調整します。

X-Ref Target - Figure 3-26

図 3-26: マルチ レーン自動モードでの TX バッファー バイパスの例

M_TXDLYSRESET

M_TXDLYSRESETDONE

M_TXPHALIGNDONE

M_TXSYNCOUT

M_TXSYNCDONE

S_TXDLYSRESET

S_TXDLYSRESETDONE

S_TXPHALIGNDONE

S_TXSYNCOUT

X19644-082117

UltraScale アーキテクチャ GTY ト ランシーバー 142UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 143: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

マルチ レーン自動モードで TX および RX バッファー バイパスの両方を使用した場合の TXUSRCLK と RXUSRCLK の共有

GTY ト ランシーバーでは、 TX および RX バッファー バイパスの両方を必要とするマルチ レーン アプリ ケーシ ョ ン

の場合、 TXUSRCLK と RXUSRCLK を共有できます。 このセクシ ョ ンでは、 このために必要な要件について説明し

ます。

• グローバル マスター : TX および RX バッファー バイパスの両方を使用するマルチ レーンのアプリ ケーシ ョ ン

では、 TXOUTCLK のソース レーンがバッファー バイパス マスターです。

• スレーブ: バッファー バイパス マスターの TXOUTCLK から生成される、 同じ TXUSRCLK/TXUSRCLK2/

RXUSRCLK/RXUSRCLK2 を共有するすべてのレーンがスレーブです。 スレーブ レーンはいずれも遅延調整を

スキップする必要があ り ます。 RX マスター チャネルも RXSYNC_SKIP_DA = 1'b1 に設定してスレーブ動作と

し、 遅延調整をスキップする必要があ り ます。

• 入力 RX データ ス ト リームは、 TX と同じ クロ ッ ク ド メ イン上にある必要があ り ます。

図 3-27 に、 TXUSRCLK/TXUSRCLK2 と RXUSRCLK の共有例を示します。

X-Ref Target - Figure 3-27

図 3-27: TXUSRCLK/RXUSRCLK の共有の例

GTY TXLane 3

Slave

TXUSRCLKTXUSRCLK2

GTY TXLane 2

Global Master

TXUSRCLKTXUSRCLK2

GTY TXLane 1

Slave

TXUSRCLKTXUSRCLK2

GTY TXLane 0

Slave

TXUSRCLKTXUSRCLK2

GTY RXLane 3

Slave

RXUSRCLKRXUSRCLK2

GTY RXLane 2

Slave

RXUSRCLKRXUSRCLK2

GTY RXLane 1

Slave

GTY RXLane 0

Slave

RXUSRCLKRXUSRCLK2

RXUSRCLKRXUSRCLK2

TXOUTCLKBUFG_GT

X19652-081717

UltraScale アーキテクチャ GTY ト ランシーバー 143UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 144: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

図 3-27 について説明します。

1. 位相調整は、 140 ページの 「マルチ レーン自動モードで TX バッファー バイパスを使用」 で定義した手順に

従って実行します。

2. RX 位相調整を開始する前に TX 位相調整が完了している必要があ り ます。

3. 遅延調整を実行できるのは TX グローバル マスター チャネルだけです。

4. 自動モードでは、 スレーブ TX チャネルと RX チャネルをすべてスレーブ動作と し、 遅延調整をスキップする必

要があ り ます。

5. RX マスター チャネルも RXSYNC_SKIP_DA = 1'b1 に設定してスレーブ動作と し、 遅延調整をスキップする必

要があ り ます。

TX パターン ジェネレーター

機能の説明

擬似乱数ビッ ト シーケンス (PRBS) は、 一般に、 高速リ ンクにおけるシグナル インテグ リティの検証に使用されま

す。 これらのシーケンスには規則性がないよ うに見えますが、 リ ンク品質の計測に使用される特定のプロパティが

あ り ます。GTY ト ランシーバーのパターン ジェネレーター ブロ ッ クは、表 3-23 に示す業界標準規格の PRBS パター

ンを生成できます。

PRBS パターンのほかに、 GTY ト ランシーバーは、 内部データ幅に応じた 16UI、 20UI、 32UI、 40UI、 64UI、 または

80UI 方形波のテス ト パターンや 2UI 方形波のテス ト パターンおよび PCI Express® 準拠のテス ト パターンもサポート

しています。 ク ロ ッキング パターンは、 スペク ト ラム解析でよ く実行される PLL ランダム ジッターの測定に使用さ

れます。

表 3-23: サポート される PRBS パターン

属性名 多項式 シーケンス長 説明

PRBS-7 1 + X6 + X7 27 - 1 ビッ ト 8B/10B を使用するチャネルの検証に使用します。

PRBS-9 1 + X5 + X9 29 - 1 ビッ ト 「ITU-T Recommendation O.150、 Section 5.1」

PRBS-9 は、SFP+ に推奨されているテス ト パターンの 1 つです。

PRBS-15 1 + X14 + X15 215 - 1 ビッ ト 「ITU-T Recommendation O.150、 Section 5.3」

主にジッ ター測定に使用されるパターンであ り、 Agilent 社の

DCA-J サンプリ ング オシロスコープが処理できる 長パターン

です。

PRBS-23 1 + X18 + X23 223 - 1 ビッ ト 「ITU-T Recommendation O.150、 Section 5.6」

8B/10B 以外のエンコード方式に使用され、 SONET 仕様で推奨

されているテス ト パターンの 1 つです。

PRBS-31 1 + X28 + X31 231 - 1 ビッ ト 「ITU-T Recommendation O.150、 Section 5.8」

8B/10B 以外のエンコード方式に使用され、 10 ギガビッ ト イー

サネッ トに推奨されている PRBS テス ト パターンです。 「IEEE

802.3ae-2002」 を参照して ください。

UltraScale アーキテクチャ GTY ト ランシーバー 144UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 145: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

リ ンク接続の検証やジッター耐性テス ト用にエラー挿入ブロッ クがあ り ます。 PRBS パターンの反転バージ ョ ンが必

要な場合は、 TXPOLARITY 信号を使用して極性を制御します。

表 3-24: PCI Express 準拠パターン

シンボル K28.5 D21.5 K28.5 D10.2

ディ スパリティ 0 1 1 0

パターン 0011111010 1010101010 1100000101 0101010101

X-Ref Target - Figure 3-28

図 3-28: 20-UI 方形波

X-Ref Target - Figure 3-29

図 3-29: TX パターン ジェネレーター ブロック

20 UI

X19645-081717

ErrorInsertions Polarity

Inversion

PRBS-7

PRBS-9

PRBS-15

PRBS-23

PRBS-31

PCI Express Compliance Pattern

Square Wave with 2 UI period

Square Wave with 16 UI, 20 UI,32 UI, 40 UI, 64 UI, or 80 UI period

TXDATAX19646-081717

UltraScale アーキテクチャ GTY ト ランシーバー 145UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 146: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

ポートおよび属性

表 3-25 に、 パターン ジェネレーターのポート を示します。

表 3-26 に、 パターン ジェネレーターの属性を示します。

TX パターン ジェネレーターの使用

1 つを除くすべての使用モードで、 TXPRBSSEL ポートの値を変更して必要なパターンを選択するこ とで、 TX パ

ターン ジェネレーターを有効化できます。 TX 非同期ギアボッ クスが有効の場合、 次に示す追加手順を実行して TX

パターン ジェネレーターを有効にする必要があ り ます。

1. TXPCSRESET をアサート して PCS を リセッ ト状態にします。

2. DRP を介して TXGEARBOX_EN 属性を 1'b0 に設定し、 TXBUF_EN 属性を 1'b1 に設定します。

表 3-25: パターン ジェネレーターのポート

ポート名 方向 クロック ド メイン 説明

TXPRBSSEL[3:0] 入力 TXUSRCLK2 ト ランス ミ ッ ター PRBS ジェネレーターのテス ト パターン

を制御します。

4'b0000: 標準動作モード (テス ト パターン生成はオフ)

4'b0001: PRBS-7

4'b0010: PRBS-9

4'b0011: PRBS-15

4'b0100: PRBS-23

4'b0101: PRBS-31

4'b1000: PCI Express 準拠パターン。内部データ幅が 20

ビッ ト 、 40 ビッ ト 、 および 80 ビッ トのモードのと きに

のみ有効。

4'b1001: 2UI の方形波 (0 と 1 を交互に配列)

4'b1010: 16UI、 20UI、 32UI、 40UI、 64UI、 または

80 UI の方形波 (内部データ幅に基づく )

TXPRBSFORCEERR 入力 TXUSRCLK2 このポート を High に駆動している間、 すべての

TXUSRCLK2 ク ロ ッ ク サイクルで 1 つのエラーが PRBS ト

ランス ミ ッ ターに挿入されます。 このポート をアサート し

ている間、出力データ パターンにはすべての TXUSRCLK2

ク ロ ッ ク サイクルで 1 つのエラーが含まれます。

TXPRBSSEL が 4'b0000 に設定されている場合は、

TXDATA への影響はあ り ません。

表 3-26: パターン ジェネレーターの属性

属性 タイプ 説明

RXPRBS_ERR_LOOPBACK 1 ビッ ト バイナリ 1 の場合、 RXPRBSERR ビッ トが同じ GTY ト ランシーバーの

TXPRBSFORCEERR へ内部ループ バッ ク します。 これによっ

て、 データ ク ロ ッ ク乗せ換えの交差を懸念せずに、 同期および

非同期ジッター耐性テス トが可能になり ます。

0 の場合、 TXPRBSFORCEERR が TX PRBS に対応します。

UltraScale アーキテクチャ GTY ト ランシーバー 146UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 147: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

3. TXOUTCLKSEL ポート を 3'b010 (TXOUTCLKPMA) に設定します。

4. TXPRBSSEL ポート を必要なパターンに設定します。

5. TXPCSRESET をディアサート して PCS を リセッ ト状態から解放し、 TXRESETDONE がアサート されるのを待

機します。

TX 非同期ギアボッ クス モードに戻すには、 次に示すよ うに、 上記の変更の逆を実行する必要があ り ます。

1. TXPCSRESET をアサート して PCS を リセッ ト状態にします。

2. DRP を介して TXGEARBOX_EN 属性を 1'b1 に設定し、 TXBUF_EN 属性を 1'b0 に設定します。

3. TXOUTCLKSEL ポート を 3'b101 (TXPROGDIVCLK) に設定します。

4. TXPRBSSEL ポート を 4'b0000 に設定します。

5. TXPCSRESET をディアサート して PCS を リセッ ト状態から解放し、 TXRESETDONE がアサート されるのを待

機します。

TX 極性制御

機能の説明

TXP と TXN の差動ト レースが PCB 上で誤って逆になっている と、 GTY ト ランシーバー TX によって送信される差

動データが反転します。 これを回避するために、 シ リ アライズして送信する前にパラレル データを反転するこ とで、

差動ペアの反転極性をオフセッ ト します。 TX の極性は、 インターコネク ト ロジッ ク インターフェイスの

TXPOLARITY 入力で制御できます。 出力データの極性を反転させるには、 この TXPOLARITY ポート を High に駆動

してください。

ポートおよび属性

表 3-27 に、 TX の極性制御で使用するポート を示します。

TX 極性制御の使用

TXP および TXN の極性を入れ替える必要がある場合は、 TXPOLARITY を High に接続してください。

表 3-27: TX 極性制御ポート

ポート 方向 クロック ド メイン 説明

TXPOLARITY 入力 TXUSRCLK2 出力データの極性の反転に使用します。

0: 反転しない。 TXP は正、 TXN は負。

1: 反転する。 TXP は負、 TXN は正。

UltraScale アーキテクチャ GTY ト ランシーバー 147UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 148: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

TX のファブリ ック クロック出力制御

機能の説明

TX ク ロ ッ ク分周制御ブロッ クは、 2 つのコンポーネン ト (シ リアルおよびパラレル ク ロ ッ ク分周制御とセレクター

制御) で構成されています。 図 3-30 に、 ク ロ ッ ク分周器とセレクターの詳細図を示します。

図 3-30 について説明します。

1. TXOUTCLKPCS および TXOUTCLKFABRIC は冗長出力であるため、 新規デザインには TXOUTCLK を使用して

ください。

2. TXOUTCLK は、 BUFG_GT を介するインターコネク ト ロジッ ク ク ロ ッ クのソース と して使用されます。

X-Ref Target - Figure 3-30

図 3-30: TX シリアルおよびパラレル クロック分周器

TX DATA

TXOUTCLKPCS

TXOUTCLKTXOUTCLKPCS

TXOUTCLKPMA

‘1’

TXPLLREFCLK_DIV1

TXPLLREFCLK_DIV2

TXPROGDIVCLK

TXOUTCLKSELTXOUTCLKFABRIC

000

001

010

011

101

100

PISO

CPLL

REFCLK Distribution

PhaseInterp

DelayAligner

TX PolarityControl

÷D{1,2,4,816,32}

TX PMA

GTYE3/4_CHANNEL (GTY Transceiver Primitive)

0

10

00

01

10

11

REFCLK Sel

00

11QPLL1REFCLK

10

00 1

TXP/N

QPLL1CLK

QPLL0REFCLK

MGTREFCLK[0/1]N

TXSYSCLKSEL

TX_PROGCLK_SEL

REFCLK_HROW_CK_SEL

QPLL0CLK

TXDLYBYPASS

÷{2,4,8}

TX PROG.DIV

÷{4,5}

÷2

TX DATA FromUpstream PCS Blocks

TX PCS

Output toGTYE3/4_COMMON andGTYE3/4_CHANNEL

Output Clock to BUFG_GT

O

ODIV2

IBUFDS_GTE3/4MGTREFCLK[0/1]P

TXPLLCLKSEL

X19647-082117

UltraScale アーキテクチャ GTY ト ランシーバー 148UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 149: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

3. GTYE3/4_CHANNEL プリ ミ ティブには、 CPLL が 1 つだけあ り ます。 適用できる場合は、 GTYE3/4_COMMON

の QPLL も使用できます。

4. 1/2、 1/4 または 1/8 分周ブロッ クの選択は、 GTYE3/4_CHANNEL プリ ミ ティブの TX_INT_DATAWIDTH 属性で

制御されます。

TX_INT_DATAWIDTH = 0 (2 バイ トの内部データパス) とする と 1/2 が選択され、

TX_INT_DATAWIDTH = 1 (4 バイ トの内部データパス) とする と 1/4 が選択され、

TX_INT_DATAWIDTH = 2 (8 バイ トの内部データパス) とする と 1/8 が選択されます。

5. 1/4 または 1/5 分周ブロッ クの選択は、 GTYE3/4_CHANNEL プリ ミ ティブの TX_DATA_WIDTH 属性で制御され

ます。 TX_DATA_WIDTH = 16、 32、 64、 または 128 の場合は、 1/4 が選択されます。 TX_DATA_WIDTH = 20、

40、 80、 または 160 の場合は、 1/5 が選択されます。

6. ク ロ ッ ク リ ソース (BUFG_GT および BUFG_GT_SYNC など) の配置制約および制限の詳細は、 『UltraScale アー

キテクチャ ク ロ ッキング リ ソース ユーザー ガイ ド』 (UG572) [参照 3] を参照してください。

7. IBUFDS_GTE3/4 からのクロ ッ ク出力は、GTPOWERGOOD が High にアサート されてから 250µs 経過した後に使

用可能になり ます。 UltraScale+ FPGA の場合、 UltraScale FPGAs Transceivers Wizard バージ ョ ン 1.7 以降で

GTPOWERGOOD に自動的にクロ ッ ク ゲーティングを適用するこ とでこの要件を満たします。

シリアル クロック分周器

各ト ランス ミ ッ ター PMA モジュールには、 PLL からのクロ ッ クを分周して低ライン レート をサポートする分周器

(D) があ り ます。 このシ リ アル ク ロ ッ ク分周器は、 ライン レートが一定のアプリ ケーシ ョ ンに対してあらかじめ設

定できます。 また、 複数のライン レート を使用するアプリ ケーシ ョ ンでは、 動的に変更するこ と も可能です。

ライン レートが一定のアプリ ケーシ ョ ンで D 分周器を使用する場合は、 TXOUT_DIV 属性を適切な値に設定し、

TXRATE ポート を 3'b000 に接続する必要があ り ます。 詳細は、 表 3-28 の 「属性を使用する固定設定」 を参照して

ください。

複数ライン レートのアプリ ケーシ ョ ンで D 分周器を使用する場合は、 TXRATE を使用して D の値を動的に選択しま

す。 TXOUT_DIV 属性と TXRATE ポートは、 デバイス設定時に同じ D 値を設定する必要があ り ます。 デバイス設定

後に、 TXRATE を使用して D 値を動的に変更します。 詳細は、 表 3-28 の 「ポート を使用する動的設定」 を参照して

ください。

シ リ アル分周器の制御については、 表 3-28 を参照して ください。 各スピード グレードのライン レート範囲は、

『UltraScale および UltraScale+ デバイス データシート 』 [参照 6] を参照してください。

表 3-28: TX PLL 出力分周器の設定

分周器 (D) の値 属性を使用する固定設定 ポートを使用する動的制御

1TXOUT_DIV = 1

TXRATE = 3'b000

TXOUT_DIV = Ignored

TXRATE = 3'b001

2TXOUT_DIV = 2

TXRATE = 3'b000

TXOUT_DIV = Ignored

TXRATE = 3'b010

4TXOUT_DIV = 4

TXRATE = 3'b000

TXOUT_DIV = Ignored

TXRATE = 3'b011

8TXOUT_DIV = 8

TXRATE = 3'b000

TXOUT_DIV = Ignored

TXRATE = 3'b100

UltraScale アーキテクチャ GTY ト ランシーバー 149UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 150: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

パラレル クロック分周器およびセレクター

TX ク ロ ッ ク分周器制御ブロッ クからのパラレル ク ロ ッ ク出力は、 ライン レートの要件に応じて、 インターコネク

ト ロジッ ク ク ロ ッ ク と して使用できます。

インターコネク ト ロジッ クの推奨クロ ッ クは、 いずれかの GTY ト ランシーバーから出力される TXOUTCLK です。

また、 MGTREFCLK を直接インターコネク ト ロジッ クへ接続してインターコネク ト ロジッ ク ク ロ ッ ク と して使用

するこ と も可能です。 TXOUTCLK には、 出力レーンのスキュー調整や固定データパス遅延のために TX バッファー

をバイパスするアプリ ケーシ ョ ンで使用される出力遅延制御があるため、 一般的なアプリ ケーシ ョ ンで使用されま

す。 詳細は、 129 ページの 「TX バッファーのバイパス」 を参照してください。

TXOUTCLKSEL ポートで入力セレクターを制御し、 TXOUTCLK ポートから次のよ うなクロ ッ クを出力できます。

• TXOUTCLKSEL = 3'b001: TXOUTCLKPCS パスは PCS ブロッ クで余分な遅延を招くため、 推奨されていません。

• TXOUTCLKSEL = 3'b010: TXOUTCLKPMA は TX 位相インターポレーターの後に分周された PLL ク ロ ッ クで

あ り、 TX PCS ブロ ッ クで使用されます。 このクロ ッ クは、 関連する リセッ ト信号によって PLL がリセッ ト さ

れる と き中断されます。

• TXOUTCLKSEL = 3'b011 または 3'b100: TXPLLREFCLK_DIV1 または TXPLLREFCLK_DIV2 は、 CPLL また

は QPLL (TXSYSCLKSEL の設定に基づく ) へ入力される基準クロ ッ クです。 TXPLLREFCLK は、 一般的な使用

で推奨されるクロ ッ クであ り、 TX バッファーをバイパスするファブリ ッ クで必要なクロ ッ クです。

• TXOUTCLKSEL = 3'b101: TXPRODIVCLK は TX プログラマブル分周器の後に分周された PLL ク ロ ッ クです。

詳細は、 「TX プログラマブル分周器」 を参照してください。

TX プログラマブル分周器

図 3-30 に示す TX プログラマブル分周器は、 パラレル出力クロ ッ クを生成するために PLL 出力クロ ッ クの 1 つを使

用します。 ト ランシーバー PLL 、 TX プログラマブル分周器、 および BUFG_GT を使用するこ とによ り、

TXOUTCLK (TXOUTCLKSEL = 101) をインターコネク ト ロジッ クのクロ ッ ク ソース と して利用できます。サポート

される分周器の値は、 0.0、 4.0、 5.0、 8.0、 10.0、 16.0、 16.5、 20.0、 32.0、 33.0、 40.0、 64.0、 66.0、 80.0、 および

100.0 です。

TX_PROGCLK_SEL で制御される高速クロ ッ クのマルチプレクサーは、 アプリ ケーシ ョ ンの要件に基づいて設定さ

れます。

• 00: ポス ト TX 位相インターポレーター (PI) のクロ ッ ク パスは、 TX PI で作成された PPM オフセッ ト を用いて

パラレル ク ロ ッ クを生成する目的で使用するこ とができます。 この場合、 1 つのト ランシーバー PLL がデータ

パスおよびクロ ッ ク生成パスで共有されます。 チャネルまたはソース PLL がリセッ ト される と、 ク ロ ッ ク信号

に割り込みが発生します。 このパスを使用するには、 属性を POSTPI に設定します。

16TXOUT_DIV = 16

TXRATE = 3'b000

TXOUT_DIV = Ignored

TXRATE = 3'b101

32TXOUT_DIV = 32

TXRATE = 3'b000

TXOUT_DIV = Ignored

TXRATE = 3'b110

表 3-28: TX PLL 出力分周器の設定 (続き)

分周器 (D) の値 属性を使用する固定設定 ポートを使用する動的制御

UltraScale アーキテクチャ GTY ト ランシーバー 150UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 151: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

• 01: プリ TX PI ク ロ ッ ク パスは、 小レイテンシまたは固定レイテンシが要件となるアプリ ケーシ ョ ンをサ

ポートするためにシステム ク ロ ッ クを生成する目的で使用するこ とができます。 この場合、 1 つのト ランシー

バー PLL がデータパスおよびクロ ッ ク生成パスで共有されます。 チャネルがリセッ ト された場合にのみ、 ク

ロ ッ ク信号に割り込みが発生します。 このパスを使用するには、 属性を PREPI に設定します。

• 10: リ コンフ ィギュレーシ ョ ン中に QPLL ク ロ ッ クに割り込みが発生する可能性があるアプリ ケーシ ョ ンでは、

バイパス データパスを用いるこ とで、 インターコネク ト ロジッ クに対して安定したパラレル ク ロ ッ クが生成さ

れるよ うに CPLL を柔軟に使用できるよ うになり ます。 このパスを使用するには、 属性を CPLL に設定します。

表 3-29 および表 3-30 に、 プログラマブル分周器のポートおよび属性をそれぞれ示します。

表 3-29: TX プログラマブル分周器のポート

ポート 方向 クロック ド メイン 説明

TXPROGDIVRESET 入力 非同期 アクティブ High の場合、 分周器のほかに、

TXPRGDIVRESETDONE ステータス信号も リセッ

ト します。 入力クロ ッ ク ソースに割り込みが発生

する場合は常に、 リセッ ト を実行します。

TXPRGDIVRESETDONE 出力 非同期 入力クロ ッ クが安定していて リセッ トが実行され、

そのリセッ トが完了して出力クロ ッ クが安定して

いる場合、 アクティブ High になり ます。

表 3-30: TX プログラマブル分周器の属性

属性 タイプ 説明

TX_PROGDIV_CFG 実数 TX プログラマブル分周器の値を設定します。 有効な設定値は、

0.0、 4.0、 5.0、 8.0、 10.0、 16.0、 16.5、 20.0、 32.0、 33.0、 40.0、

64.0、 66.0、 80.0、 および 100.0 です。 TX_PROGDIV_CFG と

TX_PROGDIV_RATE を組み合わせたものが、 TX プログラマブル

分周器全体の分周値となり ます。 TX_PROGDIV_CFG を 0.0 に設

定する と、 分周器の電源が切断されます。

TX_PROGDIV_RATE 16 ビッ ト バイナリ [15:1]: 予約

[0]: 前置分周器の値を選択します。

1'b1: /1

1'b0: /2

TX_DIVRESET_TIME 5 ビッ ト バイナリ 予約。 TX プログラマブル分周器リセッ ト を適用する時間です。

ウ ィザードの推奨値を使用してください。 TXPROGDIVRESET

を使用して リセッ ト プロセスを開始する場合は、 0 以外の値に設

定してください。

UltraScale アーキテクチャ GTY ト ランシーバー 151UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 152: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

ポートおよび属性

表 3-31 に、 TX のファブリ ッ ク ク ロ ッ ク出力制御で使用するポート を示します。

表 3-31: TX のファブリ ック クロック出力制御のポート

ポート 方向 クロック ド メ イン 説明

TXOUTCLKSEL[2:0] 入力 非同期 マルチプレクサーのセレク ト信号を制御します (図 3-30

参照)。

3'b000: 固定 1

3'b001: TXOUTCLKPCS パス

3'b010: TXOUTCLKPMA パス

3'b011: TXPLLREFCLK_DIV1 パス

3'b100: TXPLLREFCLK_DIV2 パス

3'b101: TXPROGDIVCLK

その他: 予約。

TXRATE[2:0] 入力 TXUSRCLK2 TX シリアル クロッ ク分周器 D (表 3-28 参照) の設定を動的

に制御し、 TXOUT_DIV 属性と組み合わせて使用します。

3'b000: TXOUT_DIV 分周器の値を使用

3'b001: 分周値 D は 1

3'b010: 分周値 D は 2

3'b011: 分周値 D は 4

3'b100: 分周値 D は 8

3'b101: 分周値 D は 16

3'b110: 分周値 D は 32

TXOUTCLKFABRIC 出力 クロ ッ ク テス ト用に予約されている冗長出力です。

TXOUTCLKSEL = 3'b011 に設定された TXOUTCLK を

代わりに使用してください。

TXOUTCLK 出力 クロ ッ ク インターコネク ト ロジッ クで使用される推奨クロッ クで

す。 TXOUTCLK の入力セレクターとなり、 PLL 入力基準

クロッ クがインターコネク ト ロジッ クへ接続できます。

TXOUTCLKPCS 出力 クロ ッ ク 冗長出力です。 TXOUTCLKSEL = 3'b001 に設定された

TXOUTCLK を代わりに使用して ください。

TXRATEDONE 出力 TXUSRCLK2 TXRATE でレート変更が開始される と、 TXRATEDONE

ポートが TXUSRCLK2 の 1 サイ クル間 High にアサート

されます。 TXRATE ポートでレート変更されてから、

TXRATEDONE がアサート されるまでの時間は、

TRANS_TIME_RATE 属性で定義されます。

UltraScale アーキテクチャ GTY ト ランシーバー 152UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 153: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

ト ランシーバーには、 設定の基準クロ ッ クから派生した 25MHz の内部クロ ッ クがあ り ます。 25MHz ク ロ ッ クは、 リ

セッ ト 、 パワー マネージメン ト、 レート変更、 OOB、 ビーコンなどのさまざまな ト ランシーバー動作のシンクロナ

イザーやタイマーと して使用されます。 [TX/RX]_CLK25_DIV を設定して、 25MHz または可能な限り これに近い値を

実現します。 SATA OOB については、 この内部クロ ッ クを 25MHz に設定する必要があ り ます。 表 3-32 に、 TX の

ファブ リ ッ ク ク ロ ッ ク出力制御で使用する属性を示します。

TXDLYBYPASS 入力 非同期 TX の遅延調整をバイパスする場合に使用します。

0: TX の遅延調整回路を使用。 TX バッファーをバイパ

スする場合は、 1'b0 に設定

1: TX の遅延調整回路をバイパス。 TX バッファーを使

用する場合は、 1'b1 に設定

TXRATEMODE 入力 非同期 TX PMA 内の D 出力分周器を TXRATE で非同期に制御す

るかど うかを指定します。

0: 同期。 1'b0 に設定されている場合は、 TXRATE

ポートの変化に応じて自動的にリセッ ト シーケンス

が実行される。

1 つめは非同期

表 3-31: TX のファブリ ック クロック出力制御のポート (続き)

ポート 方向 クロック ド メ イン 説明

表 3-32: TX のファブリ ック クロック出力制御の属性

属性 タイプ 説明

TRANS_TIME_RATE 8 ビッ トの

16 進数

予約。 ウ ィザードの推奨値を使用してください。 レート変更さ

れてから PHYSTATUS および TXRATEDONE がアサート される

までの時間を定義します。

TXBUF_RESET_ON_RATE_CHANGE ブール型 TRUE の場合、 TXRATE でレートが変更される と TX バッ

ファーが自動的にリセッ ト される機能が有効になり ます。

TXOUT_DIV 整数 TX シ リ アル ク ロ ッ ク分周器の設定を制御します。 TXRATE =

3'b000 の場合のみ有効です。 それ以外の場合は、 TXRATE で

制御されます。 有効な値は、 1、 2、 4、 8、 16、 および 32 です。

TX_PROGCLK_SEL 文字列 POSTPI: POSTPI に設定し、 TX 位相インターポレーターの後の

クロ ッ ク パスを選択

PREPI: PREPI に設定し、 TX 位相インターポレーターの前のク

ロ ッ ク パスを選択

CPLL: CPLL に設定し、 CPLL からのクロ ッ ク パスを選択

UltraScale アーキテクチャ GTY ト ランシーバー 153UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 154: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

TX_CLK25_DIV 整数 ト ランス ミ ッ ター内の一部ロジッ クで使用する内部クロ ッ クを

生成するために、 TXPLLREFCLK_DIV1 を分周する分周器を設

定します。

1: TXPLLREFCLK_DIV1 ≤ 25MHz

2: 25MHz ≤ TXPLLREFCLK_DIV1 ≤ 50MHz

3: 50MHz ≤ TXPLLREFCLK_DIV1 ≤ 75MHz

4: 75MHz ≤ TXPLLREFCLK_DIV1 ≤ 100MHz

5: 100MHz ≤ TXPLLREFCLK_DIV1 ≤ 125MHz

6: 125MHz ≤ TXPLLREFCLK_DIV1 ≤ 150MHz

7: 150MHz ≤ TXPLLREFCLK_DIV1 ≤ 175MHz

8: 175MHz ≤ TXPLLREFCLK_DIV1 ≤ 200MHz

9: 200MHz ≤ TXPLLREFCLK_DIV1 ≤ 225MHz

10: 225MHz ≤ TXPLLREFCLK_DIV1 ≤ 250MHz

11: 250MHz ≤ TXPLLREFCLK_DIV1 ≤ 275MHz

12: 275MHz ≤ TXPLLREFCLK_DIV1 ≤ 300MHz

13: 300MHz ≤ TXPLLREFCLK_DIV1 ≤ 325MHz

14: 325MHz ≤ TXPLLREFCLK_DIV1 ≤ 350MHz

15: 350MHz ≤ TXPLLREFCLK_DIV1 ≤ 375MHz

16: 375MHz ≤ TXPLLREFCLK_DIV1 ≤ 400MHz

17: 400MHz ≤ TXPLLREFCLK_DIV1 ≤ 425MHz

18: 425MHz ≤ TXPLLREFCLK_DIV1 ≤ 450MHz

19: 450MHz ≤ TXPLLREFCLK_DIV1 ≤ 475MHz

20: 475MHz ≤ TXPLLREFCLK_DIV1 ≤ 500MHz

21: 500MHz ≤ TXPLLREFCLK_DIV1 ≤ 525MHz

22: 525MHz ≤ TXPLLREFCLK_DIV1 ≤ 550MHz

23: 550MHz ≤ TXPLLREFCLK_DIV1 ≤ 575MHz

24: 575MHz ≤ TXPLLREFCLK_DIV1 ≤ 600MHz

25: 600MHz ≤ TXPLLREFCLK_DIV1 ≤ 625MHz

26: 625MHz ≤ TXPLLREFCLK_DIV1 ≤ 650MHz

27: 650MHz ≤ TXPLLREFCLK_DIV1 ≤ 675MHz

28: 675MHz ≤ TXPLLREFCLK_DIV1 ≤ 700MHz

29: 700MHz ≤ TXPLLREFCLK_DIV1 ≤ 725MHz

30: 725MHz ≤ TXPLLREFCLK_DIV1 ≤ 750MHz

31: 750MHz ≤ TXPLLREFCLK_DIV1 ≤ 775MHz

32: 775MHz ≤ TXPLLREFCLK_DIV1 ≤ 820MHz

表 3-32: TX のファブリ ック クロック出力制御の属性 (続き)

属性 タイプ 説明

UltraScale アーキテクチャ GTY ト ランシーバー 154UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 155: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

TX 位相インターポレーター PPM コン ト ローラー

機能の説明

TX 位相インターポレーター PPM (TXPIPPM) コン ト ローラー モジュールは、 TX 位相インターポレーター (TX PI) の

動的制御をサポート します。 TX PCS 内に含まれるこのモジュールは、 TX インターフェイスから入力されて、 TX

PMA へ出力します。 TX PMA で細かいデータ制御が必要なアプリ ケーシ ョ ンがあ り ます。 PLL からの出力クロ ッ ク

は TX PI によって制御され、 これは TX 位相インターポレーター PPM コン ト ローラー モジュールで制御されます。

インターコネク ト ロジッ クが、 PCS 内の TX 位相インターポレーター PPM コン ト ローラー モジュールを使用して、

TX PMA 内の TX PI を制御します。 TX 位相インターポレーター PPM コン ト ローラー モジュールがサポート される

ライン レートは、 大で 16.375Gb/s です。

ポートおよび属性

表 3-33 に、 TX 位相インターポレーター PPM で使用されるポート を示します。

表 3-33: TX 位相インターポレーター PPM コン ト ローラーのポート

ポート 方向 クロック ド メイン 説明

TXPIPPMEN 入力 TXUSRCLK2 1'b0: TX 位相インターポレーター PPM コン ト ローラー

ブロ ッ クは無効。 TX PI は PI コードで更新されず、 前の

PI コードを保持。

1'b1: TX 位相インターポレーター PPM コン ト ローラー

ブロ ッ クは有効。TX PI は、各 TXPI_SYNFREQ_PPM[2:0]

サイ クルごとに PI コードで更新されない。

TXPIPPMOVRDEN 入力 非同期 1'b0: 通常動作

1'b1: TX PMA の TX PI へ出力する PI コードの直接制御

は有効。 TXPI_PPM_CFG[6:0] と共に使用して、 PI コード

の値をプログラムする。

TXPIPPMSEL 入力 非同期 TX PI PPM コン ト ローラーを使用する場合は、 1'b1 に設

定します。 それ以外では、 ウ ィザードのデフォルト値を

使用します。

TXPIPPMPD 入力 非同期 1'b0: TX 位相インターポレーター PPM コン ト ローラー

モジュールの電源を切断しない

1'b1: TX 位相インターポレーター PPM コン ト ローラー

モジュールの電源を切断

TXPIPPMSTEPSIZE[4:0] 入力 非同期 TXPIPPMSTEPSIZE[4]:

1'b1: PI コードをインク リ メン ト

1'b0: PI コードをデク リ メン ト

TXPIPPMSTEPSIZE[3:0] でインク リ メン ト /デク リ メン ト

の値を指定します。 設定値は 0 ~ 15 です。

UltraScale アーキテクチャ GTY ト ランシーバー 155UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 156: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

表 3-34 で、 TX 位相インターポレーター PPM コン ト ローラーの属性を説明します。

表 3-34: TX 位相インターポレーター PPM コン ト ローラーの属性

属性 タイプ 説明

TXPI_SYNFREQ_PPM[2:0] 3 ビッ ト バイナリ TX PI に対する PI コードの更新頻度を指定します。

(TXPI_SYNFREQ_PPM[2:0] + 1) サイ クルごとに更新されます。

3'b000 を除くすべての値は有効です。 この属性には、 ウ ィザード

のデフォルト値を使用してください。

TXPI_PPM_CFG[7:0] 8 ビッ ト バイナリ TXPIPPMOVRDEN = 1'b1 の場合、 この属性の下位 7 ビッ トは、

TX PI へ出力される 128 の値のいずれかでプログラムする必要があ

り ます。TX PI が新たに 7 ビッ トの TXPI_PPM_CFG[6:0] 値を取得す

るよ うに、 上位ビッ ト (MSB) でパルスします (High にアサート し

てから Low へ遷移)。

TXPI_CFG0 UltraScale FPGA:

2 ビッ ト バイナリ

UltraScale+ FPGA:

16 ビッ ト バイナリ

予約。 ウ ィザードの推奨値を使用してください。

TXPI_CFG1 UltraScale FPGA:

2 ビッ ト バイナリ

UltraScale+ FPGA:

16 ビッ ト バイナリ

予約。 ウ ィザードの推奨値を使用してください。

TXPI_CFG2 2 ビッ ト バイナリ UltraScale FPGA のみ:

予約。 ウ ィザードの推奨値を使用してください。

TXPI_CFG3 1 ビッ ト バイナリ UltraScale FPGA のみ:

予約。 ウ ィザードの推奨値を使用してください。

TXPI_CFG4 1 ビッ ト バイナリ UltraScale FPGA のみ:

予約。 ウ ィザードの推奨値を使用してください。

TXPI_CFG5 3 ビッ ト バイナリ UltraScale FPGA のみ:

予約。 ウ ィザードの推奨値を使用してください。

TXPI_INVSTROBE_SEL 1 ビッ ト バイナリ 予約。 1'b0 に接続されています。

TXPI_GRAY_SEL 1 ビッ ト バイナリ 1'b0: TXPIPPMSTEPSIZE[3:0] はバイナリでエンコード される。

1'b1: TXPIPPMSTEPSIZE[3:0] はグレイ コードでエンコード される。

TXPI_PPMCLK_SEL 文字列 UltraScale FPGA のみ:

予約。

TXPI_PPM 1 ビッ ト バイナリ UltraScale+ FPGA のみ:

PCS の TXPIPPM コン ト ローラーに対する リセッ ト信号のゲート制

御可能にします。

UltraScale アーキテクチャ GTY ト ランシーバー 156UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 157: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

Verilog コードの例

// This is an example of using TXPIPPM to match TARGET_CLK to TXUSRCLK2// TARGET_CLK is running at the same frequency as TXUSRCLK2

reg [3:0] TARGET_CLK_SAMPLE; //multiple samples of TARGET_CLK to provide filteringreg {1:0] wait_count;reg TXPIPPMSTEP_DIR;reg TXPIPPMEN_REG;

reg [2:0] sm_clkalign;parameter IDLE = 3'h1;parameter HOLD_TXPIPPMEN = 3'h2;parameter SM_PAUSE = 3'h4;

assign TXPIPPMSTEPSIZE[4] = TXPIPPMSTEP_DIR;assign TXPIPPMSTEPSIZE[3:0] = 4'b0001;assign TXPIPPMEN = TXPIPPMEN_REG;

always @ (posedge TXUSRCLK2 or posedge reset) beginif (reset) beginTARGET_CLK_SAMPLE <= 4'b1010;

end else begin

TARGET_CLK_SAMPLE <= {TARGET_CLK, TARGET_CLK_SAMPLE [3:1]}; endend

always @ (posedge TXUSRCLK2 or posedge reset)if (reset) beginsm_clkalign <= IDLE;TXPIPPMSTEP_DIR <= 1'b0;TXPIPPMEN_REG <= 1'b0;wait_count <= 2'b00

endelse begincase (sm_clkalign)IDLE:beginif (TARGET_CLK_SAMPLE == 4'b0000 | TARGET_CLK_SAMPLE == 4'b1111) beginTXPIPPMSTEP_DIR <= TARGET_CLK_SAMPLE;TXPIPPMEN_REG <= 1'b1;sm_clkalign <= HOLD_TXPIPPMEN;

endelse beginTXPIPPMEN_REG <= 1'b0;sm_clkalign <= IDLE;

endend

//TXPIPPMEN needs to be held for 2 cyclesHOLD_TXPIPPMEN:beginTXPIPPMEN_REG <= 1'b1;wait_count <= 2'b00;sm_clkalign <= SM_PAUSE

end

//wait 4 cycles before checking filtered TARGET_CLK locationSM_PAUSE:beginTXPIPPMEN_REG <= 1'b0;wait_count <= wait_count + 2'b01;

UltraScale アーキテクチャ GTY ト ランシーバー 157UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 158: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

if (wait_count == 2'b11)sm_clkalign <= IDLE;

elsesm_clkalign <= SM_PAUSE;

end

default:beginsm_clkalign <= IDLE;TXPIPPMSTEP_DIR <= 1'b0;TXPIPPMEN_REG <= 1'b0;wait_count <= 2'b00

endendcase

endend

コンフ ィギュレーシ ョ ン可能な TX ド ライバー

機能の説明

GTY ト ランシーバー TX ド ラ イバーは、 高速電流モードの差動出力バッファーです。 このド ライバーは、 シグナル

インテグ リティを 大にするこ とを目的と して次の機能を備えています。

• 差動電圧制御

• プリ カーソルおよびポス ト カーソル対応のプリエンファシス

• 調整された終端抵抗

X-Ref Target - Figure 3-31

図 3-31: コンフ ィギュレーシ ョ ン可能な TX ド ライバーのブロック図

PISO

Pre-Driver

TX Serial Clock =Data Rate/2

TXDIFFCTRL[4:0]

TXPRECURSOR[4:0]

MGTAVTT

MGTYTXP

MGTYTXN

TXPOSTCURSOR[4:0]

Pre-EmphasisPad Driver

MainPad Driver

Post-EmphasisPad Driver

Pre-Driver

Pre-Driver

5050

X19648-082117

UltraScale アーキテクチャ GTY ト ランシーバー 158UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 159: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

ポートおよび属性

表 3-35 に、 コンフ ィギュレーシ ョ ン可能な TX ド ラ イバーのポート を示します。

表 3-35: コンフ ィギュレーシ ョ ン可能な TX ド ライバーのポート

ポート 方向クロック ド メイン

説明

TXBUFDIFFCTRL[2:0] 入力 非同期 UltraScale FPGA のみ:

プリ ド ラ イバーの強度を制御します。 デフォルトは 3'b000 (公称値)

です。

この値は変更しないでください。

TXDEEMPH 入力 非同期 UltraScale FPGA のみ:

PCI Express PIPE 3.0 インターフェイス用の TX デエンファシスを制御

します。 この信号は、 属性を使用して TXPREEMPHASIS に内部マッ

プされます。

0: 6.0dB デエンファシス (TX_DEEMPH0[5:0] 属性)

1: 3.5dB デエンファシス (TX_DEEMPH1[5:0] 属性)

TXDEEMPH[1:0] 入力 非同期 UltraScale+ FPGA のみ:

PCI Express PIPE 3.0 インターフェイス用の TX デエンファシスを制御

します。 この信号は、 属性を使用して TXPREEMPHASIS に内部マッ

プされます。

0: 6.0dB デエンファシス (TX_DEEMPH0[5:0] 属性)

1: 3.5dB デエンファシス (TX_DEEMPH1[5:0] 属性)

UltraScale アーキテクチャ GTY ト ランシーバー 159UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 160: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

TXDIFFCTRL[4:0] 入力 非同期 UltraScale FPGA のみ:

ド ラ イバーの強度を指定します。 デフォルト値はユーザーが指定しま

す。 次に示す値の単位は、 mVPPD です。

表 3-35: コンフ ィギュレーシ ョ ン可能な TX ド ライバーのポート (続き)

ポート 方向クロック ド メイン

説明

[4:0] UltraScale FPGA

5'b00000 191

5'b00001 223

5'b00010 254

5'b00011 286

5'b00100 315

5'b00101 347

5'b00110 378

5'b00111 408

5'b01000 439

5'b01001 470

5'b01010 499

5'b01011 529

5'b01100 556

5'b01101 585

5'b01110 613

5'b01111 640

5'b10000 669

5'b10001 695

5'b10010 720

5'b10011 744

5'b10100 766

5'b10101 788

5'b10110 809

5'b10111 828

5'b11000 846

5'b11001 863

5'b11010 878

5'b11011 892

5'b11100 903

5'b11101 914

5'b11110 924

5'b11111 933

注記:1. Peak-to-Peak 差動電圧は、 TXPOSTCURSOR = 5'b00000 および

TXPRECURSOR = 5'b00000 の場合に定義されます。

2. UltraScale FPGA の場合、 上記の出力幅を得るには、 ウ ィザードのデザイ

ン設定を使用し、 ウ ィザードの推奨値を変更しないよ うにする必要があ

り ます。

UltraScale アーキテクチャ GTY ト ランシーバー 160UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 161: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

TXDIFFCTRL[4:0] 入力 非同期 UltraScale+ FPGA のみ:

ド ラ イバーの強度を指定します。 デフォルト値はユーザーが指定しま

す。 次に示す値の単位は、 mVPPD です。

表 3-35: コンフ ィギュレーシ ョ ン可能な TX ド ライバーのポート (続き)

ポート 方向クロック ド メイン

説明

[4:0] UltraScale+ FPGA

5'b00000 390

5'b00001 430

5'b00010 450

5'b00011 470

5'b00100 490

5'b00101 530

5'b00110 550

5'b00111 570

5'b01000 590

5'b01001 630

5'b01010 650

5'b01011 670

5'b01100 690

5'b01101 730

5'b01110 740

5'b01111 760

5'b10000 780

5'b10001 820

5'b10010 840

5'b10011 850

5'b10100 870

5'b10101 900

5'b10110 920

5'b10111 930

5'b11000 950

5'b11001 970

5'b11010 980

5'b11011 990

5'b11100 1000

5'b11101 1020

5'b11110 1030

5'b11111 1040

注記:1. Peak-to-Peak 差動電圧は、 TXPOSTCURSOR = 5'b00000 および

TXPRECURSOR = 5'b00000 の場合に定義されます。

2. UltraScale+ FPGA の場合、 上記の出力幅を得るには、 ウ ィザードのデザ

イン設定を使用し、 ウ ィザードの推奨値を変更しないよ うにする必要

があ り ます。

UltraScale アーキテクチャ GTY ト ランシーバー 161UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 162: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

TXELECIDLE 入力 TXUSRCLK2 High の場合、 強制的に MGTYTXP および MGTYTXN を同相モードに

して、 電気的アイ ドル信号を生成します。

TXINHIBIT 入力 TXUSRCLK2 High の場合、 TXDATA の転送を停止して強制的に MGTYTXP を 0 に、

MGTYTXN を 1 にします。

TXMAINCURSOR[6:0] 入力 非同期 TX_MAINCURSOR_SEL 属性が 1'b1 に設定されている場合、 メ イン

カーソルの係数を直接設定できます。

UltraScale FPGA のみ:

51 – TXPOSTCURSOR 係数ユニッ ト – TXPRECURSOR 係数ユニッ ト

≤ TXMAINCURSOR 係数ユニッ ト

≤ 80 – TXPOSTCURSOR 係数ユニッ ト – TXPRECURSOR 係数ユニッ ト

51 未満または 80 を超える設定値は無効であ り、 使用できません。

必要な TX 出力幅を得るには、TXDIFFCTRL と TXMAINCURSOR を

同時に使用する必要があ り ます。

UltraScale+ FPGA のみ:

必要な TX 出力幅を得るには、 TXDIFFCTRL と

TXMAINCURSOR[6:2] を同時に使用する必要があ り ます。

UltraScale+ FPGA の GTY ト ランシーバーでは、

TXMAINCURSOR[1:0] は使用されません。

TXMARGIN[2:0] 入力 非同期 PCI Express PIPE 3.0 インターフェイス用の TX マージンを制御します。

これらの信号は、 属性を使用して TXDIFFCTRL/TXBUFDIFFCTRL へ

マップされます。

表 3-35: コンフ ィギュレーシ ョ ン可能な TX ド ライバーのポート (続き)

ポート 方向クロック ド メイン

説明

[2:0] 全範囲 低範囲 全範囲の属性 低範囲の属性

000 800 ~ 1200 400 ~ 1200 TX_MARGIN_FULL_0 TX_MARGIN_LOW_0

001 800 ~ 1200 400 ~ 700 TX_MARGIN_FULL_1 TX_MARGIN_LOW_1

010 800 ~ 1200 400 ~ 700 TX_MARGIN_FULL_2 TX_MARGIN_LOW_2

011 200 ~ 400 100 ~ 200 TX_MARGIN_FULL_3 TX_MARGIN_LOW_3

100 100 ~ 200 100 ~ 200 TX_MARGIN_FULL_4 TX_MARGIN_LOW_4

101

デフォルトの 「DIRECT」 モード110

111

UltraScale アーキテクチャ GTY ト ランシーバー 162UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 163: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

TXPOSTCURSOR[4:0] 入力 非同期 UltraScale FPGA のみ:

ト ランス ミ ッ ターのポス ト カーソル TX プリエンファシスを指定しま

す。 デフォルト値はユーザーが指定します。 次に示す値 (dB) は標準値

です。

注記: TXPOSTCURSOR の値は、 TXPRECURSOR = 5'b00000

エンファシス = 20log10(Vhigh/Vlow) = |20log10 (Vlow/Vhigh)| の場合に定義

されます。

表 3-35: コンフ ィギュレーシ ョ ン可能な TX ド ライバーのポート (続き)

ポート 方向クロック ド メイン

説明

[4:0] エンファシス (dB) |係数ユニッ ト |

5'b00000 0.00 0

5'b00001 0.22 1

5'b00010 0.45 2

5'b00011 0.68 3

5'b00100 0.92 4

5'b00101 1.16 5

5'b00110 1.41 6

5'b00111 1.67 7

5'b01000 1.94 8

5'b01001 2.21 9

5'b01010 2.50 10

5'b01011 2.79 11

5'b01100 3.10 12

5'b01101 3.41 13

5'b01110 3.74 14

5'b01111 4.08 15

5'b10000 4.44 16

5'b10001 4.81 17

5'b10010 5.19 18

5'b10011 5.60 19

5'b10100 6.02 20

5'b10101 6.47 21

5'b10110 6.94 22

5'b10111 7.43 23

5'b11000 7.96 24

5'b11001 8.52 25

5'b11010 9.12 26

5'b11011 9.76 27

5'b11100 10.46 28

5'b11101 11.21 29

5'b11110 12.04 30

5'b11111 12.96 31

UltraScale アーキテクチャ GTY ト ランシーバー 163UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 164: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

TXPOSTCURSOR[4:0] 入力 非同期 UltraScale+ FPGA のみ:

ト ランス ミ ッ ターのポス ト カーソル TX プリエンファシスを指定しま

す。 デフォルト値はユーザーが指定します。 次に示す値 (dB) は標準値

です。

注記: TXPOSTCURSOR の値は、 TXPRECURSOR = 5'b00000

エンファシス = 20log10(Vhigh/Vlow) = |20log10 (Vlow/Vhigh)| の場合に定義

されます。

表 3-35: コンフ ィギュレーシ ョ ン可能な TX ド ライバーのポート (続き)

ポート 方向クロック ド メイン

説明

[4:0] エンファシス (dB) |係数ユニッ ト |

5'b00000 0.00 0

5'b00001 0.20 1

5'b00010 0.32 2

5'b00011 0.74 3

5'b00100 0.82 4

5'b00101 1.19 5

5'b00110 1.32 6

5'b00111 1.77 7

5'b01000 1.91 8

5'b01001 2.28 9

5'b01010 2.32 10

5'b01011 2.98 11

5'b01100 3.14 12

5'b01101 3.62 13

5'b01110 3.73 14

5'b01111 3.99 15

5'b10000 4.16 16

5'b10001 4.76 17

5'b10010 5.05 18

5'b10011 5.57 19

5'b10100 5.81 20

5'b10101 6.69 21

5'b10110 7.07 22

5'b10111 7.43 23

5'b11000 7.84 24

5'b11001 8.77 25

5'b11010 9.14 26

5'b11011 10.08 27

5'b11100 10.51 28

5'b11101 11.63 29

5'b11110 12.29 30

5'b11111 13.67 31

UltraScale アーキテクチャ GTY ト ランシーバー 164UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 165: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

TXPRECURSOR[4:0] 入力 非同期 UltraScale FPGA のみ:

ト ランス ミ ッ ター プリ カーソルの TX プリエンファシス レベルを制御

します。 デフォルト値はユーザーが指定します。 次に示す値 (dB) は標

準値です。

注記: TXPRECURSOR の値は、 TXPOSTCURSOR = 5'b00000

エンファシス = 20log10(Vhigh/Vlow) = |20log10 (Vlow/Vhigh)| の場合に定

義されます。

表 3-35: コンフ ィギュレーシ ョ ン可能な TX ド ライバーのポート (続き)

ポート 方向クロック ド メイン

説明

[4:0] エンファシス (dB) |係数ユニッ ト |

5'b00000 0.00 0

5'b00001 0.22 1

5'b00010 0.45 2

5'b00011 0.68 3

5'b00100 0.92 4

5'b00101 1.16 5

5'b00110 1.41 6

5'b00111 1.67 7

5'b01000 1.94 8

5'b01001 2.21 9

5'b01010 2.50 10

5'b01011 2.79 11

5'b01100 3.10 12

5'b01101 3.41 13

5'b01110 3.74 14

5'b01111 4.08 15

5'b10000 4.44 16

5'b10001 4.81 17

5'b10010 5.19 18

5'b10011 5.60 19

5'b10100 6.02 20

5'b10101 6.02 20

5'b10110 6.02 20

5'b10111 6.02 20

5'b11000 6.02 20

5'b11001 6.02 20

5'b11010 6.02 20

5'b11011 6.02 20

5'b11100 6.02 20

5'b11101 6.02 20

5'b11110 6.02 20

5'b11111 6.02 20

UltraScale アーキテクチャ GTY ト ランシーバー 165UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 166: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

TXPRECURSOR[4:0] 入力 非同期 UltraScale+ FPGA のみ:

ト ランス ミ ッ ター プリ カーソルの TX プリエンファシス レベルを制御

します。 デフォルト値はユーザーが指定します。 次に示す値 (dB) は標

準値です。

注記: TXPRECURSOR の値は、 TXPOSTCURSOR = 5'b00000

エンファシス = 20log10(Vhigh/Vlow) = |20log10 (Vlow/Vhigh)| の場合に定

義されます。

MGTYTXP

MGTYTXN出力

(パッ ド )

TX シ リ アル

ク ロ ッ ク

互いの差動コンポーネン トで差動ト ランス ミ ッ ター出力ペアを構成し

ます。 これらのポートはパッ ドを表しています。 位置制約を適用して

(17 ページの 「インプリ メンテーシ ョ ン」 参照)、 デザインのに 上位

に指定する必要があ り ます。

表 3-35: コンフ ィギュレーシ ョ ン可能な TX ド ライバーのポート (続き)

ポート 方向クロック ド メイン

説明

[4:0] エンファシス (dB) |係数ユニッ ト |

5'b00000 0.01 0

5'b00001 0.20 1

5'b00010 0.32 2

5'b00011 0.73 3

5'b00100 0.81 4

5'b00101 1.17 5

5'b00110 1.30 6

5'b00111 1.74 7

5'b01000 1.87 8

5'b01001 2.24 9

5'b01010 2.28 10

5'b01011 2.93 11

5'b01100 3.08 12

5'b01101 3.55 13

5'b01110 3.66 14

5'b01111 3.90 15

5'b10000 4.07 16

5'b10001 4.66 17

5'b10010 4.94 18

5'b10011 5.44 19

5'b10100 5.67 20

5'b10101 5.67 20

5'b10110 5.67 20

5'b10111 5.67 20

5'b11000 5.67 20

5'b11001 5.67 20

5'b11010 5.67 20

5'b11011 5.67 20

5'b11100 5.67 20

5'b11101 5.67 20

5'b11110 5.67 20

5'b11111 5.67 20

UltraScale アーキテクチャ GTY ト ランシーバー 166UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 167: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

表 3-36 に、 コンフ ィギュレーシ ョ ン可能な TX ド ラ イバーの属性を示します。

TXSWING 入力 非同期 PCI Express PIPE 3.0 インターフェイス用の TX 振幅を制御します。

この信号は、 TXDIFFCTRL/TXBUFDIFFCTRL に内部マップされます。

0: 全振幅

1: 小振幅

TXDIFFPD 入力 非同期 UltraScale FPGA のみ:

予約。

TXPISOPD 入力 非同期 予約。

表 3-35: コンフ ィギュレーシ ョ ン可能な TX ド ライバーのポート (続き)

ポート 方向クロック ド メイン

説明

表 3-36: コンフ ィギュレーシ ョ ン可能な TX ド ライバーの属性

属性 タイプ 説明

TXSWBST_EN 整数 UltraScale+ FPGA のみ:

予約。 ウ ィザードの推奨値を使用してください。

TXSWBST_BST 整数 UltraScale+ FPGA のみ:

予約。 ウ ィザードの推奨値を使用してください。

TXSWBST_MAG 整数 UltraScale+ FPGA のみ:

予約。 ウ ィザードの推奨値を使用してください。

TXFE_CFG0 16 ビッ ト

バイナリ

UltraScale+ FPGA のみ:

予約。 ウ ィザードの推奨値を使用してください。

TXFE_CFG1 16 ビッ ト

バイナリ

UltraScale+ FPGA のみ:

予約。 ウ ィザードの推奨値を使用してください。

TXFE_CFG2 16 ビッ ト

バイナリ

UltraScale+ FPGA のみ:

予約。 ウ ィザードの推奨値を使用してください。

TXFE_CFG3 16 ビッ ト

バイナリ

UltraScale+ FPGA のみ:

予約。 ウ ィザードの推奨値を使用してください。

TX_DEEMPH0[5:0] 6 ビッ ト

バイナリ

TXDEEMPH = 0 のと きにマップする必要がある TXPOSTCURSOR[4:0] の

値を示します。 TX_DEEMPH0[4:0] = TXPOSTCURSOR[4:0] です。

この値は変更しないでください。

TX_DEEMPH1[5:0] 6 ビッ ト

バイナリ

TXDEEMPH = 1 のと きにマップする必要がある TXPOSTCURSOR[4:0] の

値を示します。 TX_DEEMPH1[4:0] = TXPOSTCURSOR[4:0] です。

この値は変更しないでください。

TX_DEEMPH2[5:0] 6 ビッ ト

バイナリ

UltraScale+ FPGA のみ:

ト ランス ミ ッ ターを 5Gb/s で 0db デエンファシスに設定します。

TX_DEEMPH3[5:0] 6 ビッ ト

バイナリ

UltraScale+ FPGA のみ:

TXDEEMPH = 2'b11 の場合に、予約された TX の新たなデエンファシス

設定を示します。

UltraScale アーキテクチャ GTY ト ランシーバー 167UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 168: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

TX_DRIVE_MODE 文字列 TX ド ラ イバーを制御するピンに、PCI Express PIPE 3.0 ピンまたは TX ド

ラ イブ制御ピンのいずれを使用するか選択します。 デフォルトは

DIRECT です。

DIRECT: TXBUFDIFFCRL、 TXDIFFCTRL、 TXPOSTCURSOR、

TXPRECURSOR、 および TXMAINCURSOR (TX_MAINCURSOR_SEL

= 1'b1 の場合) で TX ド ラ イバーを設定。

PIPE: TXDEEMPH、 TXMARGIN、 TXSWING、 TXPRECURSOR、 お

よび TXMAINCURSOR (TX_MAINCURSOR_SEL = 1'b1 の場合) で TX

ド ラ イバーを設定。

PIPEGEN3 の場合は TXMARGIN、 TXSWING、 TXPOSTCURSOR、

TXPRECURSOR、 および TXMAINCURSOR (TX_MAINCURSOR_SEL

= 1'b1 の場合) で TX ド ラ イバーを設定。

TX_MAINCURSOR_SEL 1 ビッ ト

バイナリ

メ イン カーソルの個別制御を可能にします。

1'b0: TXMAINCURSOR の係数は、 「80 – TXPOSTCURSOR 係数 –

TXPRECURSOR 係数」 という計算式で自動的に決定

1'b1: TXMAINCURSOR の係数は、 TXMAINCURSOR ピンを使用し

て、 「ピンの説明」 に記載されている指定範囲内で個別に設定可能

TX_MARGIN_FULL_0[6:0] 7 ビッ ト

バイナリ

TXMARGIN = 000 および TXSWING = 0 のと きにマップする必要がある

TXBUFDIFFCTRL[2:0] および TXDIFFCTRL[3:0] の値を示します。

TX_MARGIN_FULL_0 = TXBUFDIFFCTRL[2:0]、TXDIFFCTRL[3:0] です。

TX_MARGIN_FULL_1[6:0] 7 ビッ ト

バイナリ

TXMARGIN = 001 および TXSWING = 0 のと きにマップする必要がある

TXBUFDIFFCTRL[2:0] および TXDIFFCTRL[3:0] の値を示します。

TX_MARGIN_FULL_1 = TXBUFDIFFCTRL[2:0]、TXDIFFCTRL[3:0] です。

TX_MARGIN_FULL_2[6:0] 7 ビッ ト

バイナリ

TXMARGIN = 010 および TXSWING = 0 のと きにマップする必要がある

TXBUFDIFFCTRL[2:0] および TXDIFFCTRL[3:0] の値を示します。

TX_MARGIN_FULL_2 = TXBUFDIFFCTRL[2:0]、TXDIFFCTRL[3:0] です。

TX_MARGIN_FULL_3[6:0] 7 ビッ ト

バイナリ

TXMARGIN = 011 および TXSWING = 0 のと きにマップする必要がある

TXBUFDIFFCTRL[2:0] および TXDIFFCTRL[3:0] の値を示します。

TX_MARGIN_FULL_3 = TXBUFDIFFCTRL[2:0]、TXDIFFCTRL[3:0] です。

TX_MARGIN_FULL_4[6:0] 7 ビッ ト

バイナリ

TXMARGIN = 100 および TXSWING = 0 のと きにマップする必要がある

TXBUFDIFFCTRL[2:0] および TXDIFFCTRL[3:0] の値を示します。

TX_MARGIN_FULL_4 = TXBUFDIFFCTRL[2:0]、TXDIFFCTRL[3:0] です。

TX_MARGIN_LOW_0[6:0] 7 ビッ ト

バイナリ

TXMARGIN = 000 および TXSWING = 1 のと きにマップする必要がある

TXBUFDIFFCTRL[2:0] および TXDIFFCTRL[3:0] の値を示します。

TX_MARGIN_LOW_0 = TXBUFDIFFCTRL[2:0]、TXDIFFCTRL[3:0] です。

TX_MARGIN_LOW_1[6:0] 7 ビッ ト

バイナリ

TXMARGIN = 001 および TXSWING = 1 のと きにマップする必要がある

TXBUFDIFFCTRL[2:0] および TXDIFFCTRL[3:0] の値を示します。

TX_MARGIN_LOW_1 = TXBUFDIFFCTRL[2:0]、TXDIFFCTRL[3:0] です。

TX_MARGIN_LOW_2[6:0] 7 ビッ ト

バイナリ

TXMARGIN = 010 および TXSWING = 1 のと きにマップする必要がある

TXBUFDIFFCTRL[2:0] および TXDIFFCTRL[3:0] の値を示します。

TX_MARGIN_LOW_2 = TXBUFDIFFCTRL[2:0]、TXDIFFCTRL[3:0] です。

表 3-36: コンフ ィギュレーシ ョ ン可能な TX ド ライバーの属性 (続き)

属性 タイプ 説明

UltraScale アーキテクチャ GTY ト ランシーバー 168UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 169: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

PCI Express デザイン用の TX レシーバー検出機能

機能の説明

PCI Express 仕様には、 リ ンク上のト ランス ミ ッ ターによるレシーバー検出を可能にする機能が含まれます。 レシー

バーがあるかど うかは、 TXP/TXN の立ち上がり時間に基づいて決定されます。 図 3-32 に、 レシーバー検出に使用さ

れる回路モデルを示します。 レシーバー検出を実行するには、 GTY ト ランシーバーを P1 パワーダウン ステートにす

る必要があり ます。 さ らに、 レシーバー検出にはト ランス ミ ッ ターとレシーバー間に外部カップリ ング キャパシタが

必要で、 レシーバーは終端する必要があり ます。 Gen1、 Gen2、 または Gen3 アプリケーシ ョ ンにおける外部カップリ

ング キャパシタの実際値については、 『PCI Express Base Specification』 を参照してください。 レシーバー検出シーケン

スは、 TXDETECTRX をアサートする と開始します。 それに応じて、 レシーバー検出ロジッ クが TXN および TXP を

(VDD - VSWING/2) に駆動し、 その後それらを リ リースします。 一定時間 (プログラム可能なインターバル) 経過後、

TXN および TXP のレベルがしきい値電圧と比較されます。 シーケンスの 後に、 PHYSTATUS が 1 サイクル間 High

にアサート され、 レシーバー検出ステータスが RXSTATUS に反映されます。

TX_MARGIN_LOW_3[6:0] 7 ビッ ト

バイナリ

TXMARGIN = 011 および TXSWING = 1 のと きにマップする必要がある

TXBUFDIFFCTRL[2:0] および TXDIFFCTRL[3:0] の値を示します。

TX_MARGIN_LOW_3 = TXBUFDIFFCTRL[2:0]、TXDIFFCTRL[3:0] です。

TX_MARGIN_LOW_4[6:0] 7 ビッ ト

バイナリ

TXMARGIN = 100 および TXSWING = 1 のと きにマップする必要がある

TXBUFDIFFCTRL[2:0] および TXDIFFCTRL[3:0] の値を示します。

TX_MARGIN_LOW_4 = TXBUFDIFFCTRL[2:0]、TXDIFFCTRL[3:0] です。

TX_EIDLE_ASSERT_DELAY 3 ビッ ト

バイナリ

TXELECIDLE のアサートから TXP/N が電気的アイ ドルに遷移するまでの

プログラム可能な遅延です。 ウ ィザードの推奨値を使用してください。

TX_EIDLE_DEASSERT_DELAY 3 ビッ ト

バイナリ

TXELECIDLE のディアサートから TXP/N が電気的アイ ドルから遷移す

るまでのプログラム可能な遅延です。 ウ ィザードの推奨値を使用してく

ださい。

TX_LOOPBACK_DRIVE_HIZ 1 ビッ ト

バイナリ

予約。 ウ ィザードの推奨値を使用してください。

UltraScale FPGA のみ

TXDRVBIAS_P 3 ビッ ト

バイナリ

予約。 ウ ィザードの推奨値を使用してください。

TXDRVBIAS_N 3 ビッ ト

バイナリ

予約。 ウ ィザードの推奨値を使用してください。

TX_DCD_CFG 6 ビッ ト

バイナリ

予約。 ウ ィザードの推奨値を使用してください。

TX_DCD_EN 1 ビッ ト

バイナリ

予約。 ウ ィザードの推奨値を使用してください。

表 3-36: コンフ ィギュレーシ ョ ン可能な TX ド ライバーの属性 (続き)

属性 タイプ 説明

UltraScale アーキテクチャ GTY ト ランシーバー 169UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 170: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

注記: Gen1、 Gen2、 または Gen3 アプリ ケーシ ョ ンにおける外部カップリ ング キャパシタの実際値については、 『PCI

Express Base Specification』 を参照してください。

ポートおよび属性

表 3-37 に、 TX レシーバー検出で使用するポート を示します。

X-Ref Target - Figure 3-32

図 3-32: レシーバー検出回路モデル

表 3-37: TX レシーバー検出のポート

ポート 方向 クロック ド メイン 説明

TXDETECTRX 入力 TXUSRCLK2 レシーバー検出動作の開始を GTY ト ランシーバーへ伝

えるために使用します。

0: 通常動作

1: レシーバー検出

TXPD[1:0] 入力 TXUSRCLK2

(TXPDELECIDLEMODE

によって非同期になる )

GTY ト ランシーバーの TX および RX の電源投入/電源切

断を指定します。 PCI Express モードでは、 TXPD と

RXPD を同じソースに接続してください。 レシーバー検

出を実行する場合は、 これらの信号を P1 省電力ステー

トに設定します。

00: P0 (通常動作)

01: P0 (短いリ カバリ時間の省電力ステート )

10: P1 (長いリ カバリ時間の省電力ステート )

11: P2 (消費電力が 小の省電力モード )

RXPD[1:0] 入力 非同期

PHYSTATUS 出力 RXUSRCLK2 PCI Express モードで、 パワー マネージメン ト ステート

の遷移、 レート変更、 レシーバー検出などの GTY ト ラ

ンシーバーの機能が完了したこ とを示します。 レシー

バー検出で、 この信号が High の場合、 レシーバー検出動

作が完了したこ とを示します。

CCH: < 3 nF

CAC RTERMR: 40Ω – 60Ω

VTERMR

RTERMT: 40Ω – 60Ω

VDD

TXDETECTRX

GTY TransceiverComponents

ChannelComponents

Far-End ReceiverComponents

TXP

X19649-082117

UltraScale アーキテクチャ GTY ト ランシーバー 170UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 171: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

PCI Express 用の TX レシーバー検出機能を使用

P1 電力ステートのと き、 GTY ト ランシーバーは、 接続先にレシーバーがあるかど うかを判断するため、 レシーバー

検出機能を実行できます。 図 3-33 に、 PCI Express モードのレシーバー検出動作を示します。

注記: 図 3-33 は、 レシーバーが検出された場合のイベン ト シーケンスを表しており、 正確な縮尺ではあ り ません。

図 3-33 について説明します。

1. TXDETECTRX のアサートでレシーバー検出動作が開始される前に、 GTY ト ランシーバーは [TX/RX]PD = 2'd2

の P1 電力ステートに遷移します。

2. PHYSTATUS = 1'd1 になるまで待機し、 同じ PCLK サイ クルで RXSTATUS を読み出します。 PCI Express の場合、

PCLK は [TX/RX]USRCLK とな り ます。 RXSTATUS = 3'd3 の場合は、 レシーバーがあるこ とを示し、 RXSTATUS

= 3'd0 の場合は、 レシーバーがないこ とを示します。 そして、 TXDETECTRX をディアサート してレシーバー検

出動作を終了します。

RXSTATUS[2:0] 出力 RXUSRCLK2 レシーバー検出で、 PHYSTATUS が High にアサート され

る と、 この信号が読み出されます。 レシーバー検出で

は、 次のエンコードのみが有効です。

000: レシーバーがない

011: レシーバーがある

表 3-38: TX レシーバー検出の属性

属性 タイプ 説明

TX_RXDETECT_CFG 14 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用して ください。

TX_RXDETECT_REF 3 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用して ください。

X-Ref Target - Figure 3-33

図 3-33: PCI Express のレシーバー検出

表 3-37: TX レシーバー検出のポート (続き)

ポート 方向 クロック ド メイン 説明

CLK

[TX/RX]PD

TXDETECTRX

PHYSTATUS

RXSTATUS

2’d2

3’d0 3’d3 3’d0

X19650-081717

UltraScale アーキテクチャ GTY ト ランシーバー 171UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 172: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

TX の OOB 信号

機能の説明

GTY ト ランシーバーは、 SATA (Serial ATA) や SAS (Serial Attach SCSI) 仕様で定義されている OOB (Out-of-Band) シー

ケンスの作成および PCI Express 仕様に準拠するビーコン信号の生成をサポート します。

ポートおよび属性

表 3-39 に、 OOB 信号に関連するポート を示します。

表 3-39: TX OOB 信号のポート

ポート 方向 クロック ド メイン 説明

TXCOMFINISH 出力 TXUSRCLK2 後の SAS または SATA COM ビーコン信号の送

信が完了したこ とを示します。

TXCOMINIT 入力 TXUSRCLK2 SATA/SAS の COMINIT シーケンス送信を開始し

ます。

TXCOMSAS 入力 TXUSRCLK2 SAS の COMSAS シーケンス送信を開始します。

TXCOMWAKE 入力 TXUSRCLK2 SATA/SAS の COMWAKE シーケンス送信を開始

します。

TXPDELECIDLEMODE 入力 非同期 TXELECIDLE および TXPOWERDOWN が同期信

号または非同期信号のいずれで処理されるかを決

定します。

1: 非同期

0: 同期

TXPD[1:0] 入力 TXUSRCLK2

(TXPDELECIDLEMODE

によって非同期になる )

PCI Express エンコードに応じて TX レーンの電源

を切断します。

00: P0 (通常動作)

01: P0s (短いリ カバリ時間の電源切断)

10: P1 (長いリ カバリ時間の電源切断、 受信検

出はオンのまま)

11: P2 (電力が も低い状態)

これらのパワーダウン ステート間の移行時間は

属性 (PD_TRANS_TIME_FROM_P2、

PD_TRANS_TIME_NONE_P2、

PD_TRANS_TIME_TO_P2) で制御できます。

UltraScale アーキテクチャ GTY ト ランシーバー 172UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 173: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 3 章: ト ランスミ ッ ター

表 3-40 に、 OOB 信号に関連する属性を示します。

表 3-40: TX OOB 信号の属性

属性 タイプ 説明

SATA_CPLL_CFG 文字列 SAS/SATA に関連する CPLL 設定のコンフ ィギュレーシ ョ ン

ビッ トです。

VCO_3000MHZ = フル レート モード

VCO_1500MHZ = 1/2 レート モード

VCO_750MHZ = 1/4 レート モード

SATA_BURST_SEQ_LEN[3:0] 4 ビッ ト バイナリ SAS/SATA の COM シーケンスで必要なバース ト数 N+1 です。

こ こで、 N は SATA_BURST_SEQ_LEN の値です。

TX_IDLE_DATA_ZERO 1 ビッ ト バイナリ この属性を有効にする と、 電気的アイ ドル期間中、 PMA に

送信されるデータはすべて 0 とな り ます。 ウ ィザードの推奨

値を使用して ください。

UltraScale アーキテクチャ GTY ト ランシーバー 173UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 174: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章

レシーバー

レシーバー (RX) の概要

機能の説明

この章では、 レシーバーにある各ファンクシ ョ ン ブロ ッ クのコンフ ィギュレーシ ョ ンおよび使用方法について説明

します。 各 GTY ト ランシーバーには、 PCS と PMA で構成される独立したレシーバーが含まれます。 図 4-1 に、

GTY ト ランシーバー RX のブロ ッ ク図を示します。 ボード上のト レースからの高速シ リ アル データは、 GTY ト ラン

シーバー RX の PMA から PCS に入り、 終的にインターコネク ト ロジッ クに送信されます。 RX/TX ク ロ ッ ク分周

器へクロ ッ クを供給するチャネル ク ロ ッキング アーキテクチャの詳細は、 38 ページの図 2-11 を参照してください。

X-Ref Target - Figure 4-1

図 4-1: GTY ト ランシーバー RX のブロック図

SIPO

DFE

RX OOB

RXEQ

RXClock

Dividers

Polarity

PRBSChecker

CommaDetect

andAlign

8B/10BDecoder RX

ElasticBuffer RX Sync

Gearbox

RXInterface

RX StatusControl

RX PIPEControl

From ChannelClocking

Architecture

From TX ParallelData (Near-EndPCS Loopback)

To TX ParallelData (Far-End

PMA Loopback)

To TX Parallel Data (Far-End PCS

Loopback)

RX AsyncGearbox

PCIe RXBuffer

BlockDetectAlign

128B/130B Decoder

From TX SerialData (Near-EndPMA Loopback)

X19653-082217

UltraScale アーキテクチャ GTY ト ランシーバー 174UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 175: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

GTY ト ランシーバー RX は、 次のよ うなエレ メン トで構成されています。

1. 175 ページの 「RX アナログ フロン ト エンド」

2. 181 ページの 「RX の OOB 信号」

3. 188 ページの 「RX イコライザー (DFE および LPM)」

4. 200 ページの 「RX CDR」

5. 205 ページの 「RX のファブリ ッ ク ク ロ ッ ク出力制御」

6. 212 ページの 「RX のマージン解析」

7. 226 ページの 「RX 極性制御」

8. 227 ページの 「RX パターン チェッカー」

9. 229 ページの 「RX バイ トおよびワード アライ メン ト 」

10. 240 ページの 「RX 8B/10B デコーダー」

11. 245 ページの 「RX バッファーのバイパス」

12. 258 ページの 「RX エラスティ ッ ク バッファー」

13. 264 ページの 「RX ク ロ ッ ク コレクシ ョ ン」

14. 272 ページの 「RX チャネル ボンディング」

15. 283 ページの 「RX 同期ギアボッ クス」

16. 302 ページの 「RX インターフェイス」

RX アナログ フロン ト エンド

機能の説明

RX アナログ フロン ト エンド (AFE) は、 高速電流モードの差動入力バッファー (図 4-1 参照) であ り、 次の機能があ

り ます。

• 設定可能な RX 終端電圧

• 調整された終端抵抗

UltraScale アーキテクチャ GTY ト ランシーバー 175UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 176: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

ポートおよび属性

表 4-1 に、 RX AFE のポート を示します。

X-Ref Target - Figure 4-2

図 4-2: RX アナログ フロン ト エンド

表 4-1: RX AFE のポート

ポート 方向 クロック ド メイン 説明

GTYRXN、 GTYRXP 入力

(パッ ド )

RX シ リ アル

ク ロ ッ ク

互いの差動コンポーネン トで差動レシーバー入力ペア

を構成します。 これらのポートはパッ ドを示します。

位置制約を適用して (17 ページの 「インプリ メンテー

シ ョ ン」 参照)、 デザインの 上位に指定する必要があ

り ます。

RXTERMINATION 入力 非同期 UltraScale+ FPGA のみ:

予約。 ウ ィザードの推奨値を使用してください。

+–

+–

UltraScale DeviceBoardACJTAG RX

ACJTAG RX

50Ω

50Ω MGTAVTT Programmable

FLOAT

MGTAVTT

MGTAVTT

~100 nF

~100 nF

RX_CM_SEL[1:0]GTY Transceivers: RX_CM_TRIM[3:0]

X19654-082117

UltraScale アーキテクチャ GTY ト ランシーバー 176UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 177: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

表 4-2 に、 RX AFE の属性を示します。

表 4-2: RX AFE の属性

属性 タイプ 説明

RX_CM_SEL[1:0] 2 ビッ ト バイナリ RX 終端電圧を指定します。

2'b00 – AVTT

2'b01 – 予約

2'b10 – フローティング

2'b11 – プログラマブル

RX_CM_TRIM[3:0] 4 ビッ ト バイナリ プログラマブル モードで同相電圧を指定します。

4’b0000 – 100mV

4’b0001 – 200mV

4’b0010 – 250mV

4’b0011 – 330mV

4’b0100 – 350mV

4’b0101 – 400mV

4’b0110 – 500mV

4’b0111 – 550mV

4’b1000 – 600mV

4’b1001 – 700mV

4’b1010 – 800mV

4’b1011 – 850mV

4’b1100 – 900mV

4’b1101 – 950mV

4’b1110 – 1000mV

4’b1111 – 1100mV

TERM_RCAL_CFG 15 ビッ ト バイナリ ビッ ト [14:0]

内部終端キャ リブレーシ ョ ン回路を制御します。 予約。

ウ ィザードの推奨値を使用してください。

TERM_RCAL_OVRD 3 ビッ ト バイナリ ビッ ト [2:0]:

MGTRREF ピンに接続された 100Ω の外部精密抵抗を使用する

か、 TERM_RCAL_CFG [14:0] で定義された値を使用するかを選

択します。 予約。 ウ ィザードの推奨値を使用してください。

RX_CM_BUF_CFG 4 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RX_CM_BUF_PD 1 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

UltraScale アーキテクチャ GTY ト ランシーバー 177UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 178: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

GTY 使用モード — RX 終端

表 4-3: 使用モード 1 — RX 終端

使用モード 外部 AC カップリング 終端電圧 (mV) 推奨されるプロ ト コルおよび使用法

1 オン 800

属性設定

• RX_CM_SEL[1:0] = 2'b11

• RX_CM_TRIM[3:0] = 4'b1010

X-Ref Target - Figure 4-3

図 4-3: 使用モード 1

+–

~100 nF

50Ω

50Ω

Programmable

~100 nF

UltraScale DeviceBOARD

ACJTAG RX

MGTAVTT

MGTAVTT

GTY: RX_CM_SEL = 2'b11

GTY: RX_CM_TRIM[3:0]varies from 4'b000 - 4'b1111800 mV = 4’b1010

ACJTAG RX

X19655-082117

UltraScale アーキテクチャ GTY ト ランシーバー 178UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 179: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

表 4-4: 使用モード 2 — RX 終端

使用モード 外部 AC カップリング 終端電圧 使用法

2 オフ フローティング

属性設定

• RX_CM_SEL[1:0] = 2'b10

RX 入力同相電圧: 0.7V ~ 0.9V

X-Ref Target - Figure 4-4

図 4-4: 使用モード 2

50Ω FLOAT

50Ω

UltraScale DeviceBOARD

ACJTAG RX

ACJTAG RX

MGTAVTT

MGTAVTT

GTY: RX_CM_SEL = 2'b10

X19656-082117

UltraScale アーキテクチャ GTY ト ランシーバー 179UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 180: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

表 4-5: 使用モード 4 — RX 終端

使用モード 外部 AC カップリング 終端電圧 使用法

4 オフ MGTAVTT

属性設定

• RX_CM_SEL[1:0] = 2'b00

RX 入力同相電圧: 0.7V ~ 0.9V

注記:1. この使用モードは、 Kintex UltraScale デバイスではサポート されていません。

X-Ref Target - Figure 4-5

図 4-5: 使用モード 4

+–50Ω

50Ω

UltraScale DeviceBOARD

ACJTAG RX

ACJTAG RX

MGTAVTT

MGTAVTT

MGTAVTT

GTY: RX_CM_SEL [1:0] = 2'b00

X19657-082117

UltraScale アーキテクチャ GTY ト ランシーバー 180UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 181: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RX の OOB 信号

機能の説明

GTY レシーバーは、SATA (Serial ATA) や SAS (Serial Attach SCSI) 仕様で定義されている OOB (Out-of-Band) シーケンスの

デコードおよび PCI Express 仕様に準拠するビーコン信号の生成をサポート します。 SATA/SAS OOB 信号に対する GTY

ト ランシーバー レシーバーのサポート機能は、OOB 信号ステートのデコードに必要なアナログ回路と SATA/SAS COM

シーケンスの OOB 信号のバース ト データをデコードするためのステート マシンで構成されています。

GTY レシーバーは、 『PHY Interface for the PCI Express (PIPE) Specification』 で定義されているインターフェイス信号

を使用するこ とによ り、 PCI Express 準拠のビーコン信号もサポート します。 ビーコン信号のシーケンスは、 イン

ターコネク ト ロジッ クでデコード されます。

ポートおよび属性

表 4-6 に、 OOB 信号に関連するポート を示します。

表 4-6: RX OOB 信号のポート

ポート 方向 クロック ド メ イン 説明

RXOOBRESET 入力 非同期 予約。 GND に接続します。

RXELECIDLEMODE[1:0] 入力 非同期 RXELECIDLE の動作を制御する入力信号です。

2'b00 = OOB 信号検出回路のステータスを示します。 この設定

は、 OOB を利用する PCIe、 SATA/SAS、 およびプロ ト コル/アプ

リ ケーシ ョ ン向けに使用します。 このよ うな場合、 OOB 回路に

電源を投入しておく必要があ り ます。

2'b11 = 静的な 1'b0 を出力します。 この設定は OOB 以外のプ

ロ ト コルに使用します。

RXELECIDLE 出力 非同期 この出力は OOB 信号検出のステータスを示し、 OOB を使用する

PCIe、 SATA/SAS、 およびプロ ト コル/アプリ ケーシ ョ ンでのみ有

効です。 このよ うな場合、 OOB 回路に電源を投入しておく必要が

あ り ます。 RXELECIDLE のみを使用してデータを検出する場合に

サポート される 大ライン レートは 2.5Gb/s です。

0 = レシーバーでアクティ ビティが検出された

1 = アクティ ビティは検出されない

OOB 以外のプロ ト コルでは、 RXELECIDLEMODE[1:0] を 2’b11

に設定する必要があ り ます。 RXELECIDLE は静的な 1’b0 を出力

します。 この場合は、 信号検出のステータスは示されません。

RXCOMINITDET 出力 RXUSRCLK2 SATA/SAS の COMINIT シーケンス受信を開始します。

RXCOMSASDET 出力 RXUSRCLK2 SAS の COMSAS シーケンス受信を開始します。

RXCOMWAKEDET 出力 RXUSRCLK2 SATA/SAS の COMWAKE シーケンス受信を開始します。

UltraScale アーキテクチャ GTY ト ランシーバー 181UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 182: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

表 4-7 に、 OOB 信号に関連する属性を示します。

TXSYSCLKSEL 入力 非同期 このポート を用いて RX の基準クロ ッ クをチャネル PLL または共

通 PLL から選択します。

2'b00 = CPLLREFCLK

2'b10 = QPLLREFCLK0

2'b11 = QPLLREFCLK1

RXCDRHOLD 入力 非同期 SATA プロ ト コルの場合のみ:

電気的アイ ドル初期化状態の間、 RXCDRHOLD を 1'b1 に設定し

て CDR が RX でノ イズを拾わないよ うにする必要があ り ます。

詳細は、 186 ページの 「SATA の場合のリセッ トおよび CDR の設

定」 を参照してください。

RXCDROVRDEN 入力 非同期 SATA プロ ト コルの場合のみ:

電気的アイ ドル初期化状態の間、 RXCDROVRDEN を 1'b0 に設定

して CDR が RX でノ イズを拾わないよ うにする必要があ り ます。

詳細は、 186 ページの 「SATA の場合のリセッ トおよび CDR の設

定」 を参照してください。

表 4-6: RX OOB 信号のポート (続き)

ポート 方向 クロック ド メ イン 説明

表 4-7: RX OOB 信号の属性

属性 タイプ 説明

OOB_PWRUP 1 ビッ ト バイナリ OOB の電源投入。 OOB 回路の未使用時に回路への電源を切断するオプ

シ ョ ンもあ り ます。

1'b0 = 回路の電源を切断する

1'b1 = 回路の電源を投入する (OOB を使用する PCIe、 SATA/SAS、

プロ ト コル/アプリ ケーシ ョ ン)

OOBDIVCTL[1:0] 2 ビッ ト バイナリ OOB ク ロ ッ クの分周を制御します。

11 = 8

10 = 4

01 = 2

00 = 1 (No Change)

RXELECIDLE_CFG[2:0] 3 ビッ ト バイナリ 予約。 ウ ィザードからのデフォルト値を使用して ください。

RXOOB_CLK_CFG 1 ビッ ト バイナリ 1'b0 = sysclk を選択する

1'b1 = ポート sigvalidclk を選択する

RXOOB_CFG[8:0] 9 ビッ ト バイナリ OOB ブロ ッ クのコンフ ィギュレーシ ョ ンを示します。 ウ ィザードからの

デフォルト値を使用して ください。

SATA_BURST_VAL[2:0] 3 ビッ ト バイナリ SAS/SATA の COM の一致を宣言するために必要なバース ト数です。

デフォルト値は 3'b100 です。

SATA_EIDLE_VAL[2:0] 3 ビッ ト バイナリ SAS/SATA の COM の一致を宣言するために必要なアイ ドル数です。

デフォルト値は 3'b100 です。

UltraScale アーキテクチャ GTY ト ランシーバー 182UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 183: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

GTY 使用モード

OOB を使用するには、 次の RX 終端の条件を適用する必要があ り ます。

• AC カップリ ングの場合: 終端電圧は 800mV 以上にする

• DC カップリ ングの場合: 終端電圧は 900mV 以上にする

図 4-6 に、 OOB ク ロ ッキング回路の構造を示します。 sysclk ソースを制御するポートは TXSYSCLKSEL です。 この

ポート を 1'b0 に設定する とチャネル PLL からの基準クロ ッ クを選択し、1'b1 に設定する と共通 PLL からの基準ク

ロ ッ クを選択します。

UltraScale FPGA のみ

SAS_MIN_COM 整数 1-63。 SAS/SATA の COM FSM に対するバース ト範囲の下限値です。

デフォルト値は 36 です。

SATA_MIN_INIT 整数 1-63。 SAS の COMSAS シーケンス中におけるアイ ドル カウン トの下限値

です。 デフォルト値は 12 です。

SATA_MIN_WAKE 整数 1-63。SAS/SATA の COMINIT/COMRESET シーケンス中におけるアイ ドル

カウン トの下限値です。 デフォルト値は 4 です。

SATA_MAX_BURST 整数 1-63。 SAS/SATA の COM FSM に対するバース ト範囲の上限値です。

デフォルト値は 8 です。

SATA_MIN_BURST 整数 1-61。 SAS/SATA の COM FSM に対するバース ト範囲の下限値です。

デフォルト値は 8 です。

SAS_MAX_COM 整数 1-127。 SAS の COMSAS シーケンス中におけるアイ ドル カウン トの上限

値です。 デフォルト値は 64 です。

SATA_MAX_INIT 整数 1-63。SAS/SATA の COMINIT/COMRESET シーケンス中におけるアイ ドル

カウン トの上限値です。 デフォルト値は 21 です。

SATA_MAX_WAKE 整数 1-63。 SAS/SATA の COMWAKE シーケンス中におけるアイ ドル カウン ト

の上限値です。 デフォルト値は 7 です。

表 4-7: RX OOB 信号の属性 (続き)

属性 タイプ 説明

X-Ref Target - Figure 4-6

図 4-6: OOB 検出回路のクロッキング方法

/N OOB Block

Ref Clock Sourcefrom CPLL

Ref Clock SourceFrom QPLL

TXSYSCLKSEL

OOBDIVCTL[1:0]

SIGVALIDCLK

RXOOB_CLK_CFG

Attribute

Attribute

0

1

0

1

Port

Port

X19658-082117

UltraScale アーキテクチャ GTY ト ランシーバー 183UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 184: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

OOB および電気的アイドル使用モード

2.5Gb/s 以下のライン レートで動作する OOB については、 図 4-7 のフローチャート を参照して OOB ク ロ ッ クの周波

数 f を決定します。

OOB を正常に動作させるために、 式 4-1 の要件を満たす必要があ り ます。

式 4-1

2.5Gb/s よ り も高いライン レートで動作する OOB は高度な機能です。 PCIe のよ うな特定プロ ト コルの高ライン レー

トでの動作を次で説明しています。

X-Ref Target - Figure 4-7

図 4-7: 2.5Gb/s 以下のライン レート を用いるプロ ト コルのフローチャート

表 4-8: 2.5Gb/s よりも高いレートで動作する OOB のガイドライン

プロ ト コル 動作

PCIe Gen1/Gen2 電気的アイ ドル状態へ遷移する場合および電気的アイ ドル状態から遷移する場合のフロー

チャートは、 図 4-8 を参照して ください。

PCIe Gen3 電気的アイ ドル状態へ遷移する場合および電気的アイ ドル状態から遷移する場合のフロー

チャートは、 図 4-9 および図 4-10 を参照してください。

For Line Rate ≤ 2.5 Gb/s

f ≤ Line Rate / (3 x Runlength)

Is RXELECIDLEAsserted?

RX is in Electrical Idle

RX is Not in Electrical Idle

Yes

No

X19731-082217

F ライン レート 3 Runlength×( )⁄≤

UltraScale アーキテクチャ GTY ト ランシーバー 184UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 185: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

X-Ref Target - Figure 4-8

図 4-8: PCIe Gen1/Gen2 において RX が電気的アイドル状態に/から遷移する場合のフローチャート

X-Ref Target - Figure 4-9

図 4-9: PCIe Gen3 において RX が電気的アイドル状態に遷移する場合のフローチャート

PCIe Gen1/Gen2

RX is Not in Electrical Idle

Is RXELECIDLEAsserted?

RX is in Electrical Idle

Yes No

X19732-082217

PCIe Gen3

Is RXELECIDLEAsserted?

RX is in Electrical Idle

Yes

No

Is EIOSDetected?

Yes

No

X19733-082217

UltraScale アーキテクチャ GTY ト ランシーバー 185UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 186: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

SATA の場合のリセッ トおよび CDR の設定

SATA プロ ト コルでは、 電気的アイ ドル状態でデバイスを初期化する必要があ り ます。 この状態では RX にデータが

駆動されず、 CDR が RX ト レースでノ イズを拾う可能性があ り ます。 したがって、 RXELECIDLE = 1'b1 の間に

CDR がノ イズを拾わないよ うに、 この期間中 RXCDRHOLD は 1'b1 に設定されている必要があ り ます。

SATA プロ ト コルには、 レート変更中に完了しなければならないリセッ トのタイ ミ ング要件もあ り ます。 この要件を

満たすには、 RXPMARESET を使用して ト ランシーバーを リセッ トする必要があ り ます。 詳細は、 図 4-11 のタイ ミ

ング図を参照してください。

X-Ref Target - Figure 4-10

図 4-10: PCIe Gen3 において RX が電気的アイドル状態から遷移する場合のフローチャート

PCIe Gen3

Valid EIEOS?

RX is Out of Electrical Idle

Yes

No

Is RXELECIDLEDeasserted?

Yes

No

X19734-082217

UltraScale アーキテクチャ GTY ト ランシーバー 186UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 187: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

図 4-11 について説明します。

1. OOB シーケンスの間、 RXCDRHOLD を 1'b1 に維持します。

2. RXCDRHOLD のディアサート後のレート変更には、 RXPMARESET を使用する必要があ り ます。

3. RXPMARESET の開始後、 少なく と も 40us の間 RXUSRRDY を保持する必要があ り ます。

4. RXPMARESET の後に RXRESETDONE がアサート され、 ト ランシーバーのリセッ トが完了したこ とを通知します。

上記の手順は、 この手順と同じ方法で RXELECIDLE および RXOOB を利用するプロ ト コルにも使用できます。

RXPMARESET を使用する リセッ ト シーケンスは、 SAS などの、 レート変更のタイ ミ ング要件が厳しいプロ ト コル

でも利用できます。

X-Ref Target - Figure 4-11

図 4-11: CDR 設定およびレート変更のリセッ ト シーケンス

Configuration DONE

CPLLPD

CPLLLOCK

GTRXRESET

RXELECIDLE

RXCDRHOLD

RXPMARESET

RXUSRRDY

Hold RXCDRHOLD during OOB Sequence

RXRESETDONE

Minimum of 40 μs

X19730-082217

UltraScale アーキテクチャ GTY ト ランシーバー 187UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 188: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RX イコライザー (DFE および LPM)

機能の説明

シ リアル リ ンクの BER (ビッ ト エラー率) 性能は、 ト ランス ミ ッ ター、 伝送媒体、 およびレシーバーの機能そのもの

です。伝送媒体またはチャネルの帯域幅は制限されているため、これを通って進む信号には劣化や歪みが発生します。

消費電力と性能間のシステム レベルのト レードオフに応じて GTY レシーバーに利用可能な 2 つの種類の適応フィル

ターがあり ます。 チャネルの損失を低減するよ うに消費電力を 適化するため、 GTY レシーバーには低消費電力モー

ド (LPM) という電力効率に優れたアダプティブ モードがあ り ます (図 4-12 参照)。 損失の大きいチャネルにイコライ

ゼーシ ョ ンを適用する場合は、 DFE モードを利用できます。 GTY ト ランシーバーは、 図 4-13 を参照してください。

DFE は、 リニア イコライザーを使用した場合よ り も、 フ ィルター パラ メーターの近似調整が可能なため、 転送チャ

ネルの損失をさらに補正できます。 ただし、 DFE では転送ビッ トのプリ カーソルを除去できず、 ポス ト カーソルの

調整にのみ対応しています。 リニア イコラ イザーはプリ カーソルおよびポス ト カーソルのゲインに対応可能です。

GTY RX の DFE モードは離散時間に対応するハイパス (広域) フ ィルターです。 DFE のタ ップ値は、 適応アルゴ リズ

ムで設定されるこのフ ィルターの係数です。

X-Ref Target - Figure 4-12

図 4-12: LPM モード

SIPO

LPMAdaptationController

FixedGainAGC

KHData to PCS

KL,KH

RX Data Path: LPM Mode

Term

inat

ion

p

n

Linear EQ

KL

X19659-082217

UltraScale アーキテクチャ GTY ト ランシーバー 188UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 189: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

X-Ref Target - Figure 4-13

図 4-13: GTY DFE モード

DFEKL SIPO

+

H2

+ UT

H3

H4

H5

Data to PCS

RX Data Path: GTY DFE Mode

MMSEAdaptionController

Term

inat

ion

p

n

DFEKL, AGC, UT, H2-HB

VP

LinearEQ

H6

H7

H8

H9

HA

HB

AGC

HC

HD

HE

HF

X19660-091117

UltraScale アーキテクチャ GTY ト ランシーバー 189UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 190: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

ポートおよび属性

表 4-9 に、 RX イコライザーのポート を示します。

表 4-9: RX イコライザーのポート

ポート 方向 クロック ド メイン 説明

RXLPMEN 入力 非同期 RX データパス

0: DFE

1: LPM

RXDFELPMRESET 入力 非同期 LPM および DFE データパスを リセッ ト しま

す。 適応 (値) を初期化するため、 モードを切

り替えた後にト グルする必要あ り ます。

{RXOSHOLD, RXOSOVRDEN} 入力 非同期 {HOLD,OVRDEN} DFE

2'b00: OS のオフセッ ト キャンセレーシ ョ

ン ループを適応

2'b10: 現在の適応値を固定

2'bx1: XDFE_OS_CFG1[7:1] 属性に応じて

OS 値を上書き

ウ ィザードの推奨値を使用してください。

{RXLPMLFHOLD, RXLPMLFKLOVRDEN} 入力 非同期 {HOLD,OVRDEN} RX LPM

2'b00: KL の周波数低減ループを適応

2'b10: 現在の適応値を固定

2'bx1: RXDFELPM_KL_CFG0[15:9] 属性に

応じて KL 値を上書き

ウ ィザードの推奨値を使用してください。

{RXLPMHFHOLD, RXLPMHFOVRDEN} 入力 非同期 {HOLD,OVRDEN} RX LPM

2'b00: KH の周波数増加ループを適応

2'b10: 現在の適応値を固定

2'bx1: RXLPM_KH_CFG0[15:9] 属性に応じ

て KH 値を上書き

ウ ィザードの推奨値を使用してください。

{RXDFEAGCHOLD, RXDFEAGCOVRDEN} 入力 非同期 {HOLD,OVRDEN} RX DFE

2'b00: 自動ゲイン制御 (AGC) ループを適応

2'b10: 現在の AGC 適応値を固定

2'bx1: RXDFE_GC_CFG2[4:0] 属性に応じ

て AGC 値を上書き

ウ ィザードの推奨値を使用してください。

{RXDFELFHOLD, RXDFELFOVRDEN} 入力 非同期 {HOLD,OVRDEN} RX DFE

2'b00: KL の周波数低減ループを適応

2'b10: 現在の KL 適応値を固定

2'bx1: RXDFELPM_KL_CFG0[15:9] 属性に

応じて KL 値を上書き

ウ ィザードの推奨値を使用してください。

UltraScale アーキテクチャ GTY ト ランシーバー 190UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 191: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

{RXDFEUTHOLD, RXDFEUTOVRDEN} 入力 非同期 {HOLD,OVRDEN} RX DFE

2'b00: UT の展開されていないしきい値

ループを適応

2'b10: 現在の UT 適応値を固定

2'bx1: RXDFE_UT_CFG0[15:9] 属性に応じ

て UT 値を上書き

ウ ィザードの推奨値を使用してください。

{RXDFEVPHOLD, RXDFEVPOVRDEN} 入力 非同期 {HOLD,OVRDEN} RX DFE

2'b00: VP 電圧ピーク ループを適応

2'b10: 現在の VP 適応値を固定

2'bx1: RXDFE_VP_CFG0[15:9] 属性に応じ

て VP 値を上書き

ウ ィザードの推奨値を使用してください。

{RXDFETAP2HOLD, RXDFETAP2OVRDEN} 入力 非同期 {HOLD,OVRDEN} RX DFE

2'b00: TAP2 ループを適応

2'b10: 現在の TAP2 適応値を固定

2'bx1: RXDFE_H2_CFG0[14:9] 属性に応じ

て TAP2 値を上書き

ウ ィザードの推奨値を使用してください。

{RXDFETAP3HOLD, RXDFETAP3OVRDEN} 入力 非同期 {HOLD,OVRDEN} RX DFE

2'b00: TAP3 ループを適応

2'b10: 現在の TAP3 適応値を固定

2'bx1: RXDFE_H3_CFG0[14:9] 属性に応じ

て TAP3 値を上書き

ウ ィザードの推奨値を使用してください。

{RXDFETAP4HOLD, RXDFETAP4OVRDEN} 入力 非同期 {HOLD,OVRDEN} RX DFE

2'b00: TAP4 ループを適応

2'b10: 現在の TAP4 適応値を固定

2'bx1: RXDFE_H4_CFG0[13:9] 属性に応じ

て TAP4 値を上書き

ウ ィザードの推奨値を使用してください。

{RXDFETAP5HOLD, RXDFETAP5OVRDEN} 入力 非同期 {HOLD,OVRDEN} RX DFE

2'b00: TAP5 ループを適応

2'b10: 現在の TAP5 適応値を固定

2'bx1: RXDFE_H5_CFG0[13:9] 属性に応じ

て TAP5 値を上書き

ウ ィザードの推奨値を使用してください。

RXMONITORSEL[1:0] 入力 非同期 予約。 ウ ィザードの推奨値を使用してください。

RXMONITOROUT[6:0] 出力 非同期 UltraScale FPGA のみ:

予約。

表 4-9: RX イコライザーのポート (続き)

ポート 方向 クロック ド メイン 説明

UltraScale アーキテクチャ GTY ト ランシーバー 191UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 192: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RXMONITOROUT[7:0] 出力 非同期 UltraScale+ FPGA のみ:

予約。

{RXDFETAP6HOLD, RXDFETAP6OVRDEN} 入力 非同期 {HOLD,OVRDEN} RX DFE

2'b00: TAP6 ループを適応

2'b10: 現在の TAP6 適応値を固定

2'bx1: 予約済み属性

RXDFE_H6_CFG0[13:9] に応じて TAP6 値を

上書き

ウ ィザードの推奨値を使用してください。

{RXDFETAP7HOLD, RXDFETAP7OVRDEN} 入力 非同期 {HOLD,OVRDEN} RX DFE

2'b00: TAP7 ループを適応

2'b10: 現在値を固定

2'bx1: 予約済み属性

RXDFE_H7_CFG0[13:9] に応じて TAP7 値を

上書き

ウ ィザードの推奨値を使用してください。

{RXDFETAP8HOLD, RXDFETAP8OVRDEN} 入力 非同期 {HOLD,OVRDEN} RX DFE

2'b00: TAP8 ループを適応

2'b10: 現在値を固定

2'bx1: 予約済み属性

RXDFE_H8_CFG0[13:9] に応じて TAP8 値を

上書き

ウ ィザードの推奨値を使用してください。

{RXDFETAP9HOLD, RXDFETAP9OVRDEN} 入力 非同期 {HOLD,OVRDEN} RX DFE

2'b00: TAP9 ループを適応

2'b10: 現在値を固定

2'bx1: 予約済み属性

RXDFE_H9_CFG0[13:9] に応じて TAP9 値を

上書き

ウ ィザードの推奨値を使用してください。

{RXDFETAP10HOLD, RXDFETAP10OVRDEN} 入力 非同期 {HOLD,OVRDEN} RX DFE

2'b00: TAP10 ループを適応

2'b10: 現在値を固定

2'bx1: 予約済み属性

RXDFE_HA_CFG0[13:9] に応じて TAP10 値

を上書き

ウ ィザードの推奨値を使用してください。

表 4-9: RX イコライザーのポート (続き)

ポート 方向 クロック ド メイン 説明

UltraScale アーキテクチャ GTY ト ランシーバー 192UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 193: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

{RXDFETAP11HOLD, RXDFETAP11OVRDEN} 入力 非同期 {HOLD,OVRDEN} RX DFE

2'b00: TAP11 ループを適応

2'b10: 現在値を固定

2'bx1: 予約済み属性

RXDFE_HB_CFG0[13:9] に応じて TAP11 値

を上書き

ウ ィザードの推奨値を使用してください。

{RXDFETAP12HOLD, RXDFETAP12OVRDEN} 入力 非同期 {HOLD,OVRDEN} RX DFE

2'b00: TAP12 ループを適応

2'b10: 現在値を固定

2'bx1: 予約済み属性

RXDFE_HC_CFG0[13:9] に応じて TAP12 値

を上書き

ウ ィザードの推奨値を使用してください。

{RXDFETAP13HOLD, RXDFETAP13OVRDEN} 入力 非同期 {HOLD,OVRDEN} RX DFE

2'b00: TAP13 ループを適応

2'b10: 現在値を固定

2'bx1: 予約済み属性

RXDFE_HD_CFG0[13:9] に応じて TAP13 値

を上書き

ウ ィザードの推奨値を使用してください。

{RXDFETAP14HOLD, RXDFETAP14OVRDEN} 入力 非同期 {HOLD,OVRDEN} RX DFE

2'b00: TAP14 ループを適応

2'b10: 現在値を固定

2'bx1: 予約済み属性

RXDFE_HE_CFG0[13:9] に応じて TAP14 値

を上書き

ウ ィザードの推奨値を使用してください。

{RXDFETAP15HOLD, RXDFETAP15OVRDEN} 入力 非同期 {HOLD,OVRDEN} RX DFE

2'b00: TAP15 ループを適応

2'b10: 現在値を固定

2'bx1: 予約済み属性

RXDFE_HF_CFG0[13:9] に応じて TAP15 値

を上書き

ウ ィザードの推奨値を使用してください。

RXDFEAGCCTRL[1:0] 入力 非同期 UltraScale FPGA のみ:

RX DFE: 予約。 ウ ィザードの推奨値を使用し

てください。

表 4-9: RX イコライザーのポート (続き)

ポート 方向 クロック ド メイン 説明

UltraScale アーキテクチャ GTY ト ランシーバー 193UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 194: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RXOSINTEN 入力 非同期 UltraScale FPGA のみ:

RX LPM および DFE: 予約。 ウ ィザードの推奨

値を使用してください。

RXAFECFOKEN 入力 非同期 UltraScale+ FPGA のみ:

予約。 ウ ィザードの推奨値を使用してください。

RXOSINTCFG[3:0] 入力 非同期 UltraScale FPGA のみ:

RX LPM および DFE: 予約。 ウ ィザードの推奨

値を使用してください。

RXOSINTOVRDEN 入力 非同期 UltraScale FPGA のみ:

RX LPM および DFE: 予約。 ウ ィザードの推奨

値を使用してください。

RXOSINTSTROBE 入力 非同期 UltraScale FPGA のみ:

RX LPM および DFE: 予約。 ウ ィザードの推奨

値を使用してください。

RXOSINTSTROBESTARTED 入力 非同期 RX LPM および DFE: 予約。 ウ ィザードの推奨

値を使用してください。

{RXOSINTHOLD,RXOSINTTESTOVRDEN} 入力 非同期 UltraScale FPGA のみ:

{HOLD,OVRDEN}

2'b00: 予約。 ウ ィザードの推奨値を使用し

て ください。

2'b10: 予約。 ウ ィザードの推奨値を使用し

て ください。

2'bx1: 予約。 ウ ィザードの推奨値を使用し

て ください。

RXDFEVSEN 入力 非同期 UltraScale FPGA のみ:

予約。 ウ ィザードの推奨値を使用してください。

RXDFEXYDEN 入力 非同期 予約。 ウ ィザードの推奨値を使用してください。

RXOSINTDONE 出力 非同期 予約。

RXOSINTSTARTED 出力 非同期 予約。

RXOSINTSTROBEDONE 出力 非同期 予約。

{RXLPMOSHOLD, RXLPMOSOVRDEN} 入力 非同期 {HOLD, OVRDEN} RX LPM

2'b00: OS のオフセッ ト キャンセレーシ ョ

ン ループを適応

2'b10: 現在の適応値を固定

2'bx1: RXLPM_OS_CFG1[6:0] 属性に応じ

て OS 値を上書き。 ウ ィザードの推奨値を

使用してください。

表 4-9: RX イコライザーのポート (続き)

ポート 方向 クロック ド メイン 説明

UltraScale アーキテクチャ GTY ト ランシーバー 194UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 195: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

表 4-10 に、 RX イコライザーの属性を示します。

{RXLPMGCHOLD, RXLPMGCOVRDEN} 入力 非同期 {HOLD, OVRDEN} RX LPM

2'b00: ゲイン制御ループを適応

2'b10: 現在の適応値を固定

2'bx1: RXLPM_GC_CFG[12:8] 属性に応じ

て GC 値を上書き。 ウ ィザードの推奨値を

使用してください。

UltraScale+ FPGA のみ

FREQOS 入力 非同期 予約。 ウ ィザードの推奨値を使用してください。

RXDFECFOKFCNUM[3:0] 入力 非同期 予約。 ウ ィザードの推奨値を使用してください。

RXDFECFOKFEN 入力 非同期 予約。 ウ ィザードの推奨値を使用してください。

RXDFECFOKFPULSE 入力 非同期 予約。 ウ ィザードの推奨値を使用してください。

{RXDFECFOKHOLD, RXDFECFOKOVREN} 入力 非同期 {HOLD,OVRDEN} RX DFE

2'b00: CFOK を適応

2'b10: 現在の CFOK 適応値を固定

2'bx1: RXCFOK_CFG1[15:10] 属性に応じて

KL 値を上書き。 ウ ィザードの推奨値を使用

してください。

{RXDFEKHHOLD, RXDFEKHOVRDEN} 入力 非同期 {HOLD,OVRDEN} RX DFE

2'b00: KH の周波数増加ループを適応

2'b10: 現在の KH 適応値を固定

2'bx1: RXDFE_KH_CFG1[15:9] 属性に応じ

て KH 値を上書き。 ウ ィザードの推奨値を

使用してください。

表 4-9: RX イコライザーのポート (続き)

ポート 方向 クロック ド メイン 説明

表 4-10: RX イコライザーの属性

属性 タイプ 説明

RX_AFE_CM_EN 1 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RX_BIAS_CFG0 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RX_CTLE3_LPF 8 ビッ ト バイナリ UltraScale FPGA のみ:

予約。 ウ ィザードの推奨値を使用してください。

RX_EN_HI_LR 1 ビッ ト バイナリ UltraScale FPGA のみ:

予約。 ウ ィザードの推奨値を使用してください。

RX_SUM_DFETAPREP_EN 1 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RX_SUM_IREF_TUNE 4 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RX_SUM_RES_CTRL 2 ビッ ト バイナリ UltraScale FPGA のみ:

予約。 ウ ィザードの推奨値を使用してください。

RX_SUM_VCMTUNE 4 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

UltraScale アーキテクチャ GTY ト ランシーバー 195UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 196: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RX_SUM_VCM_OVWR 1 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RX_SUM_VREF_TUNE 3 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RX_TUNE_AFE_OS 2 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXLPM_OS_CFG0[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXLPM_OS_CFG1[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_OS_CFG0[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_OS_CFG1[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFELPM_KL_CFG0[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFELPM_KL_CFG1[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFELPM_KL_CFG2[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXLPM_KH_CFG0[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXLPM_KH_CFG01[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_H2_CFG0[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_H2_CFG1[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_H3_CFG0[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_H3_CFG1[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_H4_CFG0[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_H4_CFG1[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_H5_CFG0[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_H5_CFG1[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_H6_CFG0[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_H6_CFG1[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_H7_CFG0[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_H7_CFG1[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_H8_CFG0[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_H8_CFG1[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_H9_CFG0[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_H9_CFG1[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_HA_CFG0[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_HA_CFG1[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_HB_CFG0[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_HB_CFG1[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_HC_CFG0[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_HC_CFG1[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_HD_CFG0[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_HD_CFG1[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

表 4-10: RX イコライザーの属性 (続き)

属性 タイプ 説明

UltraScale アーキテクチャ GTY ト ランシーバー 196UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 197: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RXDFE_HE_CFG0[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_HE_CFG1[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_HF_CFG0[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_HF_CFG1[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_UT_CFG0[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_UT_CFG1[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_VP_CFG0[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_VP_CFG1[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RX_DFE_LPM_HOLD_DURING_EIDLE 1 ビッ ト バイナリ 1'b0: デフォルト設定。

1'b1: PCI Express 動作で、電気的アイ ドル状態の終了後

に内部レジスタから DFE のコンテンツを回復する。

電気的アイ ドルの条件が検出される と、 DFE 回路を リ

セッ ト状態に保持します。

注記: 信号減衰が大きいチャネル (ナイキス ト周波数で

通常 15dB を超えるチャネル損失) では、 CJPAT/CJTPAT

の 101010 シーケンスのよ うに高速変化するデータ パ

ターンは電気的アイ ドルを ト リガーする可能性がある

ため、 RX_DFE_LPM_HOLD_DURING_EIDLE を 1'b0

に設定するこ とを推奨します。

RX_DFELPM_KLKH_AGC_STUP_EN 1 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RX_DFELPM_CFG0[3:0] 4 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RX_DFELPM_CFG1 1 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RX_DFE_KL_LPM_KH_CFG0[1:0] 2 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RX_DFE_KL_LPM_KH_CFG1[2:0] 3 ビッ ト バイナリ 予約。 この属性は、 DFE モードおよび LPM モードで共

有されます。 ウ ィザードの推奨値を使用してください。

RX_DFE_KL_LPM_KH_CFG2[3:0] 4 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RX_DFE_KL_LPM_KL_CFG0[1:0] 2 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RX_DFE_KL_LPM_KL_CFG1[2:0] UltraScale FPGA:

3 ビッ ト バイナリ

UltraScale+ FPGA:

4 ビッ ト バイナリ

予約。 この属性は、 DFE モードおよび LPM モードで共

有されます。 ウ ィザードの推奨値を使用してください。

RX_DFE_AGC_CFG0[1:0] 2 ビッ ト バイナリ UltraScale FPGA のみ:

予約。 ウ ィザードの推奨値を使用してください。

RX_DFE_AGC_CFG1[2:0] 3 ビッ ト バイナリ 予約。 この属性は、 DFE モードおよび LPM モードで共

有されます。 ウ ィザードの推奨値を使用してください。

ADAPT_CFG0[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

ADAPT_CFG1[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RX_BIAS_CFG[15:0] 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

表 4-10: RX イコライザーの属性 (続き)

属性 タイプ 説明

UltraScale アーキテクチャ GTY ト ランシーバー 197UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 198: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

DFE_D_X_REL_POS 1 ビッ ト バイナリ UltraScale FPGA のみ:

予約。 ウ ィザードの推奨値を使用してください。

DFE_VCM_COMP_EN 1 ビッ ト バイナリ UltraScale FPGA のみ:

予約。 ウ ィザードの推奨値を使用してください。

RXCFOK_CFG0 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXCFOK_CFG1 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXCFOK_CFG2 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_CFG0 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_CFG1 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_GC_CFG0 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_GC_CFG1 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_GC_CFG2 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

UltraScale+ FPGA のみ

ADAPT_CFG2 16 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

CTLE3_OCAP_EXT_CTRL 3 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

CTLE3_OCAP_EXT_EN 1 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_KH_CFG0 16 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_KH_CFG1 16 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_KH_CFG2 16 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_KH_CFG3 16 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

RXDFE_UT_CFG2 16 ビッ トの 16 進数 予約。 ウ ィザードの推奨値を使用してください。

RX_EN_CTLE_RCAL_B 1 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

表 4-10: RX イコライザーの属性 (続き)

属性 タイプ 説明

UltraScale アーキテクチャ GTY ト ランシーバー 198UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 199: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

GTY 使用モード

LPM モードまたは DFE モードを選択する

LPM 使用モード

LPM モードは、 ナイキス ト周波数でチャネル損失が 14dB 以下の、 信号の到達距離が短く、 低反射チャネルのアプ

リ ケーシ ョ ンに使用するこ とを推奨します。 LPM は、 ランダムではないデータ パターンを使用するアプリ ケーシ ョ

ンに適しています。 図 4-12 に示すよ うに、 LPM モードでは AGC が固定されています。 一般に、 低損失のチャネル (

ナイキス ト周波数で 14dB 未満) では、 TX エンファシスを使用せず、 RX 適応でリ ンクのすべてのイコライゼーシ ョ

ンを処理するこ とを推奨します。

LPM モードは、 データのスク ランブルを使用せずに適切な適応処理が可能です。 LPM モードの 8B/10B アプリ ケー

シ ョ ンでは、 データのスク ランブルあ り またはなしの繰り返しパターン (アイ ドル パターン) は、 適応実行中に利用

できます。

DEF 使用モード

DFE モードは、 高反射チャネルで、 ナイキス ト周波数でチャネル損失が 8dB 以上と高く、 信号の到達距離が中長距

離のアプリ ケーシ ョ ンに使用するこ とを推奨します。 DFE モードの使用は、 ノ イズやクロス トークを増加させるこ

とな くチャネルにイコライゼーシ ョ ンを適用させるのに適しています。 したがって、 DFE モードの使用は、 クロス

トークが問題となっている場合やシングル ビッ ト応答の解析で反射が確認された場合に 適です。 DEF を使用する

必要のある一部の低損失のアプリ ケーシ ョ ンでは、 AGC が固定されています。 デフォルトのウ ィザード設定を使用

してください。

DFE モードについては、 8B/10B アプリ ケーシ ョ ンまたはデータのスク ランブルが適用されていない場合に使用する

際は注意が必要です。 データに適切に対応できるよ うに、 DFE モードでの自動適応には、 入力データがランダムで

ある必要があ り ます。 たとえば、 XAUI アプリ ケーシ ョ ンでは、 ユーザー ペイロード データはスク ランブルされて

おらず、 8B/10B エンコード されています。 ユーザー ペイロードは一般にランダムとな り ますが、 データの周波数成

分は本質的にエンコードによって制限されており、 繰り返しパターンが発生しないよ うにプロ ト コルで規定されて

いません。 これらの繰り返しパターンが原因で、 自動適応アルゴ リズムが理想的なイコライゼーシ ョ ンの設定から

かけ離れたものになる可能性があ り ます。 PRBS7 (または高次多項式) に類似した特性を持つパターンは十分にラン

ダムであるため、 自動適応は正しいイコライゼーシ ョ ンの設定を適切に選択できます。

GTY ト ランシーバー : 実行時の LPM および DFE モードの切り替え

マルチレート アプリ ケーシ ョ ンでは、 LPM と DFE の切り替えが要求される可能性があ り ます。 LPM モード と DFE

モードを切り替えるには、 次の手順を実行します。

1. DFE から LPM に切り替えるには、 RXLPMEN = 1 に設定します。

2. 一部の属性は、 データ レート と挿入損失によ り変わり ます。 そのため、 同じデータ レートの DFE および LPM

ラ ッパーを生成し、 すべての属性を比較して違いを確認した後、 2 つのモード間で切り替える と きにそれぞれの

値を書き込むこ とを推奨します。

3. RXPMARESET をパルスしてレシーバーの PMA を リセッ ト します。

RXPMARESET の詳細は、 64 ページの 「RX の初期化およびリセッ ト 」 を参照して ください。

UltraScale アーキテクチャ GTY ト ランシーバー 199UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 200: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RX CDR

機能の説明

各 GTYE3/4_CHANNEL ト ランシーバーの RX にあるクロ ッ ク データ リ カバリ (CDR) 回路は、 入力データ ス ト リー

ムから リ カバリ ク ロ ッ ク と リ カバリ データを抽出します。 図 4-14 に、 CDR ブロッ クのアーキテクチャの詳細を示

します。 わかりやすいよ うにクロ ッ ク パスを破線で示しています。

GTYE3/4_CHANNEL ト ランシーバーでは、 位相ローテーターを搭載した CDR アーキテクチャを採用しています。

入力されたデータは、 初にレシーバーのイコライゼーシ ョ ン ステージを通過します。 平均化されたデータは、

エッジ サンプラーおよびデータ サンプラーでキャプチャされます。 データ サンプラーでキャプチャされたデータ

は、 CDR ステート マシンを通過してダウンス ト リームの ト ランシーバー ブロ ッ クへ転送されます。

CDR ステート マシンは、 エッジ サンプラーとデータ サンプラーの両方のデータを使用して入力データ ス ト リーム

の位相を判断し、 位相補間 (PI) を制御します。 エッジ サンプラーの位相はデータ ス ト リームが変更する部分に位置

し、 データ サンプラーの位相はデータ アイの中央に位置します。

X-Ref Target - Figure 4-14

図 4-14: CDR の詳細図

Linear EQ DFE EdgeSampler CDR FSM

DataSampler

PI(X)

PI(D)

PLL

DEMUX

DEMUX RX DATA

Recovered Clock

RXP/N

X19661-081717

X-Ref Target - Figure 4-15

図 4-15: CDR サンプラーの位置

E0 E1 E2

D0 D1X19662-081717

UltraScale アーキテクチャ GTY ト ランシーバー 200UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 201: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

CPLL または QPLL が位相インターポレーターに基本クロ ッ クを提供し、 位相補間が高精度で等間隔のサンプリ ング

位相を生成するこ とによって、 CDR ステート マシンの細かい位相制御が可能になり ます。 CDR ステート マシンは、

ローカル PLL 基準クロ ッ クから周波数オフセッ トがある入力データ ス ト リームを ト ラ ッキングできます。 CDR ス

テート マシンは、 ローカル PLL 基準クロ ッ クから周波数オフセッ トがある入力データ ス ト リームを ト ラ ッキングで

きます。

ポートおよび属性

表 4-11 に、 CDR のポート を示します。

表 4-11: CDR のポート

ポート 方向 クロック ド メイン 説明

RXCDRFREQRESET 入力 非同期 CDR の周波数検出器を リセッ ト します。

RXCDRHOLD 入力 非同期 CDR 制御ループを停止状態に保持します。

RXCDROVRDEN 入力 非同期 予約。

RXCDRRESET 入力 非同期 予約。 Low に接続してください。

RXCDRRESETRSV 入力 非同期 UltraScale FPGA のみ:

予約。

RXRATE[2:0] 入力 RXUSRCLK2 GTY ト ランシーバー RX で有効な PLL 分周器の値を自動

的に変更するダイナミ ッ ク ピンです。 これらのポート

は、 PCI Express やその他の規格で使用されます。

000: RXOUT_DIV 属性を使用

001: 1 で分周

010: 2 で分周

011: 4 で分周

100: 8 で分周

101: 16 で分周

110: 32 で分周

111: 1 で分周

RXBUF_RESET_ON_RATE_CHANGE 属性が、 オプシ ョ ン

の自動リセッ ト機能を有効にします。

RXCDRLOCK 出力 非同期 予約。

RXCDRPHDONE 出力 非同期 予約。

UltraScale+ FPGA のみ

INCPCTRL 入力 非同期 ウ ィザードの推奨値を使用してください。

CDRSTEPSX 入力 非同期 ウ ィザードの推奨値を使用してください。

CDRSTEPSQ 入力 非同期 ウ ィザードの推奨値を使用してください。

CDRSTEPDIR 入力 非同期 ウ ィザードの推奨値を使用してください。

UltraScale アーキテクチャ GTY ト ランシーバー 201UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 202: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

表 4-12 に、 CDR 関連の属性を示します。

表 4-12: CDR の属性

属性 タイプ 説明

RXCDR_CFG2_GEN2 10 ビッ トの 16 進数 UltraScale+ FPGA のみ

予約。 ウ ィザードの推奨値を使用してください。

RXCDR_CFG3_GEN2 6 ビッ トの 16 進数 UltraScale+ FPGA のみ

予約。 ウ ィザードの推奨値を使用してください。

RXCDR_CFG0_GEN3 16 ビッ トの 16 進数 CDR のコンフ ィギュレーシ ョ ン。 ウ ィザードの推奨

値を使用してください。

RXCDR_CFG1_GEN3 16 ビッ トの 16 進数 CDR のコンフ ィギュレーシ ョ ン。 ウ ィザードの推奨

値を使用してください。

RXCDR_CFG2_GEN3 16 ビッ トの 16 進数 CDR のコンフ ィギュレーシ ョ ン。 ウ ィザードの推奨

値を使用してください。

RXCDR_CFG3_GEN3 16 ビッ トの 16 進数 CDR のコンフ ィギュレーシ ョ ン。 ウ ィザードの推奨

値を使用してください。

RXCDR_CFG4_GEN3 16 ビッ トの 16 進数 CDR のコンフ ィギュレーシ ョ ン。 ウ ィザードの推奨

値を使用してください。

RXCDR_CFG5_GEN3 16 ビッ トの 16 進数 CDR のコンフ ィギュレーシ ョ ン。 ウ ィザードの推奨

値を使用してください。

RXCDR_CFG0 16 ビッ トの 16 進数 CDR のコンフ ィギュレーシ ョ ン。 ウ ィザードの推奨

値を使用してください。

RXCDR_CFG1 16 ビッ トの 16 進数 CDR のコンフ ィギュレーシ ョ ン。 ウ ィザードの推奨

値を使用してください。

RXCDR_CFG2 16 ビッ トの 16 進数 CDR のコンフ ィギュレーシ ョ ン。 ウ ィザードの推奨

値を使用してください。

RXCDR_CFG3 16 ビッ トの 16 進数 CDR のコンフ ィギュレーシ ョ ン。 ウ ィザードの推奨

値を使用してください。

RXCDR_CFG4 16 ビッ トの 16 進数 CDR のコンフ ィギュレーシ ョ ン。 ウ ィザードの推奨

値を使用してください。

RXCDR_CFG5 16 ビッ トの 16 進数 CDR のコンフ ィギュレーシ ョ ン。 ウ ィザードの推奨

値を使用してください。

RXCDR_LOCK_CFG0 16 ビッ トの 16 進数 CDR のコンフ ィギュレーシ ョ ン。 ウ ィザードの推奨

値を使用してください。

RXCDR_LOCK_CFG1 16 ビッ トの 16 進数 CDR のコンフ ィギュレーシ ョ ン。 ウ ィザードの推奨

値を使用してください。

RXCDR_LOCK_CFG2 16 ビッ トの 16 進数 CDR のコンフ ィギュレーシ ョ ン。 ウ ィザードの推奨

値を使用してください。

UltraScale アーキテクチャ GTY ト ランシーバー 202UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 203: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RXCDR_HOLD_DURING_EIDLE バイナリ 1'b0: デフォルト設定

1'b1: CDR は、 PCI Express 動作における電気的アイ

ドル状態のオプシ ョ ン リセッ ト シーケンス中に内部

ステート を保持できる

注記: 信号減衰が大きいチャネル (ナイキス ト周波数

で通常 15dB を超えるチャネル損失) では、

CJPAT/CJTPAT の 101010 シーケンスのよ うに高速

変化するデータ パターンは電気的アイ ドルを ト リ

ガーする可能性があるため、

RXCDR_HOLD_DURING_EIDLE を 1'b0 に設定す

るこ とを推奨します。

RXCDR_FR_RESET_ON_EIDLE バイナリ 1'b0: デフォルト設定

1'b1: PCI Express 動作における電気的アイ ドル状態

のオプシ ョ ン リセッ ト シーケンス中に CDR 周波数

の自動リセッ ト機能を有効にする

注記: 信号減衰が大きいチャネル (ナイキス ト周波数

で通常 15dB を超えるチャネル損失) では、

CJPAT/CJTPAT の 101010 シーケンスのよ うに高速

変化するデータ パターンは電気的アイ ドルを ト リ

ガーする可能性があるため、

RXCDR_FR_RESET_ON_EIDLE を 1'b0 に設定する

こ とを推奨します。

RXCDR_PH_RESET_ON_EIDLE バイナリ 1'b0: デフォルト設定

1'b1: PCI Express 動作における電気的アイ ドル状態

のオプシ ョ ン リセッ ト シーケンス中に CDR 位相の

自動リセッ ト機能を有効にする

注記: 信号減衰が大きいチャネル (ナイキス ト周波数

で通常 15dB を超えるチャネル損失) では、

CJPAT/CJTPAT の 101010 シーケンスのよ うに高速

変化するデータ パターンは電気的アイ ドルを ト リ

ガーする可能性があるため、

RXCDR_PH_RESET_ON_EIDLE を 1'b0 に設定する

こ とを推奨します。

RXPI_CFG0 UltraScale FPGA:

2 ビッ ト バイナリ

UltraScale+ FPGA:

16 ビッ ト バイナリ

予約。 ウ ィザードの推奨値を使用してください。

RXPI_CFG1 UltraScale FPGA:

2 ビッ ト バイナリ

UltraScale+ FPGA:

16 ビッ ト バイナリ

予約。 ウ ィザードの推奨値を使用してください。

表 4-12: CDR の属性 (続き)

属性 タイプ 説明

UltraScale アーキテクチャ GTY ト ランシーバー 203UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 204: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RX CDR を基準クロックにロックする

CDR を基準にロ ッ クするには、 RXCDRHOLD を 1’b1 に、 RXCDROVRDEN を 1’b0 に設定します。

RXPI_CFG2 2 ビッ ト バイナリ UltraScale FPGA のみ:

予約。 ウ ィザードの推奨値を使用してください。

RXPI_CFG3 2 ビッ ト バイナリ UltraScale FPGA のみ:

予約。 ウ ィザードの推奨値を使用してください。

RXPI_CFG4 バイナリ UltraScale FPGA のみ:

予約。 ウ ィザードの推奨値を使用してください。

RXPI_CFG5 バイナリ UltraScale FPGA のみ:

予約。 ウ ィザードの推奨値を使用してください。

RXPI_CFG6 3 ビッ ト バイナリ UltraScale FPGA のみ:

予約。 ウ ィザードの推奨値を使用してください。

RX_WIDEMODE_CDR 1 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

CDR_SWAP_MODE_EN 1 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

UltraScale+ FPGA のみ

RXCDR_CFG2_GEN4 16 ビッ トの 16 進数 CDR のコンフ ィギュレーシ ョ ン。 ウ ィザードの推奨

値を使用してください。

RXCDR_CFG3_GEN4 16 ビッ トの 16 進数 CDR のコンフ ィギュレーシ ョ ン。 ウ ィザードの推奨

値を使用してください。

RXCDR_LOCK_CFG3 16 ビッ トの 16 進数 CDR のコンフ ィギュレーシ ョ ン。 ウ ィザードの推奨

値を使用してください。

RXCDR_LOCK_CFG4 16 ビッ トの 16 進数 CDR のコンフ ィギュレーシ ョ ン。 ウ ィザードの推奨

値を使用してください。

RX_WIDEMODE_CDR_GEN3 2 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RX_WIDEMODE_CDR_GEN4 2 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

RX_XMODE_SEL 1 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

SAMPLE_CLK_PHASE 1 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

表 4-12: CDR の属性 (続き)

属性 タイプ 説明

UltraScale アーキテクチャ GTY ト ランシーバー 204UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 205: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RX のファブリ ック クロック出力制御

機能の説明

RX ク ロ ッ ク分周制御ブロ ッ クは、 2 つのコンポーネン ト (シ リ アル ク ロ ッ ク分周制御、 パラレル ク ロ ッ ク分周制御

とセレクター制御) で構成されています。 図 4-16 に、 ク ロ ッ ク分周器とセレクターの詳細図を示します。

図 4-16 について説明します。

1. RXOUTCLKPCS および RXOUTCLKFABRIC は冗長出力であるため、新規デザインには RXOUTCLK を使用して

ください。

2. RXOUTCLK は、 BUFG_GT を介するインターコネク ト ロジッ ク ク ロ ッ クのソース と して使用されます。

X-Ref Target - Figure 4-16

図 4-16: RX シリアルおよびパラレル クロック分周器

RX DATA

RXOUTCLKPCS

RXOUTCLK

RXOUTCLKPCS

RXOUTCLKPMA

‘1’

RXPLLREFCLK_DIV1

RXPLLREFCLK_DIV2

RXPROGDIVCLK

RXOUTCLKSELRXOUTCLKFABRIC

000

001

010

011

101

100

SIPO

CPLL

REFCLK Distribution

CDR

PhaseInterp.

DelayAligner

RX PolarityControl

÷D{1,2,4,816,32}

RX PMA

GTYE3/4_CHANNEL (GTY Transceiver Primitive)

0

10 11

REFCLK Sel

00

11QPLL1REFCLK

10

00 1

RXP/N

QPLL1CLK

QPLL0REFCLK

MGT REFCLK[0/1]N

RXSYSCLKSEL

RXRECCLKOUT

REFCLK_HROW_CK_SEL

QPLL0CLK

RXDLYBYPASS

÷{2,4,8}

RX PROG.DIV

÷{4,5}

÷2

RX DATA toDownstream PCS Blocks

RX PCS

Output toGTYE3/4_COMMON andGTYE3/4_CHANNEL

Output Clock to BUFG_GT

O

ODIV2

IBUFDS_GTE3/4MGT REFCLK[0/1]P

RXPLLCLKSEL

X19663-081717

UltraScale アーキテクチャ GTY ト ランシーバー 205UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 206: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

3. GTYE3/4_CHANNEL プリ ミ ティブには、 CPLL が 1 つだけあ り ます。 適用できる場合は、 GTYE3/4_COMMON

の QPLL も使用できます。

4. 1/4 または 1/5 分周ブロッ クの選択は、 GTYE3/4_CHANNEL プリ ミ ティブの RX_DATA_WIDTH 属性で制御され

ます。 RX_DATA_WIDTH = 16、 32、 64、 または 128 の場合は、 1/4 が選択されます。 RX_DATA_WIDTH = 20、

40、 80、 または 160 の場合は、 1/5 が選択されます。

5. 1/2、 1/4 または 1/8 分周ブロッ クの選択は、 GTYE3/4_CHANNEL プリ ミ ティブの RX_INT_DATAWIDTH 属性で

制御されます。

RX_INT_DATAWIDTH = 0 (2 バイ トの内部データパス) とする と 1/2 が選択されます。

RX_INT_DATAWIDTH = 1 (4 バイ トの内部データパス) とする と 1/4 が選択され、

RX_INT_DATAWIDTH = 2 (8 バイ トの内部データパス) とする と 1/8 が選択されます。

6. ク ロ ッ ク リ ソース (BUFG_GT および BUFG_GT_SYNC など) の配置制約および制限の詳細は、 『UltraScale アー

キテクチャ ク ロ ッキング リ ソース ユーザー ガイ ド』 (UG572) [参照 3] を参照してください。

7. IBUFDS_GTE3/4 からのクロ ッ ク出力は、GTPOWERGOOD が High にアサート されてから 250µs 経過した後に使

用可能になり ます。 UltraScale+ FPGA の場合、 UltraScale FPGAs Transceivers Wizard バージ ョ ン 1.7 以降で

GTPOWERGOOD に自動的にクロ ッ ク ゲーティングを適用するこ とでこの要件を満たします。

シリアル クロック分周器

各ト ランス ミ ッ ター PMA モジュールには、 PLL からのクロ ッ クを分周して低ライン レート をサポートする分周器

(D) があ り ます。 このシ リ アル ク ロ ッ ク分周器は、 ライン レートが一定のアプリ ケーシ ョ ンに対してあらかじめ設

定できます。 また、 複数のライン レート を使用するアプリ ケーシ ョ ンでは、 動的に変更するこ と も可能です。 シ リ

アル分周器の制御については、 表 4-13 を参照して ください。 各スピード グレードのライン レート範囲は、

『UltraScale および UltraScale+ デバイス データシート 』 [参照 6] を参照してください。

ライン レートが一定のアプリ ケーシ ョ ンで D 分周器を使用する場合は、 RXOUT_DIV 属性を適切な値に設定し、

RXRATE ポート を 3'b000 に接続する必要があ り ます。 詳細は、 表 4-13 の 「属性を使用する固定設定」 を参照して

ください。

複数ライン レート を使用するアプリ ケーシ ョ ンで分周器 (D) を使用する場合は、 RXRATE ポート を使用して D 値を

動的に選択します。 RXOUT_DIV 属性と RXRATE ポートは、 デバイス設定時に同じ D 値を設定する必要があ り ま

す。 デバイス コンフ ィギュレーシ ョ ン完了後に、 RXRATE ポート を使用して D 値を動的に変更します。 詳細は、

表 4-13 の 「ポート を使用する動的設定」 を参照して ください。

表 4-13: RX PLL 出力分周器の設定

分周器 (D) の値 属性を使用する固定設定 ポート を使用する動的制御

1RXOUT_DIV = 1

RXRATE = 3'b000

RXOUT_DIV = Ignored

RXRATE = 3'b001

2RXOUT_DIV = 2

RXRATE = 3'b000

RXOUT_DIV = Ignored

RXRATE = 3'b010

4RXOUT_DIV = 4

RXRATE = 3'b000

RXOUT_DIV = Ignored

RXRATE = 3'b011

8RXOUT_DIV = 8

RXRATE = 3'b000

RXOUT_DIV = Ignored

RXRATE = 3'b100

UltraScale アーキテクチャ GTY ト ランシーバー 206UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 207: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

パラレル クロック分周器およびセレクター

RX ク ロ ッ ク分周器制御ブロッ クからのパラレル ク ロ ッ ク出力は、 ライン レートおよびプロ ト コルの要件に応じて、

インターコネク ト ロジッ ク ク ロ ッ ク と して使用できます。

インターコネク ト ロジッ クの推奨クロ ッ クは、 いずれかの GTY ト ランシーバーから出力される RXOUTCLK です。

また、 MGTREFCLK を直接インターコネク ト ロジッ クへ接続してインターコネク ト ロジッ ク ク ロ ッ ク と して使用

するこ と も可能です。 RXOUTCLK は、 固定データパス遅延のために RX バッファーをバイパスするアプリ ケーシ ョ

ンで使用される出力遅延制御がある通常アプリ ケーシ ョ ンで使用されます。 詳細は、 245 ページの 「RX バッファー

のバイパス」 を参照してください。

RXOUTCLKSEL ポートで入力セレクターを制御し、 RXOUTCLK ポートから次のよ うなクロ ッ クを出力できます。

• RXOUTCLKSEL = 3'b001: PCS ブロ ッ クで余分な遅延を招くため、 推奨されていません。

• RXOUTCLKSEL = 3'b010: RXOUTCLKPMA は、 インターコネク ト ロジッ クで用いられる リ カバリ ク ロ ッ クで

す。 このクロ ッ クは、 ク ロ ッ ク補正機能がないプロ ト コルで使用され、 データに同期するクロ ッ クやリ カバリ

ク ロ ッ クが必要な場合、 またはダウンス ト リーム インターコネク ト ロジッ クへク ロ ッ クを提供する場合に使用

されます。 また、 RX PCS ブロ ッ クにも使用されます。 このクロ ッ クは、 関連する リセッ ト信号によって PLL

または CDR がリセッ ト される と き中断されます。

• RXOUTCLKSEL = 3'b011 または 3'b100: RXPLLREFCLK_DIV1 または RXPLLREFCLK_DIV2 は、 CPLL また

は QPLL (RXSYSCLKSEL の設定に依存) へ入力される基準クロ ッ クです。 インターコネク ト ロジッ クへリ カバ

リ ク ロ ッ クを出力する必要がない場合は、 RXPLLREFCLK_DIV1 または RXPLLREFCLK_DIV2 をシステム ク

ロ ッ ク と して使用できます。 ただし、 通常は TXOUTCLK をシステム ク ロ ッ ク と して使用します。

RX プログラマブル分周器

図 4-16 に示す RX プログラマブル分周器は、パラレル出力クロ ッ クを生成するために CDR からのリ カバリ ク ロ ッ ク

を使用します。 リ カバリ ク ロ ッ ク、 RX プログラマブル分周器、 および BUFG_GT を用いるこ とによ り、 インターコ

ネク ト ロジッ クの PLL または MMCM リ ソースを使用する代わりに RXOUTCLK (RXOUTCLKSEL = 101) をインター

コネク ト ロジッ クのクロ ッ ク ソース と して利用できます。 プログラマブル分周器の出力クロ ッ クは、 出力に設定さ

れている ト ランシーバー基準クロ ッ ク ピンに送出するこ と もできます。 サポート される分周器の値は、 4、 5、 8、

10、 16、 16.5、 20、 32、 33、 40、 64、 66、 80、 100、 128、 132、 160、 および 200 です。 表 4-14 および表 4-15 に、 プ

ログラマブル分周器のポートおよび属性をそれぞれ示します。

16RXOUT_DIV = 16

RXRATE = 3'b000

RXOUT_DIV = Ignored

RXRATE = 3'b101

32RXOUT_DIV = 32

RXRATE = 3'b000

RXOUT_DIV = Ignored

RXRATE = 3'b110

表 4-13: RX PLL 出力分周器の設定 (続き)

分周器 (D) の値 属性を使用する固定設定 ポート を使用する動的制御

UltraScale アーキテクチャ GTY ト ランシーバー 207UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 208: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

表 4-14: RX プログラマブル分周器のポート

ポート 方向 クロック ド メイン 説明

RXPROGDIVRESET 入力 非同期 アクティブ High の場合、 分周器のほかに、

RXPRGDIVRESETDONE ステータス信号も リセッ ト

します。 入力クロ ッ ク ソースに割り込みが発生する

場合は常に、 リセッ ト を実行します。

RXPRGDIVRESETDONE 出力 非同期 入力クロ ッ クが安定していて リセッ トが実行され、

そのリセッ トが完了して出力クロ ッ クが安定してい

る場合、 アクティブ High になり ます。

表 4-15: RX プログラマブル分周器の属性

属性 タイプ 説明

RX_PROGDIV_CFG 実数 RX プログラマブル分周器の値を設定します。 有効な設定値は、

0.0、 4.0、 5.0、 8.0、 10.0、 16.0、 16.5、 20.0、 32.0、 33.0、 40.0、

64.0、 66.0、 80.0、 および 100.0 です。 RX_PROGDIV_CFG と

RX_PROGDIV_RATE を組み合わせたものが、 RX プログラマブル

分周器全体の分周値とな り ます。 RX_PROGDIV_CFG を 0.0 に設

定する と、 分周器の電源が切断されます。

RX_DIVRESET_TIME 5 ビッ ト バイナリ 予約。 RX プログラマブル分周器リセッ ト を適用する時間です。

ウ ィザードの推奨値を使用して ください。 RXPROGDIVRESET を

使用して リセッ ト プロセスを開始する場合は、 0 以外の値に設定

して ください。

RX_PROGDIV_RATE 16 ビッ ト バイナリ [15:1]: 予約

[0]: 前置分周器の値を選択します。

1'b1: /1

1'b0: /2

UltraScale アーキテクチャ GTY ト ランシーバー 208UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 209: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

ポートおよび属性

表 4-16 に、 RX のファブリ ッ ク ク ロ ッ ク出力制御で使用するポート を示します。

表 4-16: RX のファブリ ック クロック出力制御のポート

ポート 方向 クロック ド メイン 説明

RXOUTCLKSEL[2:0] 入力 非同期 マルチプレクサーのセレク ト信号を制御します (図 4-16 参照)。

3'b000: 固定 1

3'b001: RXOUTCLKPCS パス

3'b010: RXOUTCLKPMA パス

3'b011: RXPLLREFCLK_DIV1 パス

3'b100: RXPLLREFCLK_DIV2 パス

3'b101: RXPROGDIVCLK パス

その他: 予約

RXRATE[2:0] 入力 RXUSRCLK2 RX シ リ アル ク ロ ッ ク分周器 D の設定を動的に制御し (表 4-13

参照)、 RXOUT_DIV 属性と組み合わせて使用されます。

3'b000: RXOUT_DIV 分周器の値を使用

3'b001: 分周値 D は 1

3'b010: 分周値 D は 2

3'b011: 分周値 D は 4

3'b100: 分周値 D は 8

3'b101: 分周値 D は 16

3'b110: 分周値 D は 32

RXOUTCLKFABRIC 出力 クロ ッ ク テス ト用に予約されている冗長出力です。 RXOUTCLKSEL =

3'b011 に設定された RXOUTCLK を代わりに使用して くだ

さい。

RXOUTCLK 出力 クロ ッ ク インターコネク ト ロジッ クで使用される推奨クロ ッ クです。

RXOUTCLK の入力セレクターとな り、 PLL 入力基準クロ ッ ク

がインターコネク ト ロジッ クへ接続できます。

RXOUTCLKPCS 出力 クロ ッ ク 冗長出力です。 RXOUTCLKSEL = 3'b001 に設定された

RXOUTCLK を代わりに使用してください。

RXRATEDONE 出力 RXUSRCLK2 RXRATE ポートが変更される と、 この RXRATEDONE ポート

が RXUSRCLK2 ク ロ ッ クの 1 サイクル間アサート されます。

TRANS_TIME_RATE 属性で、RXRATE ポートが変更されてから

RXRATEDONE がアサート されるまでの時間が定義されます。

UltraScale アーキテクチャ GTY ト ランシーバー 209UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 210: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

ト ランシーバーには、 [TX/RX]_CLK25_DIV の設定による基準クロ ッ クから派生した 25MHz の内部クロ ッ クがあ り

ます。 25MHz ク ロ ッ クは、 リセッ ト 、 パワー マネージメン ト、 レート変更、 OOB、 ビーコンなどのさまざまな ト ラ

ンシーバー動作のシンクロナイザーやタイマーと して使用されます。 [TX/RX]_CLK25_DIV を設定して、 25MHz ま

たは可能な限り これに近い値を実現します。 SATA OOB については、 この内部クロ ッ クを 25MHz に設定する必要が

あ り ます。 表 4-17 に、 RX のファブリ ッ ク ク ロ ッ ク出力制御で使用する属性を示します。

RXDLYBYPASS 入力 非同期 RX の遅延調整をバイパスする場合に使用します。

0: RX の遅延調整回路を使用。 RX バッファーがバイパスさ

れる場合は、 1'b0 に設定。

1: RX の遅延調整回路をバイパス。 RX バッファーを使用す

る場合は、 1'b1 に設定。

RXRATEMODE 入力 非同期 RX PMA 内の D 出力分周器を RXRATE で非同期に制御するか

ど うかを指定します。

0: 同期。 1'b0 に設定されている場合は、 RXRATE ポートの

変化に応じて自動的にリセッ ト シーケンスが実行される。

1: 非同期

表 4-16: RX のファブリ ック クロック出力制御のポート (続き)

ポート 方向 クロック ド メイン 説明

表 4-17: RX のファブリ ック クロック出力制御の属性

属性 タイプ 説明

TRANS_TIME_RATE 8 ビッ トの

16 進数

予約。 ウ ィザードの推奨値を使用してください。 レートが変

更されてから PHYSTATUS および RXRATEDONE がアサート

されるまでの時間を定義します。

RXBUF_RESET_ON_RATE_CHANGE ブール型 TRUE の場合、 RXRATE でレートが変更される と RX バッ

ファーが自動的にリセッ ト される機能が有効になり ます。

RXOUT_DIV 整数 RX シ リアル ク ロ ッ ク分周器の設定を制御します。 RXRATE

= 3'b000 の場合のみ有効です。 それ以外の場合は、

RXRATE で制御されます。 有効な値は、 1、 2、 4、 8、 16、 お

よび 32 です。

RXPMACLK_SEL 文字列 予約。 ウ ィザードの推奨値を使用してください。

UltraScale アーキテクチャ GTY ト ランシーバー 210UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 211: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RX_CLK25_DIV 整数 レシーバー内の一部ロジッ クで使用する内部クロ ッ クを生成

するために、 RXPLLREFCLK_DIV1 を分周する分周器を設定

します。

1: RXPLLREFCLK_DIV1 ≤ 25MHz

2: 25MHz ≤ RXPLLREFCLK_DIV1 ≤ 50MHz

3: 50MHz ≤ RXPLLREFCLK_DIV1 ≤ 75MHz

4: 75MHz ≤ RXPLLREFCLK_DIV1 ≤ 100MHz

5: 100MHz ≤ RXPLLREFCLK_DIV1 ≤ 125MHz

6: 125MHz ≤ RXPLLREFCLK_DIV1 ≤ 150MHz

7: 150MHz ≤ RXPLLREFCLK_DIV1 ≤ 175MHz

8: 175MHz ≤ RXPLLREFCLK_DIV1 ≤ 200MHz

9: 200MHz ≤ RXPLLREFCLK_DIV1 ≤ 225MHz

10: 225MHz ≤ RXPLLREFCLK_DIV1 ≤ 250MHz

11: 250MHz ≤ RXPLLREFCLK_DIV1 ≤ 275MHz

12: 275MHz ≤ RXPLLREFCLK_DIV1 ≤ 300MHz

13: 300MHz ≤ RXPLLREFCLK_DIV1 ≤ 325MHz

14: 325MHz ≤ RXPLLREFCLK_DIV1 ≤ 350MHz

15: 350MHz ≤ RXPLLREFCLK_DIV1 ≤ 375MHz

16: 375MHz ≤ RXPLLREFCLK_DIV1 ≤ 400MHz

17: 400MHz ≤ RXPLLREFCLK_DIV1 ≤ 425MHz

18: 425MHz ≤ RXPLLREFCLK_DIV1 ≤ 450MHz

19: 450MHz ≤ RXPLLREFCLK_DIV1 ≤ 475MHz

20: 475MHz ≤ RXPLLREFCLK_DIV1 ≤ 500MHz

21: 500MHz ≤ RXPLLREFCLK_DIV1 ≤ 525MHz

22: 525MHz ≤ RXPLLREFCLK_DIV1 ≤ 550MHz

23: 550MHz ≤ RXPLLREFCLK_DIV1 ≤ 575MHz

24: 00575MHz ≤ RXPLLREFCLK_DIV1 ≤ 600MHz

25: 600MHz ≤ RXPLLREFCLK_DIV1 ≤ 625MHz

26: 625MHz ≤ RXPLLREFCLK_DIV1 ≤ 650MHz

27: 650MHz ≤ RXPLLREFCLK_DIV1 ≤ 675MHz

28: 675MHz ≤ RXPLLREFCLK_DIV1 ≤ 700MHz

29: 700MHz ≤ RXPLLREFCLK_DIV1 ≤ 725MHz

30: 725MHz ≤ RXPLLREFCLK_DIV1 ≤ 750MHz

31: 750MHz ≤ RXPLLREFCLK_DIV1 ≤ 775MHz

32: 775MHz ≤ RXPLLREFCLK_DIV1 ≤ 820MHz

表 4-17: RX のファブリ ック クロック出力制御の属性 (続き)

属性 タイプ 説明

UltraScale アーキテクチャ GTY ト ランシーバー 211UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 212: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RX のマージン解析

機能の説明

ライン レートが増加してチャネル減衰が悪化する と、 これらを補正するために RX イコラ イザーを多用する必要が

あ り ます。 このと き、 遠端のリ ンク状態はレシーバー ピンでのアイ ダイアグラムでは判断できないため、 システム

デバッグに新たな課題が生じます。 高ライン レートの場合、 PCB 上の受信アイ パターンは、 RX イコライザーが有

効の場合であっても完全に閉じた状態になってしまいます。

GTY ト ランシーバー RX アイ スキャンには、 イコライザー後の受信アイ マージンを測定および可視化する機能があ

り ます。 これによって、 新たな方法でイコライゼーシ ョ ン設定の効果を診断できるよ うになり ました。

X-Ref Target - Figure 4-17

図 4-17: オフセッ ト ファンクシ ョ ンと して BER を計算するためのオフセッ ト サンプルとデータ サンプル - 統計的アイ (Statistical Eye)

X19664-091117

UltraScale アーキテクチャ GTY ト ランシーバー 212UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 213: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

アイ スキャンの原理

アイ スキャン アーキテクチャによ り、 次のよ うなアイ マージンの解析が可能です。

• 統計アイの表示: アイ スキャン ブロ ッ クは一定期間に渡ってすべてのデータ エラーを継続してカウン トできる

ため、 BER の計算およびアイ ダイアグラムの生成が可能です (図 4-17 の右側参照)。

• 波形の表示: エラーの原因となりやすいこ とがわかっているデータ パターン (またはほかの一意のパターン) が

あれば、 アイ スキャン ブロ ッ クはそのパターンのビッ ト あた りの電圧レベルを統計的に判断し、 リ カバリ パ

ターンのアナログ波形を生成できます。

• スコープの表示: アイ スキャン ブロ ッ クは収集したデータを後処理して、 標準的なスコープ表示 (導関数に基づ

く表示) を生成できます (図 4-17 の左側参照)。

• 診断モード : プログラム可能なさまざまな ト リガー条件のも とで、 データ バスの内容が瞬時にキャプチャされて

読み出し可能です。 これを用いて、 DFE 動作に起因するバース ト エラーのパターンなどが検証できます。

RX イコラ イザー後にサンプリ ングを行う こ とによって、 RXDATA は平均化された差動波形から復元されます。 サン

プ リ ングの水平方向の位置は CDR 機能で決定され、 垂直方向の位置は微分 0 です。 これを 「データ サンプル」 と し

て表します (図 4-17 参照)。

アイ スキャン機能を有効にするには、 データのサンプル ポイン トからプログラマブル (水平および垂直方向) オフ

セッ ト を備えたサンプラーがも う 1 つ必要です。 これを 「オフセッ ト サンプル」 と して表します (図 4-17 参照)。

シングル アイ スキャンの測定は、 データ サンプルの値 (サンプル数) とオフセッ ト サンプルがデータ サンプルに一

致しない時間 (エラー数) の累算によって成立します。 プログラムされた垂直方向と水平方向のオフセッ ト位置の

ビッ ト エラー率 (BER) は、 サンプル数に対するエラー数の比率です。 サンプル数の範囲は、 何万単位から 1014 (100

兆) 以上まで可能です。

水平方向および垂直方向オフセッ トの全範囲に対して、この BER 測定を繰り返すこ とで、図 4-17 に示すよ うな BER

マップが生成されます。 これは一般的に統計アイ (Statistical Eye) と呼ばれ、 カラー マップは log10 (BER) を表してい

ます。 この画像のアイは、 オシロスコープのアイよ り も明らかに小さ くなっています (図 4-17 参照)。 これは、 サン

プル数が大幅に少なくオシロスコープで測るこ とのできない低頻度のジッ ターやノ イズによって縮小されているた

めです。

この機能は、 受信されるデータ パターンに制限をかけるこ とがな く、 RX 設定の変更も必要ないため、 アプリ ケー

シ ョ ン データが受信中でもエラーを生じさせるこ とな く実行できます。 さ らに、 属性を読み書きする機能のみ必要

で、 インターコネク ト ロジッ クを使用する必要はあ り ません。

UltraScale アーキテクチャ GTY ト ランシーバー 213UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 214: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

アイ スキャンのアーキテクチャ

図 4-18 に示す灰色のブロ ッ クは、 PMA アーキテクチャのアイ スキャンをサポートする部分です。 水平方向オフ

セッ ト (HORZ_OFFSET) によって、 データ サンプルに関連するオフセッ ト サンプルのサンプリ ング時間を短縮また

は遅延させます。 垂直方向オフセッ ト (VERT_OFFSET) によって、 平均化された波形が比較される差動電圧のしきい

値を大き く または小さ く します。データ サンプルは Rdata バスに、オフセッ ト サンプルは Sdata バスにデシ リアライ

ズされます。

DFE モード (RXLPMEN=0) の場合、 初の DFE タ ップ値はループ展開されていないため、 2 つの独立したアイ ス

キャン計測が必要です。 つま り、 +UT と –UT での計測で、 垂直方向および水平方向オフセッ トにおける TOTAL

BER を測定します。

X-Ref Target - Figure 4-18

図 4-18: アイ スキャンをサポートする PMA アーキテクチャ

DAC

Capture FFRX Input

Unrolled Tap

Equalization

Error-detection,Screening

De-serializationPCSInterface

Capture FF

Capture FF

+

+

-

DAC PI PI

UT_SIGN (±1)

VERT_OFFSET Rec Clock HORZ_OFFSET

Rdata

Sdata

X19665-081717

UltraScale アーキテクチャ GTY ト ランシーバー 214UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 215: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

図 4-19 に、 PCS アーキテクチャのアイ スキャンをサポートする部分を示します。 80 ビッ トの Rdata バスにはデータ

サンプルが含まれます。 80 ビッ トの Sdata バスの各ビッ トは、 対応するデータ サンプルとオフセッ ト サンプルが同

一でない場合かつそのと きに限り 1 です (220 ページの表 4-20 の ES_ERRDET_EN 参照)。

図 4-19 では、 サンプル カウンターおよびエラー カウンターが、 検証されたビッ トの総数と確認されたエラーの総数

をカウン ト します (このサンプル数に 2^(1+ ES_PRESCALE) と int_datawidth を乗じます。 式 4-2 および式 4-3 を参照し

てください)。 ステート マシンは FIFO 内の Rdata と Sdata 値の記録、 およびサンプル カウンターとエラー カウンター

内のカウン ト数の累算を管理します。 図 4-19 に示すさまざまなブロッ クの機能は次のとおりです。

• FIFO は直近の 2 サイクル分 ( 大 160 ビッ ト ) の Rdata および Sdata を保持します。 このデータは、 次の目的で

使用されます。

° Sdata の検証によってエラー検出をサポートする

° Rdata の検証によって目的のデータ パターンの検出をサポートする

° 外部検証用にデータのスナップシ ョ ッ ト を提供する。 ステート マシンは一部の条件下で FIFO の動作を停

止させる可能性があ り、 FIFO の内容はその後に DRP インターフェイスを介してインターコネク ト ロジッ

クに対して読み出し可能になり ます。

X-Ref Target - Figure 4-19

図 4-19: アイ スキャンをサポートする PCS アーキテクチャ

Rdata

ES_VERT_OFFSET

ES_QUALIFIER

ES_QUAL_MASK

ES_PRESCALE

es_sample_count

es_error_count

es_rdata

es_sdata

es_control_status

ES_CONTROL

ES_ERRDET_EN

ES_EYE_SCAN_EN

ES_SDATA_MASK

ES_HORZ_OFFSETFilter

CountQualifierFIFO

SdataFIFO

Prescaler

DRPInterface

PMAInterface Error

Counter

StateMachine

SampleCounter

X19666-091117

UltraScale アーキテクチャ GTY ト ランシーバー 215UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 216: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

• カウン ト クオ リ ファ イアは Rdata FIFO の内容と ES_QUALIFIER とを比較します。 出力が High になる と一致を

示し、 サンプル カウンター (プリ スケーラを介する ) およびエラー カウンターの値が増加します。 High の

ES_QUAL_MASK ビッ トは、 Rdata の一部のビッ ト を比較から除外します。 ビッ トがすべて High の場合、 Rdata

のビッ トは一致する必要がなく、 カウンターの動作は常に有効になり ます。 一部の ES_QUAL_MASK ビッ ト を

Low に設定する と、 Rdata の対応するビッ トが ES_QUALIFIER の指定パターンと一致するまでカウンターの動

作が禁止されます。 0 ~ 159 のすべての k について条件 (Rdata_FIFO[k] EQUALS ES_QUALIFIER[k]) OR

ES_QUAL_MASK[k] が満たされている場合、 カウン ト クオ リ ファ イアの出力が High になり ます。 統計アイの

表示では、 ES_QUAL_MASK は {160{1’b1}} に設定されています。 波形の表示では、 一部の ES_QUAL_MASK

ビッ ト を 0 に設定して、 カウンターの動作に制約を与える、 または検証用に Rdata および Sdata をキャプチャす

る ト リガーを定義するこ とができます。

• プリ スケーラはカウン ト クオ リ ファ イア出力信号を受信して一部の High の値の約数をサンプル カウンターに

渡し、 サンプル カウンターの各増分がカウン ト クオ リ ファ イアから受信した High 出力の倍数に対応するよ う

にします。 ES_PRESCALE は、 サンプル カウンターの各増分 (2ES_PRESCALE+1) によって示される、 High のカウ

ン ト クオ リ ファ イアの出力数を 2 ~ 4,294,967,296 の範囲で定義します。

• サンプル カウンターは、 カウン ト クオ リ ファ イアでビッ ト エラーのカウン トが有効になるサイクルの合計数

(ES_PRESCALE を乗じた値) をカウン ト します。

• エラー カウンターは、 それまでのエラー カウン ト を累算します。 統計アイ表示用にビッ ト エラーの合計数をカ

ウン トするには、 ES_SDATA_MASK[159:80] = {80{1’b1}} と設定する必要があ り ます。 この場合、 エラー カウ

ンターは Sdata[79:0] 内のビッ ト エラー (1 ビッ トのデータ ) の合計数をカウン ト します。 High の

ES_SDATA_MASK ビッ トは、 Sdata の一部のビッ ト を除外できます。 一般に、 統計アイ表示は、 80 ビッ トの

データの場合は ES_SDATA_MASK[159:0] = {80{1’b1}、 80{1’b0}} を使用します (表 4-20 参照)。 前のサイクルで

カウン ト された Sdata[79:0] の以前の値が Sdata[159:80] に含まれるため、 Sdata[159:80] 内のエラー ビッ トはカウ

ン ト されません。 あるサイクルでカウン ト されたビッ ト エラーの数は、 Sdata_FIFO[k] AND NOT

ES_SDATA_MASK[k] 条件が満たされている場合の 0 ~ 79 の k の値の数です。

アイ スキャン データ (波形表示など) のその他の使用については、ES_SDATA_MASK[159:0] の 1 ビッ トが 0 に設

定されます。 エラー カウンターは、 ES_SDATA_MASK[k] = 0 の場合のビッ ト k について、 Rdata_FIFO[k] 内のエ

ラーの数をカウン ト します (つま り、 Sdata_FIFO[k] = 1)。 または、 ES_ERRDET_EN が FALSE の場合、 エラー カ

ウンターは、オフセッ ト データ Sdata_FIFO[k] が 1 のと きの合計時間を (その値が不正かど うかにかかわらず) カ

ウン ト します。 ES_SDATA_MASK[159:0] の 1 ビッ トが 0 のと き、 または ES_SDATA_MASK[159:80] の少なく と

も 1 ビッ トが 0 のと き、 カウン ト クオ リ ファ イアの出力が High で、 Sdata_FIFO[k] AND NOT

ES_SDATA_MASK[k] 条件が満たされている場合にエラー カウンターは 1 つずつ増分します。

ES_SDATA_MASK[159:80] のいずれかのビッ トが 0 の場合、 エラー カウンターは、 ビッ ト エラーの合計数単位

ではなく 1 つずつでしか増分しません。 合計数単位で増分する と、 1 サイ クル内で検出されます。

• ステート マシンは、 エラーをカウン トするまたは Rdata および Sdata 用に目的のスナップシ ョ ッ ト をキャプチャ

する といったアイ スキャン ブロ ッ クの動作を制御します。 Run および Arm の 2 つの動作モードがあ り ます。

° Run モード (図 4-20 の左側のループ) は、 統計アイ表示、 波形表示、 およびスコープ表示用の統計データの

収集をサポート します。 このモードは、 サンプル カウンターおよびエラー カウンターの動作を既述のとお

り開始し、 これらが飽和状態になった ( 大値に達した) 場合、 または DRP 動作が Run モードを終了した場

合に動作を停止します。

° Arm モード (図 4-20 の右側のループ) は、 (FIFO の動作を無効にするこ とによって) DRP インターフェイス

を介して読み出し可能な Rdata および Sdata のスナップシ ョ ッ トのキャプチャをサポート します 。 たとえ

ば、 Arm モードを使用する と、 アイ マージンの低下につながるデータ パターンを判断できます。 その後、

これらのパターンから今後の解析用に波形表示を生成します。 ステート マシンは、 次の場合に Rdata およ

び Sdata FIFO の動作を停止するよ うに設定可能です。

- エラーが発生する (Sdata_FIFO[159:0] のどこか、 ES_SDATA_MASK[159:0] によるマスクの対象)。

- Rdata が指定パターンと一致する (ES_QUALIFIER および ES_QUAL_MASK によって定義)。

UltraScale アーキテクチャ GTY ト ランシーバー 216UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 217: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

- インターコネク ト入力 EYESCANTRIGGER が High になるこ とで ト リガーを発生させる。

- ES_CONTROL への属性の書き込みによって ト リガーが強制される。

図 4-20 に、 アイ スキャンのステート マシンにおけるステートの遷移を示します。

ES_CONTROL[1:0] は、 arm および run をそれぞれ実行する信号です。 WAIT ステートから始ま り、 run は BER 計測

ループ (左側) を、 arm は診断ループ (右側) を開始します。

RESET ステートはエラーおよびサンプルのカウンターをゼロにし、 その後 run または arm がアクティブかど うかに

よって COUNT ステート または ARMED ステートへ遷移します。

COUNT ステートでは、 サンプルおよびエラーがカウンターに累算されます。 いずれかのカウンターが飽和状態にな

る と、 それら両方が停止し、 END ステートに遷移します。 この遷移は、 es_control_status[3:0] をポーリ ングするこ と

で検出されます。 ビッ ト 0 (done) は、 END、 READ、 および WAIT ステートでのみアクティブに設定されます。 ビッ

ト [3:1] は、 ステート マシンの現在のステート を示します。

END ステートは、 run が 0 に設定し直される と WAIT ステートへ遷移します。 es_sample_count[15:0] および

es_error_count[15:0] は、 END または WAIT ステートのいずれかで読み出すこ とができます。

X-Ref Target - Figure 4-20

図 4-20: アイ スキャンのステート マシン

runorarm

arm and sample_count_zero and error_count_zero

run and sample_count_zero

and error_count_zero

WAIT(000)

RESET(001)

COUNT(011)

ARMED(101)

trigger event or (arm = 0 and trig[2:0] = 000)

arm = 0run = 0

sample_count_sat or error_count_sat or

run = 0

END(010)

READ(100)

X19667-081717

UltraScale アーキテクチャ GTY ト ランシーバー 217UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 218: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

LPM モードでは、 BER が次のよ うに計算されます。

式 4-2

DFE モードでは、 2 つのエラー累算が必要です (1 つは RX_EYESCAN_VS_UT_SIGN= 0 を使用、 1 つは

RX_EYESCAN_VS_UT_SIGN = 1 を使用)。 その後、 ビッ ト エラー率が次のよ うに計算されます。

式 4-3

これらのエラー累算の解と再現性を保持して信頼性の高い BER 値を得るには、 優れた再現性への必要性と必要以上

に時間をかけないこ と とをバランスにかけながら、 累算ごとに ES_PRESCALE を動的に調整する必要があ り ます。

つま り、 1 つの累算上でのエラーが 1 または 2 つの場合、 繰り返しの累算におけるエラーは 0 または 3 つまたは 5 つ

となる可能性があ り、 著し く BER が変わり ます。 しかし、 ある累算でのエラーが 30 で別の累算では 27 または 35 で

あれば、 BER は大して変わり ません。 表 4-18 に、 特定の BER を確実にするために必要な 大 ES_PRESCALE をバ

ス幅別に示します。

ARMED ステートでは、 FIFO (Rdata および Sdata の連続するサイクル) は、 ト リガー イベン トが発生する と停止しま

す。 この ト リガー イベン トは、 カウン ト クオ リ ファ イアのパルス、 エラー カウンターに反映されるビッ トすべての

論理和、 DRP データ入力による手動ト リガー、 またはポート を介した手動ト リガーのいずれかで発生します。 これ

ら 4 つのオプシ ョ ンのうち 1 つを trig[3:0] = ES_CONTROL[5:2] で選択します。

READ ステートでは、 Rdata の 後の 2 サイクル間を DRP 読み出し専用レジスタ (es_rdata[159:0]) から、 Sdata の 後

の 2 サイクル間を DRP 読み出し専用レジスタ (es_sdata[159:0]) から読み出すこ とができます。

BER es_error_countes_sample_count 2 1 ES_PRESCALE+( ) int_datawidth××-----------------------------------------------------------------------------------------------------------=

BER es_error_count0es_sample_count0 2 1 ES_PRESCALE0+( ) int_datawidth××----------------------------------------------------------------------------------------------------------------

es_error_count1es_sample_count1 2 1 ES_PRESCALE1+( ) int_datawidth××----------------------------------------------------------------------------------------------------------------

+

=

表 4-18: 特定のバス幅における BER を確認するために必要な 大 ES_PRESCALE

バス幅BER フロア (99.5% コンフ ィデンス)

10–6 10–7 10–8 10–9 10–10 10–11 10–12 10–13 10–14 10–15

16 2 5 8 12 15 18 22 25 28 32

20 1 5 8 11 15 18 21 25 28 31

32 1 4 7 11 14 17 21 24 27 31

40 0 4 7 10 14 17 20 24 27 30

64 0 3 6 10 13 16 20 23 26 30

80 0 3 6 9 13 16 19 23 26 29

128 0 2 5 9 12 15 19 22 25 29

160 0 2 5 8 12 15 18 22 25 28

UltraScale アーキテクチャ GTY ト ランシーバー 218UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 219: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

ポートおよび属性

表 4-19 に、 RX アイ スキャン機能に関連するポート を示します。

表 4-19: RX マージン解析のポート

ポート 方向 ド メイン 説明

EYESCANDATAERROR 出力 非同期 COUNT または ARMED ステートのと きに (マスク されていない)

エラーが発生する と、REC_CLK の 1 サイクル間 High になり ます。

EYESCANTRIGGER 入力 非同期 ト リガー イベン ト を発生させます。 下記の ES_CONTROL[4] を参

照してください。

RXRATE 入力 RXUSRCLK2 GTY ト ランシーバー RX で有効な PLL 分周器の値を自動的に変更

するダイナミ ッ ク ピンです。 これらのポートは、 PCI Express やそ

の他の規格で使用されます。

000: RXOUT_DIV 属性を使用

001: 1 で分周

010: 2 で分周

011: 4 で分周

100: 8 で分周

101: 16 で分周

110: 32 で分周

111: 1 で分周

RXBUF_RESET_ON_RATE_CHANGE 属性が、 オプシ ョ ンの自動

リセッ ト機能を有効にします。

RXLPMEN 入力 非同期 1'b1 に設定する と、 適応型リニア イコラ イザーの LPM モードが

有効になり ます。 1'b0 に設定する と、 高性能 DFE モードが有効に

なり ます。

EYESCANMODE 入力 非同期 UltraScale FPGA のみ:

予約。

UltraScale アーキテクチャ GTY ト ランシーバー 219UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 220: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

表 4-20 に、 RX アイ スキャンの属性を示します。 小文字の属性名は R/O を示します。

表 4-20: RX マージン解析の属性

属性 タイプ 説明

ES_HORZ_OFFSET 12 ビッ トの

16 進数

スキャン サンプルの水平方向 (位相) オフセッ ト を制御します。

[10:0]: 位相オフセッ ト (2 の補数)。 データ アイの中央 (0UI) は、 すべてのデータ

レートの 11'd0 のカウン ト値に相当する。 次の表に、 各データ レートの 小カ

ウン ト値 (-0.5UI) および 大カウン ト値 (+0.5UI) を説明する。

Rate min count [dec(bin)] eye center [dec(bin)] max count [dec(bin)]Full -32 (11'b11111100000) +0(11'b00000000000) +32(11'b00000100000)Half -64 (11'b11111000000) +0(11'b00000000000) +64(11'b00001000000)Qrtr -128 (11'b11110000000) +0(11'b00000000000) +128(11'b00010000000)Octal -256 (11'b11100000000) +0(11'b00000000000) +256(11'b00100000000)Hex -512 (11'b11000000000) +0(11'b00000000000) +512(11'b01000000000)

[11]: 位相の統一。 RXPLLREFCLK_DIV1 ライン レートが 10Gb/s 以下の場合、

このビッ ト を 1'b0 に設定します。 ライン レートが 10Gb/s を超える場合、 この

ビッ ト を 1'b1 に設定します。

ES_PRESCALE 5 ビッ ト

バイナリ

サンプル カウン ト値のプリ スケーリ ングを制御し、 16 ビッ ト レジスタの範囲内

の妥当な精度でサンプル カウン ト値およびエラー カウン ト値の両方を保持しま

す。プリ スケール値は 2(1 + レジスタ値) であるため、 小プリ スケール値は 2(1+0) =

2、 および 大プリ スケール値は 2(1+31) = 4,294,967,296 です。

ES_SDATA_MASK9、

ES_SDATA_MASK8、

ES_SDATA_MASK7、

ES_SDATA_MASK6、

ES_SDATA_MASK5、

ES_SDATA_MASK4、

ES_SDATA_MASK3、

ES_SDATA_MASK2、

ES_SDATA_MASK1、ES_SDATA_MASK0

16 ビッ トの

16 進数

これら 10 の 16 ビッ ト単位で 160 ビッ トの ES_SDATA_MASK を構成します。

(たとえば、 ES_SDATA_MASK4[15:0] はビッ ト [79:64] を保持します。 ) 80 ビッ ト

Sdata バスの 大 2 サイクル分をマスク します。 バイナリ ビッ ト 1 で対応するバ

ス ビッ トがマスク され、 バイナリ ビッ ト 0 でマスク されないまま とな り ます。

統計アイの表示に対応する場合、 エラー カウンターは、 Sdata バスの直近のサイ

クルでマスク されていない 1 の総数を累積します (ES_SDATA_MASK[79:0] でマ

スク される )。 波形の表示に対応する場合、 エラー カウンターは、 Sdata バスの以

前のサイクルでマスク されていない 1 の 0 以外の各値に対して 1 ずつインク リ メ

ン ト します (ES_SDATA_MASK[159:80] でマスク される )。

この属性および ES_QUAL_MASK は、 80 ビッ ト よ り も小さいバス幅の未使用

ビッ ト もマスクする必要があ り ます。 統計アイの表示では、 この属性は次の値

をファンクシ ョ ンのバス幅と見なします。

80 ビッ ト幅: ES_SDATA_MASK = {80'b1, 80'b0}

64 ビッ ト幅: ES_SDATA_MASK = {80'b1, 64'b0, 16'b1}

40 ビッ ト幅: ES_SDATA_MASK = {80'b1, 40'b0, 40'b1}

32 ビッ ト幅: ES_SDATA_MASK = {80'b1, 32'b0, 48'b1}

20 ビッ ト幅: ES_SDATA_MASK = {80'b1, 20'b0, 60'b1}

16 ビッ ト幅: ES_SDATA_MASK = {80'b1, 16'b0, 64'b1}

波形の表示には計測シーケンスが必要で、 各計測のシングル ビッ トのみがマス

ク されません。

UltraScale アーキテクチャ GTY ト ランシーバー 220UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 221: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

ES_QUALIFIER9、

ES_QUALIFIER8、

ES_QUALIFIER7、

ES_QUALIFIER6、

ES_QUALIFIER5、

ES_QUALIFIER4、

ES_QUALIFIER3、

ES_QUALIFIER2、

ES_QUALIFIER1、ES_QUALIFIER0

16 ビッ トの

16 進数

これら 10 の 16 ビッ ト単位で 160 ビッ トの ES_QUALIFIER を構成します。 (たと

えば、 ES_QUALIFIER9[15:0] はビッ ト [159:144] を保持します。 ) アイ スキャン

では、 入力データのどの位置でも、 大 80 ビッ トの連続ビッ ト長のパターンに

基づいて BER 測定が可能です。 データ、 つま り クオ リ ファ イア パターンは揃え

られないため、 パターンの位置をバレル シフ ト検索によって検出する必要があ

り ます。 たとえば、 20 ビッ ト データ幅の 10'b0011111010 パターン (8B/10B

コードの K28.5) を検索する場合、 次のよ うな計測シーケンスが必要です。

こ こでは、 正確なアライ メン トでの 0 以外のサンプル数を検索しています。

ES_QUALIFIER = {{130{1'b?}}, 10'b0011111010, {20{1’b?}}}

ES_QUALIFIER = {{129{1'b?}}, 10'b0011111010, {21{1'b?}}}

ES_QUALIFIER = {{128{1'b?}}, 10'b0011111010, {22{1'b?}}}

…など ( 「?」 は、 マスク される 「Don’t Care」 ビッ ト を示す)

クオ リ ファ イア パターンは、 バス幅 (80、 64、 40、 32、 20、 または 16) の有効な

ビッ トに対してのみシフ ト されます。 RX_INT_DATAWIDTH の説明を参照して

ください。

ES_QUAL_MASK9、

ES_QUAL_MASK8、

ES_QUAL_MASK7、

ES_QUAL_MASK6、

ES_QUAL_MASK5、

ES_QUAL_MASK4、

ES_QUAL_MASK3、

ES_QUAL_MASK2、

ES_QUAL_MASK1、ES_QUAL_MASK0

16 ビッ トの

16 進数

これら 10 の 16 ビッ ト単位で 160 ビッ トの ES_QUAL_MASK を構成します。

(たとえば、 ES_QUAL_MASK4[15:0] はビッ ト [79:64] を保持します。 ) クオ リ

ファ イア パターンに含まれないビッ ト をマスクします。 たとえば、 上記で例と

して挙げた K28.5 に対応する値は次のとおりです。

ES_QUAL_MASK = {{130{1'b1}}, {10{1'b0}}, {20{1'b1}}}

ES_QUAL_MASK = {{129{1'b1}}, {10{1'b0}}, {21{1'b1}}}

ES_QUAL_MASK = {{128{1'b1}}, {10{1'b0}}, {22{1'b1}}}

…など

ES_EYE_SCAN_EN ブール型 アイ スキャンを使用する と きは、 常に 1 と します。 このビッ ト を 0 に設定する

と、 アイ スキャン回路の電源が切断され、 アイ スキャンのステートが強制的に

WAIT とな り ます。 アイ スキャン機能を再度有効にするには、 このビッ ト を再度

アサート して PMA リセッ ト をアサート /ディアサートする必要があ り ます。

ES_ERRDET_EN ブール型 1: Sdata バスの各ビッ トは、 対応するオフセッ ト データ サンプルが復元された

データ サンプルと一致しない場合かつその場合に限り、 1 となる。 これは、 統計

アイの表示に使用される。

0: Sdata バスの各ビッ トは、 復元されたデータ サンプルである。 したがって、 エ

ラーが発生しない場合、 Sdata バスは Rdata バスと同一となる。 これは、 波形の

表示に使用される。

表 4-20: RX マージン解析の属性 (続き)

属性 タイプ 説明

UltraScale アーキテクチャ GTY ト ランシーバー 221UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 222: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

ES_CONTROL 6 ビッ ト

バイナリ

[0]: 実行

このビッ ト をアサートする と、 WAIT ステートが RESET ステートに遷移し、

BER 測定シーケンスが開始する。

[1]: ARM

このビッ ト をアサートする と、 WAIT ステートが RESET ステートに遷移し、

診断シーケンスが開始する。 ディアサートする と、 下記の [5:2] ビッ ト ステー

トのうちの 1 つが満たされていない場合、ARMED ステートが READ ステート

へ遷移する。

[5:2]:

0001: ARMED ステートでは、 エラーが検出される と (つま り、 マスク されて

いない 1 が Sdata バスに 1 つ存在する )、 ト リ ガー イベン ト (READ ステートへ

の遷移) を発生させる。

0010: ARMED ステートでは、 クオ リ ファ イア パターンが Rdata で検出される

と、 ト リガー イベン ト (READ ステートへの遷移) を発生させる。

0100: ARMED ステートでは、 eye_scan_trigger ポートが High になる と、 ト リ

ガー イベン ト (READ ステートへの遷移) を発生させる。

1000: ARMED ステートでは、 ただちにト リガー イベン ト (READ ステートへ

の遷移) を発生させる。

RX_DATA_WIDTH 整数 RXDATA ポートのビッ ト幅を設定します。 8B/10B エンコーダーが有効の場合、

RX_DATA_WIDTH は 20 ビッ ト、 40 ビッ ト 、 または 80 ビッ トに設定される必要

があ り ます。 有効な設定値は 16、 20、 32、 40、 64、 80、 128、 および 160 です。

詳細は、 302 ページの 「インターフェイス幅の設定」 を参照してください。

USE_PCS_CLK_PHASE_SEL 1 ビッ ト

バイナリ

予約。 ウ ィザードの推奨値を使用して ください。

ES_CLK_PHASE_SEL 1 ビッ ト

バイナリ

予約。 ウ ィザードの推奨値を使用して ください。

ISCAN_CK_PH_SEL2 1 ビッ ト

バイナリ

予約。 ウ ィザードの推奨値を使用して ください。

表 4-20: RX マージン解析の属性 (続き)

属性 タイプ 説明

UltraScale アーキテクチャ GTY ト ランシーバー 222UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 223: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RX_INT_DATAWIDTH 整数 2: 64 または 80 ビッ ト インターフェイス

1: 32 または 40 ビッ ト インターフェイス

0: 16 または 20 ビッ ト インターフェイス

(表 4-53 の RX_INT_DATAWIDTH の説明参照)

Rdata および Sdata バスの有効データ幅は、 2(1–RX_INT_DATAWIDTH) で分周される

RX インターコネク ト ロジッ ク データ幅です (RX_DATA_WIDTH 参照)。

考えられるその他のバス幅の場合、 以前および現在の有効な Rdata および Sdata

ビッ トが ES_SDATA_MASK、 ES_QUALIFIER、 ES_QUAL_MASK、 es_rdata、 お

よび es_sdata の次のインデッ クスに対応します。

valid data width previous data current data 16 [159:144] [79:64] 20 [159:140] [79:60] 32 [159:128] [79:48] 40 [159:120] [79:40] 64 [159:96] [79:16] 80 [159:80] [79: 0]

RXOUT_DIV 整数 38 ページの図 2-11 に示す、 RX データパス用の QPLL/CPLL の出力クロ ッ ク分周

器 D の設定です。 表 2-11 および表 2-15 を参照して ください。

有効な値は、 1、 2、 4、 8、 16、 および 32 です。

RXRATE ポートが 3'b000 に設定されている場合にのみ、 分周器を設定します。

ES_PMA_CFG 1 ビッ ト

バイナリ

UltraScale FPGA のみ:

予約。

RX_EYESCAN_VS_UT_SIGN 1 ビッ ト

バイナリ

1 ビッ ト バイナリの UT 符号です。

0: ラ ップされていない正のしきい値

1: ラ ップされていない負のしきい値

7 シ リーズ デバイスの ES_VERT_OFFSET[8] に相当します。

RX_EYESCAN_VS_NEG_DIR 1 ビッ ト

バイナリ

1 ビッ ト バイナリのオフセッ ト符号です。

1: 負

0: 正

7 シ リーズ デバイスの ES_VERT_OFFSET[7] に相当します。

RX_EYESCAN_VS_CODE 7 ビッ ト

バイナリ

7 ビッ ト バイナリのオフセッ ト レベルです (ラ ップされていないしきい値 ±UT

で、 中央に調節)7 シ リーズ デバイスの ES_VERT_OFFSET[6:0] に相当します。

RX_EYESCAN_VS_RANGE 2 ビッ ト

バイナリ

次のよ うにアイ スキャンの測定要素を設定します。

00: 1.6mV/カウン ト (デフォルト )

01: 2.0mV/カウン ト

10: 2.4mV/カウン ト

11: 3.3mV/カウン ト

EYE_SCAN_SWAP_EN 1 ビッ ト

バイナリ

予約。 ウ ィザードの推奨値を使用して ください。

表 4-20: RX マージン解析の属性 (続き)

属性 タイプ 説明

UltraScale アーキテクチャ GTY ト ランシーバー 223UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 224: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

表 4-21: RX マージン解析の DRP 読み出し専用レジスタ

属性 タイプ 説明

es_control_status 4 ビッ ト

バイナリ

[0]: 完了。 WAIT、 END、 または READ ステートの場合にのみ High にアサート さ

れる。

[3:1]: ステート マシンの現在のステート を示す。

WAIT 000

RESET 001

COUN 011

END 010

ARMED 101

READ 100

es_rdata_byte9、

es_rdata_byte8、

es_rdata_byte7、

es_rdata_byte6、

es_rdata_byte5、

es_rdata_byte4、

es_rdata_byte3、

es_rdata_byte2、

es_rdata_byte1、es_rdata_byte0

16 ビッ ト

バイナリ

これら 10 の 16 ビッ ト単位で 160 ビッ トの es_rdata を構成します。 (たとえば、

es_rdata_byte9[15:0] はビッ ト [159:144] を保持します。 ) ARMED ステートで ト リ

ガー イベン トが発生する と、 es_rdata[79:0] は Rdata バスの現在のステート、

es_rdata[159:80] は Rdata バスの前のステート を表します。

es_sdata_byte9、

es_rdata_byte8、

es_rdata_byte7、

es_rdata_byte6、

es_rdata_byte5、

es_sdata_byte4、

es_sdata_byte3、

es_sdata_byte2、

es_sdata_byte1、es_sdata_byte0

16 ビッ ト

バイナリ

これら 10 の 16 ビッ ト単位で 160 ビッ トの es_sdata を構成します。 (たとえば、

es_sdata_byte9[15:0] はビッ ト [159:144] を保持します。 ) ARMED ステートで ト リ

ガー イベン トが発生する と、 es_sdata[79:0] は Sdata バスの現在のステート、

es_sdata[159:80] は Sdata バスの前のステート を表します。

es_error_count 16 ビッ トの

16 進数

END および WAIT ステートでは、前の BER 測定の 終的なエラー数を含みます。

es_sample_count 16 ビッ トの

16 進数

END および WAIT ステートでは、 前の BER 測定の 終的なサンプル数を含み

ます。

UltraScale アーキテクチャ GTY ト ランシーバー 224UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 225: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

表 4-22: アイ スキャン読み取り専用 (R) レジスタの DRP アドレス マップ

DRP アドレス 16 進数 (GTY ト ランシーバー ) DRP ビッ ト R/W 属性名 属性ビッ ト

251 15:0 R es_error_count 15:0

252 15:0 R es_sample_count 15:0

253 3:0 R es_control_status 3:0

28C 15:0 R es_rdata_byte9 159:144

28B 15:0 R es_rdata_byte8 143:128

28A 15:0 R es_rdata_byte7 127:112

289 15:0 R es_rdata_byte6 111:96

288 15:0 R es_rdata_byte5 95:80

254 15:0 R es_rdata_byte4 79:64

255 15:0 R es_rdata_byte3 63:48

256 15:0 R es_rdata_byte2 47:32

257 15:0 R es_rdata_byte1 31:16

258 15:0 R es_rdata_byte0 15:0

287 15:0 R es_sdata_byte9 159:144

286 15:0 R es_sdata_byte8 143:128

285 15:0 R es_sdata_byte7 127:112

284 15:0 R es_sdata_byte6 111:96

283 15:0 R es_sdata_byte5 95:80

259 15:0 R es_sdata_byte4 79:64

25A 15:0 R es_sdata_byte3 63:48

25B 15:0 R es_sdata_byte2 47:32

25C 15:0 R es_sdata_byte1 31:16

25D 15:0 R es_sdata_byte0 15:0

UltraScale アーキテクチャ GTY ト ランシーバー 225UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 226: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RX 極性制御

機能の説明

RXP と RXN の差動ト レースが PCB 上で誤って逆になっている と、 GTY ト ランシーバー RX によって受信される差

動データが反転します。 GTY ト ランシーバー RX では、 差動ペアの反転極性をオフセッ トするために、 SIPO 後に

PCS のパラレル バイ トで反転させるこ とができます。 極性制御機能は RXPOLARITY 入力を使用し、 インターコネ

ク ト ロジッ ク インターフェイスから これを High 駆動するこ とによって極性を反転させます。

ポートおよび属性

表 4-23 に、 RX の極性制御で使用するポート を示します。

RX 極性制御の使用

RXP および RXN の極性を入れ替える必要がある場合は、 RXPOLARITY を High に接続して ください。

表 4-23: RX 極性制御ポート

ポート 方向 クロック ド メイン 説明

RXPOLARITY 入力 RXUSRCLK2 RXPOLARITY ポート を使用して、 入力データの

極性を反転します。

0: 反転しない。 RXP は正、 RXN は負

1: 反転する。 RXP は負、 RXN は正

UltraScale アーキテクチャ GTY ト ランシーバー 226UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 227: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RX パターン チェ ッカー

機能の説明

GTY ト ランシーバー レシーバーには、 PRBS チェッカーが内蔵されています (図 4-21 参照)。 このチェッカーは、 5 つ

の業界標準 PRBS パターンのうち 1 つをチェッ クするよ うに設定できます。 チェッカーは自己同期タイプで、 カンマ

アライ メン ト またはデコード実行前の入力データに対して実行されます。 この機能を使用して、 チャネルのシグナル

インテグ リティを検証できます。

ポートおよび属性

表 4-24 に、 パターン チェッカーのポート を示します。

X-Ref Target - Figure 4-21

図 4-21: RX パターン チェ ッカー ブロック

表 4-24: パターン チェ ッカーのポート

ポート 方向 クロック ド メイン 説明

RXPRBSCNTRESET 入力 RXUSRCLK2 PRBS エラー カウンターを リセッ ト します。

RXPRBSSEL[3:0] 入力 RXUSRCLK2 レシーバーの PRBS チェッカーのテス ト パターンを制御し

ます。 有効な設定は次のとおりです。

4'b000: 標準動作モード (PRBS チェッカーはオフ)

4’b0001: PRBS-7

4’b0010: PRBS-9

4’b0011: PRBS-15

4’b0100: PRBS-23

4’b0101: PRBS-31

パターンの変更後、 RX パターン チェッカーが取得した

リ ンクを再度確立できるよ うに、 RX のリセッ ト

(GTRXRESET、 RXPMARESET、 または RXPCSRESET)

も し くは PRBS エラー カウンターのリセッ ト

(RXPRBSCNTRESET) を実行します。 PRBS 以外のパター

ンに対してチェッ クは実行されません。

PolarityInversion SIPO

ErrorCounter(32 bits)

PRBS-31 Pattern Checker

PRBS-23 Pattern Checker

PRBS-15 Pattern Checker

PRBS-7 Pattern CheckerRX_PRBS_ERR_CNT

RXPRBSERR

RXDATARXPRBSSEL

Error

PRBS-9 Pattern Checker

X19668-081717

UltraScale アーキテクチャ GTY ト ランシーバー 227UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 228: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

表 4-25 および表 4-26 に、 パターン チェッカーの属性を示します。

RXPRBSERR 出力 RXUSRCLK2 PRBS エラーが発生したこ とを示すステータス出力です。

正確なビッ ト エラー数は RX_PRBS_ERR_CNT 読み出すと

わかり ます。

RXPRBSLOCKED 出力 RXUSRCLK2 リセッ ト後の RXPRBS_LINKACQ_CNT XCLK サイクル間、

RX PRBS チェッカーがエラーのない状態を確認したこ と

を示します。 いったん High にアサート される と、 RX パ

ターン チェッカーが RX のリセッ ト (シーケンシャル モー

ドの GTRXRESET、 RXPMARESET、 または

RXPCSRESET) もし くは PRBS エラー カウンターのリセッ

ト (RXPRBSCNTRESET) によって リセッ ト されるまで、

RXPRBSLOCKED はディアサート されません。

表 4-25: パターン チェ ッカーの属性

属性 タイプ 説明

RXPRBS_ERR_LOOPBACK 1 ビッ ト バイナリ 1 に設定された場合、 RXPRBSERR ビッ トが同じ GTY ト ラン

シーバーの TXPRBSFORCEERR へ内部ループバッ ク されます。

これによって、 データ ク ロ ッ ク乗せ換えの交差を懸念せずに、

同期および非同期ジッ ター耐性テス トが可能になり ます。

0 に設定する と、TXPRBSFORCEERR が TX PRBS に対応します。

RXPRBS_LINKACQ_CNT 整数 RX パターン チェッカーのリ ンク取得カウン トです。 出力ポー

ト RXPRBSLOCKED と併用します。 RX PRBS チェッカーに

よって、PRBS データが RXPRBS_LINKACQ_CNT XCLK サイク

ル間エラーのない状態であるこ とが確認されたら、

RXPRBSLOCKED は High にアサート されます。 有効な範囲は 15 ~ 255 です。

表 4-26: パターン チェ ッカーの DRP 読み出し専用レジスタ

属性 タイプ 説明

RX_PRBS_ERR_CNT 32 ビッ ト バイナリ PRBS エラー カウンターです。 このカウンターは RXPRBSCNTRESET のアサートで リセッ ト されます。 入力デー

タでシングル ビッ ト エラーが発生する と、 このエラー カウン

ターが 1 つずつインク リ メン ト します。 このため、 入力データ

で複数ビッ ト エラーが発生する と、 シングル ビッ ト エラーが

カウン ト されます。 カウンターは、 ビッ ト エラーの実際の数の

分だけインク リ メン ト します。 RXPRBSLOCKED が High にア

サート される と、 カウン トが開始します。 カウンターは 32'hFFFFFFFF で飽和状態になり ます。 このエラー カウン

ターへは、 DRP インターフェイスを介してのみアクセスできま

す。 DRP は各動作で 16 ビッ ト データしか出力しないため、 完

全な 32 ビッ ト値を読み出すには 2 つの DRP ト ランザクシ ョ ン

を完了させる必要があ り ます。

エラー カウンターを正し く読み出すには、 アドレス 0x25E の下位 16 ビッ ト を 初に読み出した後、 アドレス 0x25F の上位 16 ビッ ト を読み出します。 この読み出しシーケンスに従う必要

があ り ます。

表 4-24: パターン チェ ッカーのポート (続き)

ポート 方向 クロック ド メイン 説明

UltraScale アーキテクチャ GTY ト ランシーバー 228UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 229: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RX バイ トおよびワード アライメン ト

機能の説明

シ リアル データをパラレル データ と して使用できるよ うにするには、 データをシンボルの境界に揃える必要があ り

ます。 このアライ メン ト を実行するため、 ト ランス ミ ッ ターは通常カンマと呼ばれる識別可能なシーケンスを送信

します。 レシーバーは、 入力データ内でカンマを検索します。 レシーバーでカンマが検出される と、 カンマがバイ

ト境界に移動して、 受信したパラレル ワードが送信されたパラレル ワード と一致します。

図 4-22 に、 10 ビッ ト カンマへのアライ メン ト を示します。 RX が受信したアラインされていないビッ トは右側にあ

り ます。 カンマを含むシ リ アル データは、 中央でハイライ ト されています。 バイ ト アラインされた RX パラレル データは左側にあ り ます。

図 4-23 では、 TX パラレル データを左側に示し、 RX が受信するカンマ アライ メン ト後の識別可能なパラレル デー

タを右側に示します。

X-Ref Target - Figure 4-22

図 4-22: カンマ アライメン トの詳細図 (10 ビッ ト カンマへのアライメン ト )

10010

Alignment BlockFinds Comma

Transmitted First

Stream of Serial Data

10110110010101001000101010101011001101100001001 0011010111 0011001110 0101111100

All Subsequent DataAligned to Correct

Byte BoundaryX19669-091117

X-Ref Target - Figure 4-23

図 4-23: カンマ アライメン トのパラレル データ (SHOW_REALIGN_COMMA = TRUE)

TX Parallel Data RX Parallel Data

Time

Non-alignedData

Comma

Data1

Data2

Data0

Comma

Data1

Data2

X19670-081717

UltraScale アーキテクチャ GTY ト ランシーバー 229UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 230: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

カンマ アライメン トの有効化

カンマ アライ メン ト ブロ ッ クを有効にするには、 RXCOMMADETEN ポート を High に駆動します。

RXCOMMADETEN を Low に駆動する と、 ブロ ッ クがバイパスされてレイテンシが 小になり ます。

カンマ パターンの設定

ブロ ッ クが入力データ ス ト リーム内で検索するカンマ パターンを設定するには、 ALIGN_MCOMMA_VALUE、

ALIGN_PCOMMA_VALUE、 および ALIGN_COMMA_ENABLE 属性を使用します。 カンマの長さは、

RX_DATA_WIDTH で決定されます (306 ページの表 4-53 参照)。 図 4-24 では、 ALIGN_COMMA_ENABLE によって、

各カンマの値が部分的にパターン一致可能になっています。

図 4-25 に、 ALIGN_COMMA_DOUBLE = TRUE の場合でのカンマ パターンの組み合わせを示します。

図 4-26 に、 ALIGN_COMMA_ENABLE でカンマを結合して構成した、 ワイルドカードを含む 20 ビッ トのカンマ パ

ターンを示します。 ALIGN_COMMA_DOUBLE が TRUE の場合、 MCOMMA パターンと PCOMMA パターンが組み

合わせられ、 ブロ ッ クでは連続した 2 つのカンマが検索されます。 カンマ内のビッ ト数は RX_DATA_WIDTH で決定

されます。 16 ビッ ト または 20 ビッ トのいずれかのカンマ アライ メン ト モードを利用できます。 2 つの連続カンマ

は、 受信データ内に ALIGN_PCOMMA_VALUE で定義された PCOMMA があ り、 その後にすぐ

ALIGN_MCOMMA_VALUE で定義された MCOMMA がある場合にのみ検出されます (2 つのカンマ パターン間に余

分なビッ トは含まれない)。

X-Ref Target - Figure 4-24

図 4-24: カンマ パターンのマスク

X-Ref Target - Figure 4-25

図 4-25: 拡張されたカンマ パターン定義

0 1 0 11111 0 0

x x x 11111 0 0

0 0 0 1111111

ALIGN_MCOMMA_VALUEor

ALIGN_PCOMMA_VALUEPattern Required forComma Detection

(x = don’t care)

ALIGN_COMMA_ENABLE

X19671-081717

ALIGN_MCOMMA_VALUE ALIGN_PCOMMA_VALUE

X19672-081717

UltraScale アーキテクチャ GTY ト ランシーバー 230UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 231: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

カンマ アライメン トの有効化

カンマ アライ メン トが有効なと きにカンマが検出される限り、 それらはシンボルの境界に揃えられます。

MCOMMA パターンに揃えるには、 RXMCOMMAALIGNEN を High に駆動し、 PCOMMA パターンに揃えるには、

RXPCOMMAALIGNEN を High に駆動します。 いずれかのパターンに揃える場合は、 両方のイネーブル ポート を駆

動します。 ALIGN_COMMA_DOUBLE が TRUE の場合、 2 つのイネーブル ポートは常に同じ値を駆動する必要があ

り ます。

アライメン ト ステータス信号

MCOMMA または PCOMMA アライ メン トが有効の間、 ブロ ッ クはカンマ パターン一致機能によ り、 シンボルの境

界に再び揃えられます。 アライ メン トが適切に完了する と、 ブロ ッ クでは RXBYTEISALIGNED が High に保持され

ます。 この時点で、 RXMCOMMAALIGNEN および RXPCOMMAALIGNEN を Low に駆動してアライ メン ト機能をオ

フにする と、 回路アライ メン トの状態を維持できます。 RXBYTEISALIGNED を High にするには、 PCOMMA に対す

る RXPCOMMAALIGNEN を TRUE に設定する必要があ り ます。 同様に、 RXBYTEISALIGNED を High にするには、

MCOMMA に対する RXMCOMMAALIGNEN を TRUE に設定する必要があ り ます。 カンマは、 RXBYTEISALIGNED

が High の間に到達可能です。 カンマが境界に揃えられて到達する場合、 変更はあ り ません。 カンマがずれた位置に

到達する と、 ブロ ッ クでは、 再びカンマが揃う まで RXBYTEISALIGNED がディアサート されます。 到達したカンマ

に対してアライ メン トが有効のままのと き、 ブロ ッ クは自動的に新しいカンマを も近接した境界に揃えて、

RXUSRCLK2 の 1 サイクル間、 RXBYTEREALIGN を High に駆動します。

5Gb/s よ り も高いライン レートで動作し、 システムに過剰なノ イズが発生するアプリ ケーシ ョ ンでは、 有効なデー

タが存在しない場合に、 バイ ト アライン ブロ ッ クによってデータが間違ったバイ ト境界に揃えられ、

RXBYTEISALIGNED 信号が不正にアサート されます。 このよ うなアプリ ケーシ ョ ンでは、 RXBYTEISALIGNED 信

号 (インジケーター ) およびデータの有効性を確認するためにシステム レベル チェッ クの準備が整っている必要があ

り ます。

PCIe および SATA のよ うな RX OOB ブロッ クを使用するシステムでは、 有効なバイ ト境界にロ ッ ク して

RXBYTEISALIGNED 信号をアサート した後、 バイ ト アライン ブロ ッ クはバイ ト境界に変更がなくても

RXBYTEISALIGNED 信号をディアサートする可能性があ り ます。 このよ うなアプリ ケーシ ョ ンでは、

RXBYTEISALIGNED は、 初のアサート後、 バイ ト境界が変更された際の有効なインジケーターと して利用できま

せん。

X-Ref Target - Figure 4-26

図 4-26: 拡張したカンマ パターンのマスク

0010100010

xx10100001

0011111111

0010100001

0011111111

xx10100010

0011111111

ALIGN_MCOMMA_VALUEand

ALIGN_PCOMMA_VALUE(ALIGN_COMMA_DOUBLE = TRUE) Pattern Required for

Comma Detection(x = don’t care)

ALIGN_COMMA_ENABLEX19673-081717

UltraScale アーキテクチャ GTY ト ランシーバー 231UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 232: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

アライメン トの境界

アライ メン トで有効な境界は、 ALIGN_COMMA_WORD および RX_INT_DATAWIDTH で定義されます。 有効な境界

の間隔は RX_DATA_WIDTH で指定され、 有効な境界位置の数は RXDATA インターフェイスのバイ ト数によって決

定されます (X_DATA_WIDTH および RX_INT_DATAWIDTH の設定は、 302 ページの表 4-49 参照)。 図 4-27 に、 選択

可能な境界を示します。

X-Ref Target - Figure 4-27

図 4-27: カンマ アライメン トの境界

ALIGN_COM M A_W ORD

16/20 (2-byte)

Possible RX Alignments(Grey = Com m a Can Appear on Byte)

16/20 (2-byte)

16/20 (2-byte)

0 (2-byte)

0 (2-byte)

0 (2-byte)

1

2

4 Invalid Configuration

32/40 (4-byte)

32/40 (4-byte)

32/40 (4-byte)

0 (2-byte)

0 (2-byte)

0 (2-byte)

1

2

4

Byte0Byte1

Byte0Byte1

32/40 (4-byte)

32/40 (4-byte)

32/40 (4-byte)

1 (4-byte)

1 (4-byte)

1 (4-byte)

1

2

4

64/80 (8-byte)

64/80 (8-byte)

64/80 (8-byte)

1 (4-byte)

1 (4-byte)

1 (4-byte)

1

2

4 Byte4Byte5Byte6Byte7

Byte0Byte1Byte2Byte3

Byte0Byte1Byte2Byte3

Byte0Byte1Byte2Byte3

Byte0Byte1Byte2Byte3

Byte0Byte1Byte2Byte3

Byte0Byte1Byte2Byte3

Byte0Byte1Byte2Byte3

Byte0Byte1Byte2Byte3

Byte4Byte5Byte6Byte7

Byte4Byte5Byte6Byte7

RX_DATA_W IDTH RX_INT_DATAW IDTH

Invalid Configuration

X19674-091117

UltraScale アーキテクチャ GTY ト ランシーバー 232UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 233: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

手動アライメン ト

RXSLIDE は、 パラレル データ アライ メン トの設定に使用する自動カンマ アライ メン トの代替手段です。 RXSLIDE

が RXUSRCLK2 の 2 サイクル間 High 駆動する間、 パラレル データが 1 ビッ ト シフ ト します。 RXSLIDE は、 使用さ

れる前に少なく と も RXUSRCLK2 の 32 サイクル間 Low 駆動する必要があ り ます。

図 4-28 に、 RXSLIDE_MODE = PCS の RXSLIDE を使用した手動アライ メン ト実行前と実行後の波形を示します。

RXSLIDE_MODE = PCS が使用される場合、 RXSLIDE パルスが連続して出力される と きのビッ ト シフ ト位置の値も

また、 ALIGN_COMMA_WORD、 RX_DATA_WIDTH、 および RX_INT_DATAWIDTH で設定したカンマ アライ メン

ト バウンダ リによって決定されます。 たとえば、 RX_DATA_WIDTH が 20 ビッ トで ALIGN_COMMA_WORD が 1 の

場合、 9 番目のスライ ド動作後のスライ ド位置は 0 に戻り ます。 同様の RX_DATA_WIDTH 設定で

ALIGN_COMMA_WORD が 2 の場合、 19 番目のスライ ド動作後にスライ ド位置が 0 に戻り ます。 このため

RXSLIDE_MODE = PCS では、RX_INT_DATAWIDTH = 1 (4 バイ ト ) および ALIGN_COMMA_WORD = 4 の場合に 大

で 40 ビッ トのスライ ドが可能になり ます。

図 4-28 について説明します。

1. RXDATA でのスライ ド開始からスライ ド完了までのレイテンシは、 データパスのアクティブ RX PCS ブロ ッ ク

数によって異なり ます。

X-Ref Target - Figure 4-28

図 4-28: RXSLIDE を使用した手動データ アライメン ト (RX_DATA_WIDTH = 20 ビッ ト、 RXSLIDE_MODE = PCS)

RXUSRCLK2

RXSLIDE

RXDATA

TXDATA 00000000001001111100

00000000000010011111 0000000000100111110000000000000100111110

Slide results on RXDATA after several cycles of latency

through the PCS path

A minimum of 32 RXUSRCLK2 cyclesare required between two RXSLIDE pulses

X19675-081717

UltraScale アーキテクチャ GTY ト ランシーバー 233UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 234: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

図 4-29 に、 RXSLIDE_MODE = PMA の RXSLIDE を使用した手動アライ メン ト実行前と実行後の波形を示します。

このモードでは、 RXSLIDE パルスが出力されるたびにデータが 1 ビッ ト分右方向へシフ ト されますが、 後のデー

タがバスに送信される前に、 ビッ トが左方向にシフ ト した中間データも一部存在するこ とがあ り ます。

RXSLIDE_MODE = PMA が使用される場合、 RX のリ カバリ ク ロ ッ クの位相 (RXOUTCLKSEL = 3'b010 の場合は

RXOUTCLK、 RX_XCLK_SEL = 「RXDES」 の場合は XCLK) は、 代替 RXSLIDE パルスごとに 2UI 分シフ ト します。

図 4-29 について説明します。

1. RXDATA でのスライ ド開始からスライ ド完了までのレイテンシは、 データパスのアクティブ RX PCS ブロ ッ ク

数によって異なり ます。

X-Ref Target - Figure 4-29

図 4-29: RXSLIDE を使用した手動データ アライメン ト (RX_DATA_WIDTH = 20 ビッ ト、 RXSLIDE_MODE = PMA)

RXUSRCLK2

RXSLIDE

RXDATA

TXDATA 00000000001001111100

00000000000010011111 10000000000001001111 1100000000000010011100000000000100111110

Intermediate Data

Slide results on RXDATA after several cycles of latency through

the PCS path

A minimum of 32 RXUSRCLK2cycles are required between two

RXSLIDE pulses

X19676-081717

UltraScale アーキテクチャ GTY ト ランシーバー 234UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 235: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

ポートおよび属性

表 4-27 に、 RX バイ トおよびワード アライ メン トのポート を示します。

表 4-27: RX バイ トおよびワード アライメン トのポート

ポート名 方向 クロック ド メイン 説明

RXBYTEISALIGNED 出力 RXUSRCLK2 カンマ検出およびリアライ メン ト回路からの信号であ り、

パラレル データ ス ト リームが、 カンマ検出に従ってバイ

ト境界に適切に揃えられている と きに High になり ます。

0: パラレル データ ス ト リームがバイ ト境界に揃えられ

ていない

1: パラレル データ ス ト リームがバイ ト境界に揃えられ

ている

RXBYTEISALIGNED がアサート されてから揃えられた

データが RX インターフェイスで使用できるよ うになる

までには、 数サイクルが必要です。

RXPCOMMAALIGNEN = TRUE のと きは、

RXBYTEISALIGNED が正のカンマ アライ メン トに対応

し、 RXMCOMMAALIGNEN = TRUE のと きは、

RXBYTEISALIGNED が負のカンマ アライ メン トに対応し

ます。

231 ページの 「アライ メン ト ステータス信号」 に、 この

信号が正しい動作にならない条件をいくつか説明します。

RXBYTEREALIGN 出力 RXUSRCLK2 カンマ検出およびリアライ メン ト回路からの信号で、 カ

ンマ検出によって、 シ リ アル データ ス ト リーム内のバイ

ト アライ メン トが変更したこ とを示します。

0: バイ ト アライ メン トに変更なし

1: バイ ト アライ メン トに変更あ り

アライ メン トが起こる とデータの損失や重複が生じる場

合があ り、 データ エラー (および、 8B/10B デコーダーの

使用時はディ スパリティ エラー ) が発生する可能性があ

り ます。

RXCOMMADET 出力 RXUSRCLK2 カンマ アライ メン ト ブロッ クでカンマが検出されると、 ア

サート されます。 この信号は、 RX インターフェイスでカ

ンマが使用可能となる数サイクル前にアサート されます。

0: カンマは未検出

1: カンマを検出

RXCOMMADETEN 入力 RXUSRCLK2 カンマ検出およびアライ メン ト回路の使用を制御します。

0: カンマ検出およびアライ メン ト回路をバイパス

1: カンマ検出およびアライ メン ト回路を使用

カンマおよびアライ メン ト回路をバイパスする場合、 RX

データパスのレイテンシが削減されます。

UltraScale アーキテクチャ GTY ト ランシーバー 235UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 236: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RXPCOMMAALIGNEN 入力 RXUSRCLK2 正のカンマが検出されると、 バイ ト境界が揃えられます。

0: 無効

1: 有効

RXMCOMMAALIGNEN 入力 RXUSRCLK2 負のカンマが検出されると、 バイ ト境界が揃えられます。

0: 無効

1: 有効

RXSLIDE 入力 RXUSRCLK2 RXSLIDE は、 カンマ アライ メン トの手動制御を行う場合

に使用します。 RXSLIDE がアサート される と、 バイ ト ア

ライ メン ト機能によって 1 ビッ ト調整されます。 つま り、

この信号がインターコネク ト ロジッ クによるバイ ト アラ

イ メン トの決定や制御を許可します。 RXSLIDE がアサー

ト されるたびに 1 回調整されます。 RXSLIDE は、 少なく

と も RXUSRCLK2 の 2 サイクルのパルス幅だけアサート

する必要があ り ます。

再調整のため再びアサートする場合は、 RXSLIDE を少な

く と も RXUSRCLK2 の 32 サイ クル間 Low 駆動する必要

があ り ます。

RXSLIDE のアサートは、 通常のカンマ アライ メン ト動作

よ り優先されます。

正し く動作させるには、 次の設定を行う必要があ り ます。

RXPCOMMAALIGNEN = 0

RXMCOMMAALIGNEN = 0

RXCOMMADETEN = 1

SHOW_REALIGN_COMMA = FALSE

表 4-27: RX バイ トおよびワード アライメン トのポート (続き)

ポート名 方向 クロック ド メイン 説明

UltraScale アーキテクチャ GTY ト ランシーバー 236UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 237: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

表 4-28 および表 4-29 に、 RX バイ トおよびワード アライ メン トの属性を示します。

表 4-28: RX バイ トおよびワード アライメン トの属性

属性 タイプ 説明

ALIGN_COMMA_WORD 整数 マルチバイ トのデータパスで検出されたカンマのアライ メン ト

を制御します。

1: 2 バイ ト インターフェイスの場合は 2 バイ ト、4 バイ ト イン

ターフェイスの場合は 4 バイ ト、 8 バイ ト インターフェイスの

場合は 8 バイ トにカンマを揃える。

カンマは、 RXDATA の偶数バイ ト または奇数バイ トのいずれ

かに揃えるこ とができる。

2: カンマを偶数バイ トにのみ揃える。 揃えられたカンマは、

2 バイ ト インターフェイスの場合は RXDATA[9:0]、 4 バイ ト

インターフェイスの場合は RXDATA[9:0]/RXDATA[29:20]、

8 バイ ト インターフェイスの場合は

RXDATA[9:0]/RXDATA[29:20]/RX[49:40]/RX[69:60] の偶数バイ

トに確実に揃えられる。

4: カンマを 4 バイ ト境界に揃える。 この設定は、

RX_INT_DATAWIDTH = 0 では利用不可。 揃えられたカンマ

は、 4 バイ ト インターフェイスの場合は RXDATA[9:0]、 8 バイ

ト インターフェイスの場合は RXDATA[9:0]/RXDATA[49:40] に

確実に揃えられる。

ALIGN_COMMA_WORD、 RX_DATA_WIDTH、 および

RX_INT_DATAWIDTH の異なる設定で利用できるカンマ アラ

イ メン ト バウンダ リの詳細は、 232 ページの図 4-27 を参照し

てください。

カンマを偶数位置および奇数位置に送信するプロ ト コルでは、

ALIGN_COMMA_WORD を 1 に設定します。

ALIGN_COMMA_ENABLE 10 ビッ ト

バイナリ

MCOMMA/PCOMMA で入力データに一致する必要があるビッ

ト 、 および任意の値で問題ないビッ ト を設定します。

10 ビッ トのマスク ビッ トで、 デフォルト値は 1111111111 で

す。 マスクにあ り、 0 にリセッ ト されるいずれのビッ トによって

も、 MCOMMA または PCOMMA 内の対応するビッ トが事実上

Don't Care ビッ トになり ます。

ALIGN_COMMA_DOUBLE ブール型 正または負のカンマのいずれかのみでカンマ一致を定義するか、

あるいはシーケンスに両方が必要かを指定します。

FALSE: 正のカンマ (PCOMMA) および負のカンマ (MCOMMA)

を別々に扱う。 いずれかが一致するこ とでカンマ検出および

アライ メン トが実行される。

TRUE: 正のカンマの直後に負のカンマがある と、 カンマが一

致する。 一致パターンは 20 または 16 ビッ ト

(RX_DATA_WIDTH で定義) である。

ALIGN_COMMA_DOUBLE が TRUE の場合、

ALIGN_PCOMMA_DET は ALIGN_MCOMMA_DET と同一、

RXPCOMMAALIGNEN は RXMCOMMAALIGNEN と同一とな

る必要がある。

UltraScale アーキテクチャ GTY ト ランシーバー 237UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 238: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

ALIGN_MCOMMA_VALUE 10 ビッ ト

バイナリ

RXCOMMADET を High 駆動させてパラレル データを揃えるた

めに負のカンマを定義します。 データ受信は右から左の順

(ALIGN_MCOMMA_VALUE [0] が 初に受信) です。 デフォルト

値は、 10'b1010000011 (K28.5) です。 この設定による、

8B/10B エンコードまたはデコードへの影響はあ り ません。

ALIGN_MCOMMA_DET ブール型 負のカンマが検出されたと きに RXCOMMADET をアサートする

か否かを制御します。

FALSE: 負のカンマが検出されたと き、 RXCOMMADET を

アサート しない

TRUE: 負のカンマが検出されたと き、 RXCOMMADET を

アサートする (この設定によるカンマ アライ メン トへの影響は

ない)

ALIGN_PCOMMA_VALUE 10 ビッ ト

バイナリ

RXCOMMADET を High 駆動させてパラレル データを揃えるた

めに正のカンマを定義します。 データ受信は右から左の順

(ALIGN_PCOMMA_VALUE [0] が 初に受信) です。 デフォルト

値は、 10'b0101111100 (K28.5) です。 この設定による、

8B/10B エンコードまたはデコードへの影響はあ り ません。

ALIGN_PCOMMA_DET ブール型 正のカンマが検出されたと きに RXCOMMADET をアサートする

か否かを制御します。

FALSE: 正のカンマが検出される と、 RXCOMMADET をアサー

ト しない

TRUE: 正のカンマが検出される と、 RXCOMMADET をアサー

トする (この設定によるカンマ アライ メン トへの影響はない)

SHOW_REALIGN_COMMA ブール型 リ アライ メン トのため、 RX へカンマ パターンを送信するかを定

義します。

FALSE: RX のリアライ メン ト を実行させるカンマを送信しない。

この設定によ り、 RX データパスのレイテンシを削減できる。

TRUE: RX のリ アライ メン ト を実行させるカンマを送信する。

ALIGN_COMMA_DOUBLE = TRUE の場合、 または手動アライ

メン ト を使用する場合は SHOW_REALIGN_COMMA = TRUE

を使用しないよ うにします。

表 4-28: RX バイ トおよびワード アライメン トの属性 (続き)

属性 タイプ 説明

UltraScale アーキテクチャ GTY ト ランシーバー 238UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 239: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RXSLIDE_MODE 文字列 RXSLIDE モードを指定します。

OFF: デフォルト設定。 RXSLIDE 機能は使用されない。

PCS: PCS を使用して、 ビッ ト ス リ ップ機能を実行する。

RXSLIDE が RXUSRCLK2 の 1 サイクル間 High 駆動する間、

ALIGN_COMMA_WORD、 RX_DATA_WIDTH、 および

RX_INT_DATAWIDTH で設定したカンマ アライ メン ト バウン

ダ リ内でパラレル データ (RXDATA) が 1 ビッ ト分左方向へシ

フ トする。 このモードの場合、 RXOUTCLK のソースが RX

PMA であっても、 ク ロ ッ クの位相は変わらない。 このオプ

シ ョ ンを使用する場合は、 SHOW_REALIGN_COMMA を

FALSE に設定する必要がある。

PMA: PMA を使用して、 ビッ ト ス リ ップ機能を実行する。

RXSLIDE が RXUSRCLK2 の 1 サイクル間 High 駆動する間、

パラレル データ (RXDATA) が 1 ビッ ト分右へシフ トする。

RXOUTCLK のソースが RX PMA の場合、 クロ ッ クの位相が変

更される可能性がある。 このモードでは、 PCS モード よ り も

レイテンシ変動を 小限に抑えた 小レイテンシが得られる。

このオプシ ョ ンを使用する場合は、

SHOW_REALIGN_COMMA を FALSE に設定する必要がある。

AUTO: 自動化された PMA モード。 RXDATA のモニタ リ ング

や RXSLIDE パルスの送信を行うためのインターコネク ト ロ

ジッ クを使用しないため、 RXSLIDE は無視される。 RXSLIDE

は無視される。 PCIe® アプリ ケーシ ョ ンでは、 FTS レーンのス

キュー調整用にこの設定が使用される。 このオプシ ョ ンを使

用する場合は、 SHOW_ALIGN_COMMA を FALSE に設定する

必要がある。

RX マルチ レーン バッファーがバイパスされる と きは、

RXSLIDE_MODE は AUTO または PMA に設定できません。

PMA または AUTO のいずれかのモードで RXSLIDE_MODE を使

用する場合、 RXOUTCLK を利用して RXUSRCLK/RXUSRCLK2

へクロッ クを供給し、 RXOUTCLKSEL を RXOUTCLKPMA に設

定する必要があり ます。

RXSLIDE_AUTO_WAIT 整数 再びアライ メン ト チェッ クを行う前に、 PCS が何サイクル間

(RXUSRCLK ク ロ ッ ク サイ クルに基づく ) PMA の自動スライ ドを

待機すべきかを定義します。 有効な値は、 0 ~ 15 です。 デフォ

ルト値は 7 です。 ウ ィザードの推奨値を使用してください。

RX_SIG_VALID_DLY 整数 予約。 ウ ィザードの推奨値を使用して ください。

COMMA_ALIGN_LATENCY 7 ビッ ト

バイナリ

ロ ッ ク されているカンマ位置に基づいて入力データをアライン

するバイ ト アライン ブロ ッ クが使用する現在のアライ メン トで

す。 このレジスタへは DRP を介してのみアクセス可能です。

表 4-29: RX バイ トよびワード アライメン トの DRP 読み出し専用レジスタ

属性 タイプ 説明

COMMA_ALIGN_LATENCY 7 ビッ ト

バイナリ

ロ ッ ク されているカンマ位置に基づいて入力データをアライン

するバイ ト アライン ブロ ッ クが使用する現在のアライ メン トで

す。 このレジスタへは DRP を介してのみアクセス可能です。

表 4-28: RX バイ トおよびワード アライメン トの属性 (続き)

属性 タイプ 説明

UltraScale アーキテクチャ GTY ト ランシーバー 239UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 240: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RX 8B/10B デコーダー

機能の説明

RX で受信するデータが 8B/10B エンコード される場合は、 デコードが必要です。 GTY ト ランシーバーには、 TX 側

に 8B/10B エンコーダーが 1 つ、 そして RX 側に 8B/10B デコーダーが 1 つ内蔵されています。デコーダーには、デー

タパス上に 4 つの 1 バイ ト 8B/10B デコーダー モジュールが含まれているため、デバイス リ ソースを使用せずにデー

タをデコードできます。 RX 8B/10B デコーダーの機能は次のとおりです。

1. 2 バイ ト 、 4 バイ ト、 および 8 バイ トのインターコネク ト ロジッ ク インターフェイス動作をサポート

2. 正しいディ スパリティが得られるよ うに、 ランニング ディ スパリティのデイジー チェーン接続を提供

3. K 符号およびステータス出力を生成

4. 入力データが 8B/10B エンコード されていない場合は、 バイパス可能

5. Not-in-Table エラー発生時に、 10 ビッ トの リ テラル エンコード値を出力

8B/10B のビッ トおよびバイ ト順序

8B/10B デコーダーへ入力されるビッ ト順序は、 付録 A 「8B/10B の符号」 の順序の逆です。 8B/10B デコードでは

ビッ ト a0 を 初に受信する必要があ り ますが、 GTY ト ランシーバーでは常に も右にあるビッ トが 初に受信され

ます。 したがって、 8B/10B デコーダーは、 デコード前に受信データのビッ ト順序を自動的に反転させるよ う設計さ

れています。 そして、 デコード されたデータが RXDATA ポートに現れます。 図 4-30 に、 RX_DATA_WIDTH = 20、

40、 および 80 の場合での GTY ト ランシーバー RX によるデータ受信を示します。 8B/10B デコーダーは、

RX_DATA_WIDTH = 160 をサポート しません。 RX_INT_DATAWIDTH = 0 (2 バイ トの内部データパス) または 1 (4 バ

イ トの内部データパス) に設定する必要があ り ます。 8B/10B デコーダーの後、 データはバイ トに再構築されて

RXDATA インターフェイスへ送信されます。 RXDATA で使用されるビッ ト数と対応するバイ ト順序は、

RX_DATA_WIDTH によって異なり ます。

• RX_DATA_WIDTH = 20 の場合は、 RXDATA[15:0] のみ使用

• RX_DATA_WIDTH = 40 の場合は、 RXDATA[31:0] のみ使用

• RX_DATA_WIDTH = 80 の場合は、 RXDATA[63:0] のみ使用

8B/10B デコーダーがバイパスされ、 RX_DATA_WIDTH が 10 の倍数に設定されている場合は、 次のフォーマッ トで

10 ビッ トのキャラ ク ターが RX データ インターフェイスへ送られます。

• 対応する RXCTRL1 は 9 番目のビッ ト を示す

• 対応する RXCTRL0 は 8 番目のビッ ト を示す

• 対応する RXDATA バイ トは [7:0] ビッ ト を示す

UltraScale アーキテクチャ GTY ト ランシーバー 240UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 241: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

X-Ref Target - Figure 4-30

図 4-30: 8B/10B デコーダーでのビッ トおよびバイ ト順序

H1 G1 F1 E1 D1 C1 B1 A1

8B/10B

H0 G0 F0 E0 D0 C0 B0 A0

j1 h1 g1 f1 i1 e1 d1 c1 b1 a1 j0 h0 g0 f0 i0 e0 d0 c0 b0 a0

j3 h3 g3 f3 i3 e3 d3 c3 b3 a3 j2 h2 g2 f2 i2 e2 d2 c2 b2 a2 j1 h1 g1 f1 i1 e1 d1 c1 b1 a1 j0 h0 g0 f0 i0 e0 d0 c0 b0 a0

RX_DATA_WIDTH = 20

RX_DATA_WIDTH = 40

RX_DATA_WIDTH = 80

8B/10B

8B/10B

RXDATA

RXDATA

MSB

MSB LSB

MSB LSB

LSB

ReceivedLast

ReceivedFirst

ReceivedLast

ReceivedFirst

15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0

H1 G1 F1 E1 D1 C1 B1 A1 H0 G0 F0 E0 D0 C0 B0 A0

15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0

H3 G3 F3 E3 D3 C3 B3 A3 H2 G2 F2 E2 D2 C2 B2 A2

31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16

RXDATA H1 G1 F1 E1 D1 C1 B1 A1 H0 G0 F0 E0 D0 C0 B0 A0

15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0

H3 G3 F3 E3 D3 C3 B3 A3 H2 G2 F2 E2 D2 C2 B2 A2

31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16

RXDATA H5 G5 F5 E5 D5 C5 B5 A5 H4 G4 F4 E4 D4 C4 B4 A4

47 46 45 44 43 42 41 40 39 38 37 36 35 34 33 32

H7 G7 F7 E7 D7 C7 B7 A7 H6 G6 F6 E6 D6 C6 B6 A6

63 62 61 60 59 58 57 56 55 54 53 52 51 50 49 48

j3 h3 g3 f3 i3 e3 d3 c3 b3 a3 j2 h2 g2 f2 i2 e2 d2 c2 b2 a2 j1 h1 g1 f1 i1 e1 d1 c1 b1 a1 j0 h0 g0 f0 i0 e0 d0 c0 b0 a0

j7 h7 g7 f7 i7 e7 d7 c7 b7 a7 j6 h6 g6 f6 i6 e6 d6 c6 b6 a6 j5 h5 g5 f5 i5 e5 d5 c5 b5 a5 j4 h4 g4 f4 i4 e4 d4 c4 b4 a4

ReceivedFirst

ReceivedLast

X19677-081717

UltraScale アーキテクチャ GTY ト ランシーバー 241UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 242: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RX ランニング ディスパリテ ィ

ディ スパリティ チェッ クが実行され、 RXDATA のデータ バイ トが不正なディ スパリティで到達する と、 デコーダー

は対応する RXCTRL1 を High に駆動します。 8B/10B デコーダーでは、 ディ スパリティ エラーだけではなく、 20

ビッ トの Out-of-Table エラー コード も検出されます。 デコーダーが有効でも、 受信した 10 ビッ ト キャラ ク ターを付

録 A 「8B/10B の符号」 に示す有効な 8B/10B キャラ ク ターにマップできない場合は、 デコーダーが RXCTRL3 ポー

ト を High に駆動します。 デコード されない 10 ビッ トのキャラ ク ターは、 次のフォーマッ トで RX データ インター

フェイスを介してデコーダーから出力されます。

• 対応する RXCTRL1 は 9 番目のビッ ト を示す

• 対応する RXCTRL0 は 8 番目のビッ ト を示す

• 対応する RXDATA バイ トは [7:0] ビッ ト を示す

図 4-31 に、正しいデータ (A)、ディ スパリティ エラーがあるデータ (B)、 Out-of-Table キャラ ク ター (C) をデコーダー

が受信した場合の RX データ インターフェイスの波形を示します。

特殊文字

8B/10B デコードには、 制御機能に頻繁に使用される特殊文字 (K 符号) が含まれます。 RXDATA が K 符号の場合、 デ

コーダーでは RXCTRL0 が High に駆動されます。

DEC_PCOMMA_DETECT が TRUE の場合、 RXDATA が正の 8B/10B カンマのと きは常に、 デコーダーは対応する

RXCTRL2 を High に駆動します。 DEC_MCOMMA_DETECT が TRUE の場合、 RXDATA が負の 8B/10B カンマのと き

は常に、 デコーダーは対応する RXCTRL2 を High に駆動します。

X-Ref Target - Figure 4-31

図 4-31: 8B/10B エラーがある RX データ

RXUSRCLK2

RXDATA Out ofTable

DispError

GoodData

RXCTRL1

RXCTRL3

A B C

GoodData

X19678-082417

UltraScale アーキテクチャ GTY ト ランシーバー 242UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 243: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

ポートおよび属性

表 4-30 に、 RX 8B/10B エンコーダーで必要なポート を示します。

表 4-30: RX 8B/10B デコーダーのポート

ポート 方向 クロック ド メイン 説明

RX8B10BEN 入力 RXUSRCLK2 カンマ検出/アライ メン ト ブロッ ク直後の RX データパスで 8B/10B

デコーダーの使用を選択します。 この入力が Low の場合、 リテラ

ル 10 ビッ ト データは {RXCTRL1, RXCTRL0, RXDATA<8 bits>} と

して出力されます。

1: 8B/10B デコーダーを使用

0: 8B/10B デコーダーをバイパス (レイテンシ削減)

RXCTRL2[7:0] 出力 RXUSRCLK2 High の場合、 RXDATA の対応するデータ バイ トが K 符号である

こ とを示します。

RXCTRL2[7] は RXDATA[63:56] に対応

RXCTRL2[6] は RXDATA[55:48] に対応

RXCTRL2[5] は RXDATA[47:40] に対応

RXCTRL2[4] は RXDATA[39:32] に対応

RXCTRL2[3] は RXDATA[31:24] に対応

RXCTRL2[2] は RXDATA[23:16] に対応

RXCTRL2[1] は RXDATA[15:8] に対応

RXCTRL2[0] は RXDATA[7:0] に対応

RXCTRL0[15:0] 出力 RXUSRCLK2 High の場合、 8B/10B デコードが有効のと きに RXDATA の対応す

るデータ バイ トが K 符号であるこ とを示します。RXCTRL0[15:8]

は使用しません。

RXCTRL0[7] は RXDATA[63:56] に対応

RXCTRL0[6] は RXDATA[55:48] に対応

RXCTRL0[5] は RXDATA[47:40] に対応

RXCTRL0[4] は RXDATA[39:32] に対応

RXCTRL0[3] は RXDATA[31:24] に対応

RXCTRL0[2] は RXDATA[23:16] に対応

RXCTRL0[1] は RXDATA[15:8] に対応

RXCTRL0[0] は RXDATA[7:0] に対応

8B/10B デコーダーがバイパスされている場合、 または

RXCTRL3 の対応するビッ トが High 駆動している場合、 この

ビッ ト 8 はデコード されていないデータ となる。 詳細は、

302 ページの 「RX インターフェイス」 を参照。

UltraScale アーキテクチャ GTY ト ランシーバー 243UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 244: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RXCTRL1[15:0] 出力 RXUSRCLK2 High の場合、 RXDATA の対応するデータ バイ トにはディ スパリ

テ ィ エラーがあるこ とを示します。 RXCTRL1[15:8] は使用しま

せん。

RXCTRL1[7] は RXDATA[63:56] に対応

RXCTRL1[6] は RXDATA[55:48] に対応

RXCTRL1[5] は RXDATA[47:40] に対応

RXCTRL1[4] は RXDATA[39:32] に対応

RXCTRL1[3] は RXDATA[31:24] に対応

RXCTRL1[2] は RXDATA[23:16] に対応

RXCTRL1[1] は RXDATA[15:8] に対応

RXCTRL1[0] は RXDATA[7:0] に対応

8B/10B デコーダーがバイパスされている場合、 または

RXCTRL3 の対応するビッ トが High 駆動している場合、 この

ビッ ト 9 はデコード されていないデータ となる。 詳細は、

302 ページの 「RX インターフェイス」 を参照。

RXCTRL3[7:0] 出力 RXUSRCLK2 High の場合、 RXDATA の対応するデータ バイ トが 8B/10B テーブ

ルの有効なキャラ クターではなかったこ とを示します。

RXCTRL3[7] は RXDATA[63:56] に対応

RXCTRL3[6] は RXDATA[55:48] に対応

RXCTRL3[5] は RXDATA[47:40] に対応

RXCTRL3[4] は RXDATA[39:32] に対応

RXCTRL3[3] は RXDATA[31:24] に対応

RXCTRL3[2] は RXDATA[23:16] に対応

RXCTRL3[1] は RXDATA[15:8] に対応

RXCTRL3[0] は RXDATA[7:0] に対応

表 4-31: RX 8B/10B デコーダーの属性

属性 タイプ 説明

RX_DISPERR_SEQ_MATCH 文字列 デコード されたバイ トのディ スパリティ エラーがチャネル

ボンディングやクロ ッ ク コレクシ ョ ン シーケンスのイン

ジケーターと一致すべきかを指定します。

TRUE の場合、 ディ スパリティ エラー ステータスが一致し

ます。

FALSE の場合、 ディ スパリティ エラー ステータスを無視

します。

DEC_MCOMMA_DETECT 文字列 TRUE の場合、 MCOMMA が検出される とバイ ト単位のフ

ラグ RXCTRL2 が High 駆動します。

FALSE の場合、 負のカンマが検出される と RXCTRL2 が

Low 駆動します。

表 4-30: RX 8B/10B デコーダーのポート (続き)

ポート 方向 クロック ド メイン 説明

UltraScale アーキテクチャ GTY ト ランシーバー 244UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 245: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

8B/10B デコーダーの有効化/無効化

8B/10B デコーダーを有効にするには RX8B10BEN を High に駆動する必要があ り ます。 8B/10B デコーダーが有効の

場合は、 RX_DATA_WIDTH を 10 の倍数 (20、 40、 または 80) に設定する必要があ り ます。

GTY レシーバー パス上の 8B/10B デコーダーを無効にするには、 RX8B10BEN を Low に駆動する必要があ り ます。

デコーダーが無効の場合は、 RX_DATA_WIDTH を 8 または 10 の倍数 (16、 20、 32、 40、 64、 80、 128 または 160) に

設定できます。 8B/10B デコーダーをバイパスした場合の RXDATA ポートの動作については、 302 ページの 「RX イ

ンターフェイス」 で説明しています。

RX バッファーのバイパス

機能の説明

RX エラスティ ッ ク バッファーのバイパスは、 GTY ト ランシーバーのアドバンス機能です。 RX エラスティ ッ ク

バッファーをバイパスする場合、 PMA パラレル ク ロ ッ ク ド メ イン (XCLK) と RXUSRCLK ド メ イン間の位相差調整

を行うために RX 位相アライ メン ト回路が使用されます。 また、 温度や電圧の変化に対応するために RXUSRCLK を

調整して、 RX 遅延の調整も行います。 RX の位相と遅延の調整は、 GTY ト ランシーバーで自動実行できますが、 手

動で制御するこ と も可能です。 図 4-40 に、 XCLK ド メ インと RXUSRCLK ド メ インを示します。 表 4-35 では、 バッ

ファーと位相アライ メン トの比較を示します。

RXUSRCLK および RXUSRCLK2 のソース と して RX でリ カバリ ク ロ ッ クを使用する場合は、レイテンシを削減する

ために RX エラスティ ッ ク バッファーをバイパスできます。 RX エラスティ ッ ク バッファーをバイパスする と、 RX

データパスを通過する際のレイテンシが削減されて確定的になり ますが、 ク ロ ッ ク コレクシ ョ ンおよびチャネル ボ

ンディングは使用できません。

DEC_PCOMMA_DETECT 文字列 TRUE の場合、 PCOMMA が検出される とバイ ト単位のフ

ラグ RXCTRL2 が High 駆動します。

FALSE の場合、 正のカンマが検出される と RXCTRL2 が

Low 駆動します。

DEC_VALID_COMMA_ONLY 文字列 TRUE の場合、 IEEE 802.3 で有効なカンマ K28.1、 K28.5、

および K28.7 が検出された場合にのみ、 バイ ト単位のフラ

グ RXCTRL2 が High 駆動します。

FALSE の場合、 RXCTRL2 は正または負

(DEC_PCOMMA_DETECT および

DEC_MCOMMA_DETECT の設定に依存) の 8B/10B カンマ

検出に使用されます。

RX_DATA_WIDTH 3 ビッ ト バイナリ RXDATA ポートのビッ ト幅を設定します。 8B/10B エン

コーダーが有効の場合、 RX_DATA_WIDTH は 20 ビッ ト 、

40 ビッ ト、 または 80 ビッ トに設定される必要があ り ます。

有効な設定値は 16、 20、 32、 40、 64、 80、 128、 および

160 です。

表 4-31: RX 8B/10B デコーダーの属性 (続き)

属性 タイプ 説明

UltraScale アーキテクチャ GTY ト ランシーバー 245UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 246: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

図 4-32 に、 RX エラスティ ッ ク バッファーのバイパスが可能な位相アライ メン ト を示します。 RX 位相アライ メン ト

が実行される前は、 PMA パラレル ク ロ ッ ク ド メ イン (XCLK) と RXUSRCLK ド メ イン間に保証された位相関係はあ

り ません。 RX 位相アライ メン ト回路によ り、 CDR から調整された RX リ カバリ ク ロ ッ クが選択されるため、 XCLK

および RXUSRCLK 間に大きな位相差はなくな り ます。

RX マルチ レーン バッファーがバイパスされる と きは、 RXSLIDE_MODE は AUTO または PMA に設定できません。

ポートおよび属性

表 4-32 に、 RX バッファーをバイパスする場合のポート を示します。

X-Ref Target - Figure 4-32

図 4-32: RX 位相アライメン ト を使用する場合

SIPO

DFE

RX OOB

RXEQ

RX CDR

RXClock

Dividers

Polarity

PRBSChecker

CommaDetect

andAlign 8B/10B

Decoder RXElasticBuffer RX

Gear-box

RXInterface

RX StatusControl

RX PIPEControl

From ChannelClocking

Architecture

From TX ParallelData (Near-EndPCS Loopback)

To TX ParallelData (Far-EndPMA Loopback)

To TX ParallelData (Far-End PCS

Loopback)

Device ParallelClock

(RXUSRCLK2)

PCS ParallelClock

(RXUSRCLK)

PMA ParallelClock

(XCLK)

RX SerialClock

Bypass RX Elastic Buffer

After RX phase alignment:- SIPO parallel clock phase matches RXUSRCLK phase.- No phase difference between XCLK and RXUSRCLK.

X19679-090717

表 4-32: RX バッファーのバイパス ポート

ポート 方向 クロック ド メ イン 説明

RXPHDLYRESET 入力 非同期 RXUSRCLK を遅延調整タップの中央に強制的に配置す

るための、 RX 位相アライ メン トのハード リセッ トで

す。 遅延調整タップは、 全範囲が ±4ns、 低範囲が ±2ns

です。 このハード リセッ トは、 その他すべての RX バッ

ファー バイパス入力ポートが Low に設定されている場

合に、 GTY ト ランシーバーが RX の位相および遅延調整

を自動的に開始するために使用されます。

RXDLYSRESET は、 位相および遅延調整のためだけに

使用するこ とを推奨します。

RXPHALIGN 入力 非同期 RX 位相アライ メン ト を設定します。 自動調整モードを

使用する場合は Low に設定します。

RXPHALIGNEN 入力 非同期 RX 位相アライ メン ト を有効にします。 自動調整モード

を使用する場合は Low に設定します。

UltraScale アーキテクチャ GTY ト ランシーバー 246UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 247: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RXPHDLYPD 入力 非同期 RX の位相および遅延調整回路の電源切断に使用します。

a) RXPD がアサート されている場合、 あるいは

c) RXOUTCLKSEL が 3'b010 に設定されているにもか

かわらずリ カバリ ク ロ ッ クが利用できない場合は、

High に接続してください。 RX バッファーをバイパスす

る場合の通常動作時は Low に接続して ください。

0: RX の位相および遅延調整回路に電源を投入

1: RX の位相および遅延調整回路の電源を切断

RXPHOVRDEN 入力 非同期 UltraScale FPGA のみ:

予約。 ウ ィザードの推奨値を使用してください。

RXDLYSRESET 入力 非同期 RXUSRCLK を遅延調整タップの中央に徐々にシフ ト さ

せるための RX 遅延調整ソフ ト リセッ トです。遅延調整

タップは、 全範囲が ±4ns、 低範囲が ±2ns です。 このソ

フ ト リセッ トは、 その他すべての RX バッファー バイ

パス入力ポートが Low に設定されている場合に、 GTY

ト ランシーバーが RX の位相および遅延調整を自動的に

開始するために使用されます。

RXDLYBYPASS 入力 非同期 RX の遅延調整をバイパスする場合に使用します。

0: RX の遅延調整回路を使用。

1: RX の遅延調整回路をバイパス。

RXDLYEN 入力 非同期 RX 遅延調整回路を有効にします。 自動調整モードを使

用する場合は Low に設定します。

RXDLYOVRDEN 入力 非同期 RX の遅延調整カウンターのオーバーライ ドを有効にし

ます。 使用しない場合は Low に設定します。

0: 通常動作

1: RXDLY_CFG[14:6] の値で RX 遅延調整カウンター

をオーバーライ ド

RXPHALIGNDONE 出力 非同期 RX の位相アライ メン ト完了を示します。 RX の自動位

相および遅延調整が実行されている場合、

RXDLYSRESETDONE がアサート された後に

RXPHALIGNDONE の 2 番目の立ち上がりエッジが検出

される と、 RX の位相および遅延調整が完了したこ とを

示します。

RXDATA のデータのアライ メン トは、

RXPHALIGNDONE の 2 番目の立ち上がりエッジ後に変

更できます。

RXDLYSRESETDONE 出力 非同期 RX の遅延調整ソフ ト リ セッ ト が完了したこ とを示し

ます。

RXSYNCMODE 入力 非同期 0: RX バッファー バイパス スレーブ レーン

1: RX バッファー バイパス マスター レーン

マルチ レーン手動モードで使用します。

表 4-32: RX バッファーのバイパス ポート (続き)

ポート 方向 クロック ド メ イン 説明

UltraScale アーキテクチャ GTY ト ランシーバー 247UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 248: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

表 4-33 に、 RX バッファーの属性を示します。

RXSYNCALLIN 入力 非同期 シングル レーン自動モード : それぞれの

RXPHALIGNDONE へ接続します。

マルチ レーン自動モード : マスターおよびすべてのス

レーブ レーンの RXPHALIGNDONE の AND 回路を介し

た信号へ接続します。

マルチ レーン手動モード : マルチ レーン手動モードで使

用します。

RXSYNCIN 入力 非同期 マルチ レーン自動モード アプリ ケーシ ョ ンでのみ有効

です。 RX バッファー バイパス マスター レーンから

RXSYNCOUT へ接続します。

RXSYNCOUT 出力 非同期 マルチ レーン自動モード アプリ ケーシ ョ ンの RX バッ

ファー バイパス マスター レーンでのみ有効です。 マル

チ レーン アプリ ケーシ ョ ンの各レーンの RXSYNCIN へ

接続します。

RXSYNCDONE 出力 非同期 RX バッファーをバイパスする場合の位相アライ メン ト

プロセスが完了したこ とを示します。 自動モード動作の

RX バッファー バイパス マスター レーンでのみ有効です。

RXSLIPOUTCLK 入力 RXUSRCLK2 予約。 ウ ィザードの推奨値を使用してください。

RXSLIPPMA 入力 RXUSRCLK2 予約。 ウ ィザードの推奨値を使用してください。

RXPHALIGNERR 出力 非同期 RX 位相アライ メン ト エラーです。

表 4-33: RX バッファー バイパスの属性

属性 タイプ 説明

RXBUF_EN ブール型 RX エラスティ ッ ク バッファーの使用またはバイパスを指定します。

TRUE: RX エラスティ ッ ク バッファーを使用 (デフォルト )

FALSE: RX エラスティ ッ ク バッファーをバイパス (アドバンス

機能)

RX_XCLK_SEL 文字列 RX パラレル ク ロ ッ ク ド メ イン (XCLK) を駆動するクロ ッ クを選択

します。

RXDES: XCLK のソースと して RX リ カバリ ク ロ ッ クを選択。

RX エラスティ ッ ク バッファーを使用する と きに使用。

RXUSR: XCLK のソース と して RXUSRCLK を選択。 RX エラス

ティ ッ ク バッファーをバイパスする と きに使用。

RXPMA: 予約。

RXPH_MONITOR_SEL 5 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用して ください。

RXPHDLY_CFG 16 ビッ ト バイナリ RX の位相および遅延調整回路のコンフ ィギュレーシ ョ ンです。

RX の遅延調整タップを全範囲の ±4ns に設定する場合は、

RXPHDLY_CFG[13] = 1 を使用します。 RX の遅延調整タップを全範

囲の ±2ns に設定する場合は、 RXPHDLY_CFG[13] = 0 を使用します。

予約。 ウ ィザードの推奨値を使用して ください。

表 4-32: RX バッファーのバイパス ポート (続き)

ポート 方向 クロック ド メ イン 説明

UltraScale アーキテクチャ GTY ト ランシーバー 248UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 249: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RX バッファー バイパスの使用モード

RX 位相アライ メン トは、 1 つのチャネル (シングル レーン) または 1 つの RXOUTCLK (マルチ レーン) を共有する

チャネル グループに対してのみ実行可能です。 RX バッファー バイパスはシングル レーン自動モード、 および手動

および自動モードのマルチ レーンアプリ ケーシ ョ ンをサポート します (表 4-34 参照)。

RXDLY_CFG 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用して ください。

RXDLY_LCFG 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用して ください。

RX_DDI_SEL 6 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用して ください。

RXSYNC_MULTILANE 1 ビッ ト バイナリ マルチ レーン インターフェイスの一部と して使用されるか否かを

指定します。 自動モードの RX バッファー バイパス マスター レー

ンでのみ有効です。

0: シングル レーン モードで使用

1: マルチ レーン モードで使用

RXSYNC_SKIP_DA 1 ビッ ト バイナリ 遅延調整プロセスをスキップするか否かを指定します。 自動モー

ドの RX バッファー バイパス マスター レーンでのみ有効です。

0: RX 遅延調整プロセスを実行

1: RX 遅延調整プロセスをスキップ

RXSYNC_OVRD 1 ビッ ト バイナリ 手動モードを優先させる場合に使用します。

0: RX バッファー バイパスの自動モードが有効

1: RX バッファー バイパスの手動モードを使用。 RX バッファー

のバイパス制御をインターコネク ト ロジッ クにインプ リ メン ト

する

TST_RSV[0] 1 ビッ ト バイナリ 0: 通常

1: データ遅延挿入 (DDI) の遅延設定を RX_DDI_SEL 属性でオー

バーライ ドする

RX_CLK_SLIP_OVRD 5 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用して ください。

RXPHBEACON_CFG 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用して ください。

RXPHSAMP_CFG 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用して ください。

RXPHSLIP_CFG 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用して ください。

表 4-34: RX バッファー バイパスの使用モード

RX バッファーのバイパス GTY ト ランシーバー

シングル レーン 自動

マルチ レーン 手動または自動

注記:1. スタ ッ ク ド シ リ コン インターコネク ト (SSI) テク ノ ロジ デバイスでは、 RX_INT_DATAWIDTH =

RX_DATA_WIDTH と設定する と、 SLR 境界をまたぐマルチ レーン RX バッファーのバイパスが

サポート されます。

表 4-33: RX バッファー バイパスの属性 (続き)

属性 タイプ 説明

UltraScale アーキテクチャ GTY ト ランシーバー 249UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 250: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

シングル レーン自動モードで RX バッファー バイパスを使用

RX バッファーをバイパスするには、 ト ランシーバーを次のよ うに設定します。

• RXBUF_EN = FALSE

• RX_XCLK_SEL = RXUSR

• RXOUTCLKSEL = 3'b010 または 3'b101 ― RXOUTCLK のソース と して RX リ カバリ ク ロ ッ クを選択します。

RX リ カバリ ク ロ ッ クが選択される場合、 RXOUTCLK が RXUSRCLK のソースと して使用されます。 RXOUTCLK

および選択した RX リ カバリ ク ロ ッ クが確実に必要な周波数で動作するよ うにします。 RX エラスティ ッ ク バッ

ファーをバイパスする場合は、 次の条件の後に必ず RX 位相アライ メン ト プロセスを実行します。

• レシーバーのリセッ ト または電源投入

• CPLL/QPLL のリセッ ト または電源投入

• RX のリ カバリ ク ロ ッ ク ソースまたは周波数の変更

• RX ラ イン レートの変更

シングル レーン自動モードで RX バッファー バイパスをセッ ト アップするには、 属性を次のよ うに設定します。

• RXSYNC_MULTILANE = 0

• RXSYNC_OVRD = 0

図 4-33 に示すよ うにポート を設定します。

X-Ref Target - Figure 4-33

図 4-33: RX バッファー バイパス — シングル レーン、 自動モード ポート接続

RXSYNCMODE

RXSYNCALLIN

RXSYNCIN RXSYNCOUT

RXSYNCDONE

RXPHALIGNDONE

1'b1

1'b0

RXDLYSRESET

X19680-081717

UltraScale アーキテクチャ GTY ト ランシーバー 250UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 251: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

図 4-34 に、温度や電圧の変化に対応して RXUSRCLK を調整するのに必要な、自動 RX 位相アライ メン ト と RX 遅延

調整を示します。

図 4-34 について説明します。

1. 図 4-34 はイベン ト シーケンスを表しており、 正確な縮尺ではあ り ません。

2. レシーバーのリセッ トや RX のレート変更などの後、 XCLK と RXUSRCLK の位相を揃えるために、 RX 位相ア

ライ メン トが実行される必要があ り ます。 RX 位相および遅延調整を開始するには、 RXDLYSRESET をアサート

する前に、 既存の RXELECIDLE および RX CDR がロ ッ ク されるまで待機します。

3. RXDLYSRESETDONE が High になるまで待機します。 RXDLYSRESETDONE は少なく と も 100ns 間アサート さ

れたまま とな り ます。

4. RXSYNCDONE がアサート される と、 アライ メン トが完了します。 この信号は、 アライ メン トが再開されるま

でアサート されたまま とな り ます。

5. RXSYNCDONE がアサート される と、RXPHALIGNDONE によってアライ メン トの完了および継続が示されます。

6. 温度や電圧の変化に対応するために RXUSRCLK を継続的に調整して、 RX 遅延を調整します。

RX リ カバリ ク ロ ッ ク と RXUSRCLK が安定し、 確実にクロ ッ ク調整で使用できるよ うにするには、 RX CDR がロ ッ

ク された後に、 必ず RX 位相アライ メン ト を実行する必要があ り ます。 RX エラスティ ッ ク バッファーをバイパスす

る場合、 ト ランシーバー リセッ トやレート変更などによる位相差によって、 PMA からの受信データにずれが生じる

可能性があ り ます。 インターコネク ト ロジッ ク インターフェイスで評価された受信データが無効な場合は、 RX

CDR がロ ッ ク されている間 RX 位相アライ メン ト を繰り返す必要があ り ます。

X-Ref Target - Figure 4-34

図 4-34: RX バッファー バイパス例 — シングル レーン自動モード

RXDLYSRESET

RXDLYSRESETDONE

RXPHALIGNDONE

RXSYNCDONE

X19681-081717

UltraScale アーキテクチャ GTY ト ランシーバー 251UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 252: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

マルチ レーン手動モードで RX バッファー バイパスを使用

マルチ レーンのアプリ ケーシ ョ ンで RX バッファーのバイパスが必要なと きは、 位相アライ メン ト を手動または自

動で実行できます。

このセクシ ョ ンでは、 マルチ レーン RX バッファー バイパスのアライ メン ト を手動で実行するために必要な手順を

説明します。

• マスター : マルチ レーンのアプリ ケーシ ョ ンでは、 RXOUTCLK のソース レーンがバッファー バイパス マス

ターです。 このアプリ ケーシ ョ ンでは、 真中の GTY ト ランシーバーを RXOUTCLK のソース と して選択する必

要があ り ます。 たとえば、 3 つの隣接するクワ ッ ドで構成される 12 個の GTY ト ランシーバーのマルチ レーン

アプリ ケーシ ョ ンでは真中のクワッ ドにある中央の GTY ト ランシーバーのいずれかを RXUSRCLK および

RXUSRCLK のソース と して選択する必要があ り ます。

• スレーブ: バッファー バイパス マスターの RXOUTCLK から生成される、同じ RXUSRCLK/RXUSRCLK2 を共有

するすべてのレーンがスレーブです。

図 4-35 に、 バッファー バイパス マスターおよびスレーブ レーンの例を示します。

X-Ref Target - Figure 4-35

図 4-35: RX のバッファー バイパス マスターおよびスレーブ レーンの例

BUFG_GT

Slave

GTY RXLane 3

RXUSRCLKRXUSRCLK2

MasterGTY RXLane 2

RXUSRCLK

RXOUTCLK

RXUSRCLK2

SlaveGTY RXLane 1

RXUSRCLKRXUSRCLK2

SlaveGTY RXLane 0

RXUSRCLKRXUSRCLK2

X19682-081717

UltraScale アーキテクチャ GTY ト ランシーバー 252UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 253: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RX エラスティ ッ ク バッファーをバイパスするには、 ト ランシーバーを次のよ うに設定します。

• RXBUF_EN = FALSE

• RX_XCLK_SEL = RXUSR

• RXOUTCLKSEL = 3'b010 または 3'b101 ― RXOUTCLK のソース と して RX リ カバリ ク ロ ッ クを選択します。

RX リ カバリ ク ロ ッ クが選択される場合、 RXOUTCLK が RXUSRCLK のソースと して使用されます。 RXOUTCLK

および選択した RX リ カバリ ク ロ ッ クが確実に必要な周波数で動作するよ うにします。 RX エラスティ ッ ク バッ

ファーをバイパスする場合は、 次の条件の後に必ず RX 位相アライ メン ト プロセスを実行します。

• レシーバーのリセッ ト または電源投入

• CPLL/QPLL のリセッ ト または電源投入

• RX のリ カバリ ク ロ ッ ク ソースまたは周波数の変更

• ト ランシーバーの RX ライン レートの変更

図 4-36 に、 手動による RX の位相および遅延調整を示します。

図 4-36 について説明します。

1. 図 4-36 はイベン ト シーケンスを表しており、 正確な縮尺ではあ り ません。

2. M_* はマスター レーンに関連するポート を示します。

3. S_* はスレーブ レーンに関連するポート を示します。

4. RXSYNC_OVRD 属性を 1'b1 に設定します。

5. すべてのレーンの RXPHDLYRESET および RXDLYBYPASS を Low に設定します。

6. すべてのレーンの RXPHALIGNEN を High に設定します。

X-Ref Target - Figure 4-36

図 4-36: 手動モードでの RX の位相および遅延調整

M_RXPHDLYRESET

M_RXDLYBYPASS

M_RXPHALIGNEN

M_RXDLYSRESET

M_RXPHALIGN

M_RXPHALIGNDONE

M_RXDLYEN

M_RXDLYSRESETDONE

S_RXPHDLYRESET

S_RXDLYBYPASS

S_RXPHALIGNEN

S_RXDLYSRESET

S_RXDLYSRESETDONE

S_RXPHALIGN

S_RXDLYEN

S_RXPHALIGNDONE

X19683-090717

UltraScale アーキテクチャ GTY ト ランシーバー 253UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 254: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

7. すべてのレーンの RXDLYSRESET をアサート します。 各レーンの RXDLYSRESETDONE がアサート されるまで

この信号を High に保持します。

8. RXDLYSRESETDONE がアサート されるレーンの RXDLYSRESET をディアサート します。

9. すべてのレーンの RXDLYSRESET がディアサート される場合、マスター レーンの RXPHALIGN をアサート しま

す。マスター レーンの RXPHALIGNDONE の立ち上がりエッジが確認されるまでこの信号を High に保持します。

10. マスター レーンの RXPHALIGN をディアサート します。

11. マスター レーンの RXDLYEN をアサート します。 これによ り、 RXPHALIGNDONE がディアサート されます。

12. マスター レーンの RXPHALIGNDONE の立ち上がりエッジが確認されるまでこのレーンの RXDLYEN を High に

保持します。

13. マスター レーンの RXDLYEN をディアサート します。

14. すべてのスレーブ レーンの RXPHALIGN をアサート します。 各スレーブ レーンの RXPHALIGNDONE の立ち上

がりエッジが確認されるまでこの信号を High に保持します。

15. RXPHALIGNDONE がアサート されるレーンの RXPHALIGN をディアサート します。

16. すべてのスレーブ レーンの RXPHALIGN がディアサート される場合、マスター レーンの RXDLYEN をアサート

します。 これによ り、 マスター レーンの RXPHALIGNDONE がディアサート されます。

17. マスター レーンの RXPHALIGNDONE が再度アサート されるまで待機します。 マルチ レーン インターフェイス

の位相および遅延調整が完了します。 温度および電圧の変化に対応するため、 マスター レーンの RXDLYEN を

引き続き High に保持します。

マルチ レーンのアプリ ケーシ ョ ンでは、 RXELECIDLE がいずれかのレーンでディアサート された後、 RX アライ メ

ン ト をインターフェイスで開始する必要があ り ます。 すべてのレーンの RX CDR は、 RX アライ メン ト を開始する前

にロ ッ ク して ください。 これは、 アライ メン ト前に RX リ カバリ ク ロ ッ クおよび RXUSRCLK が安定し、 確実にアラ

イ メン ト可能な状態にするためです。

RX エラスティ ッ ク バッファーをバイパスする場合、 GTY ト ランシーバー リセッ トやレート変更などによる位相差

によって、 PMA からの受信データにずれが生じる可能性があ り ます。 インターコネク ト ロジッ ク インターフェイス

で評価された受信データがいずれかのレーンで無効な場合は、 すべてのレーンの RX CDR がロ ッ ク された後にイン

ターフェイスの RX アライ メン ト を繰り返す必要があ り ます。

マルチ レーン自動モードで RX バッファー バイパスを使用

マルチ レーンのアプリ ケーシ ョ ンで RX バッファーのバイパスが必要なと きは、 位相アライ メン ト を手動または自

動で実行できます。 このセクシ ョ ンでは、 マルチ レーン RX バッファー バイパスのアライ メン ト を自動で実行する

ために必要な手順を説明します。

• マスター : マルチ レーンのアプリ ケーシ ョ ンでは、 RXOUTCLK のソース レーンがバッファー バイパス マス

ターです。

• スレーブ: バッファー バイパス マスターの RXOUTCLK から生成される、同じ RXUSRCLK/RXUSRCLK2 を共有

するすべてのレーンがスレーブです。

UltraScale アーキテクチャ GTY ト ランシーバー 254UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 255: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

図 4-37 に、 バッファー バイパス マスターおよびスレーブ レーンの例を示します。

RX バッファーをバイパスするには、 GTY ト ランシーバーを次のよ うに設定します。

• RXBUF_EN = FALSE

• RX_XCLK_SEL = RXUSR

• RXOUTCLKSEL = 3'b010 または 3'b101 ― RXOUTCLK のソース と して RX リ カバリ ク ロ ッ クを選択します。

RX リ カバリ ク ロ ッ クが選択される場合、 RXOUTCLK が RXUSRCLK のソースと して使用されます。 RXOUTCLK

および選択した RX リ カバリ ク ロ ッ クが確実に必要な周波数で動作するよ うにします。 RX エラスティ ッ ク バッ

ファーをバイパスする場合は、 次の条件の後に必ず RX 位相アライ メン ト プロセスを実行します。

• GTY レシーバーのリセッ ト または電源投入

• CPLL/QPLL のリセッ ト または電源投入

• RX のリ カバリ ク ロ ッ ク ソースまたは周波数の変更

• GTY ト ランシーバーの RX ライン レートの変更

X-Ref Target - Figure 4-37

図 4-37: バッファー バイパス マスターおよびスレーブ レーンの例

BUFG_GT

SlaveGTY RXLane 3

RXUSRCLKRXUSRCLK2

Master

GTY RXLane 2

RXUSRCLK

RXOUTCLK

RXUSRCLK2

Slave

GTY RXLane 1

RXUSRCLKRXUSRCLK2

Slave

GTY RXLane 0

RXUSRCLKRXUSRCLK2

X19684-081717

UltraScale アーキテクチャ GTY ト ランシーバー 255UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 256: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

マルチ レーン自動モードで RX バッファー バイパスをセッ ト アップするには、 属性を次のよ うに設定します。

• RXSYNC_MULTILANE = 1

• RXSYNC_OVRD = 0

図 4-38 のよ うにポート を設定します。

X-Ref Target - Figure 4-38

図 4-38: RX バッファー バイパス — マルチ レーン、 自動モード ポート接続

MasterRXSYNCMODE

RXSYNCALLIN

RXSYNCIN RXSYNCOUT

RXSYNCDONE

RXPHALIGNDONE

1'b1

RXDLYSRESET

SlaveRXSYNCMODE

RXSYNCALLIN

RXSYNCIN RXSYNCOUT

RXSYNCDONE

RXPHALIGNDONE

1'b0

RXDLYSRESET

X19685-081717

UltraScale アーキテクチャ GTY ト ランシーバー 256UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 257: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

図 4-39 に、 RX の位相および遅延の自動調整を示します。

図 4-39 について説明します。

1. 図 4-39 はイベン ト シーケンスを表しており、 正確な縮尺ではあ り ません。

2. M_* はマスター レーンに関連するポート を示します。

3. S_* はスレーブ レーンに関連するポート を示します。

4. GTY レシーバーのリセッ トや RX のレート変更などの後、 XCLK と RXUSRCLK の位相を揃えるために、 RX 位相アライ メン トが実行される必要があ り ます。 RX 位相および遅延調整を開始するには、 RXDLYSRESET をア

サートする前に、 既存の RXELECIDLE および RX CDR がロ ッ ク されるまで待機します。

5. RXDLYSRESETDONE が High になるまで待機します。 RXDLYSRESETDONE は少なく と も 100ns 間アサート さ

れたまま とな り ます。

6. マスター レーンの RXSYNCDONE がアサート される と、 アライ メン トが完了します。 この信号は、 アライ メン

トが再開されるまでアサート されたまま とな り ます。

7. マスター レーンの RXSYNCDONE がアサート される と、 マスター レーンの RXPHALIGNDONE によってアライ

メン トの完了および継続が示されます。

8. 温度や電圧の変化に対応するために RXUSRCLK を継続的に調整して、 RX 遅延を調整します。

マルチ レーンのアプリ ケーシ ョ ンでは、 RXELECIDLE がいずれかのレーンでディアサート された後、 RX アライ メ

ン ト をインターフェイスで開始する必要があ り ます。 すべてのレーンの RX CDR は、 RX アライ メン ト を開始する前

にロ ッ ク します。 これは、 アライ メン ト前に RX リ カバリ ク ロ ッ クおよび RXUSRCLK が安定し、 確実にアライ メン

ト可能な状態にするためです。

X-Ref Target - Figure 4-39

図 4-39: RX バッファー バイパス例 — マルチ レーン自動モード

M_RXDLYSRESET

M_RXDLYSRESETDONE

M_RXPHALIGNDONE

M_RXSYNCOUT

M_RXSYNCDONE

S_RXDLYSRESET

S_RXDLYSRESETDONE

S_RXPHALIGNDONE

S_RXSYNCOUT

S_RXSYNCDONE

X19686-091117

UltraScale アーキテクチャ GTY ト ランシーバー 257UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 258: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RX エラスティ ッ ク バッファーをバイパスする場合、 GTY ト ランシーバー リセッ トやレート変更などによる位相差

によって、 PMA からの受信データにずれが生じる可能性があ り ます。 インターコネク ト ロジッ ク インターフェイス

で評価された受信データがいずれかのレーンで無効な場合は、 すべてのレーンの RX CDR がロ ッ ク された後にイン

ターフェイスの RX アライ メン ト を繰り返す必要があ り ます。

マルチ レーン自動モードで TX および RX バッファー バイパスの両方を使用した場合の TXUSRCLK と RXUSRCLK の共有

GTY ト ランシーバーでは、 TX および RX バッファー バイパスの両方を必要とするマルチ レーン アプリ ケーシ ョ ン

の場合、 TXUSRCLK と RXUSRCLK を共有できます。 詳細は、 129 ページの 「TX バッファーのバイパス」 を参照し

てください。

RX エラステ ィ ック バッファー

機能の説明

GTY ト ランシーバー RX のデータパスには、 PCS で使用される 2 つの内部パラレル ク ロ ッ ク ド メ イン (PMA パラレ

ル ク ロ ッ ク ド メ イン (XCLK) および RXUSRCLK ド メ イン) があ り ます。 データを受信する場合は、 PMA パラレル

レート と RXUSRCLK レートが近似し、 2 つのド メ イン間の位相差をなくす必要があ り ます。 図 4-40 に、 2 つのパラ

レル ク ロ ッ ク ド メ イン (XCLK および RXUSRCLK) を示します。

X-Ref Target - Figure 4-40

図 4-40: RX クロック ド メイン

SIPO

DFE

RX OOB

RXEQ

RXClock

Dividers

Polarity

PRBSChecker

CommaDetect

andAlign

8B/10BDecoder RX

ElasticBuffer RX Sync

Gearbox

RXInterface

RX StatusControl

RX PIPEControl

From ChannelClocking

Architecture

From TX ParallelData (Near-EndPCS Loopback)

To TX ParallelData (Far-End

PMA Loopback)

To TX ParallelData (Far-End PCS

Loopback)

PCS Parallel Clock(RXUSRCLK)

Device ParallelClock

(RXUSRCLK2)

PMA Parallel Clock(XCLK)

RX Serial Clock

RX AsyncGearbox

PCIe RXBuffer

BlockDetectAlign

128B/130B Decoder

X19687-081717

UltraScale アーキテクチャ GTY ト ランシーバー 258UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 259: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

GTY ト ランシーバーには、 XCLK ド メ インと RXUSRCLK ド メ イン間の位相差をなくすため、 RX エラスティ ッ ク

バッファーが内蔵されています。 また、 RX バッファーをバイパスする場合は、 ト ランシーバーからのリ カバリ ク

ロ ッ クを使用して RXUSRCLK を駆動し、 その位相を XCLK と一致するよ う調整するこ とで、 2 つのド メ インの位相

を一致させるこ と も可能です (245 ページの 「RX バッファーのバイパス」 参照)。 表 4-35 に、 各方法の長所および短

所を示します。

ポートおよび属性

表 4-36 に、 RX バッファーのポート を示します。

表 4-35: RX バッファーと位相アライメン トの比較

RX エラステ ィ ック バッファー RX 位相アライメン ト

使いやすさ 可能な限り RX バッファーをデフォルトで

使用するこ とを推奨。 動作が堅牢で扱いや

すい。

追加ロジッ クやクロ ッ ク ソースに追加制約が必要な高度

な機能。 RXUSRCLK を駆動するための RXOUTCLK の

ソース と して、 RXOUTCLKSEL が RX リ カバリ ク ロ ッ ク

を選択する必要がある。

ク ロ ッキング

オプシ ョ ン

RX リ カバリ ク ロ ッ クまたはローカル ク

ロ ッ ク (ク ロ ッ ク コレクシ ョ ンあ り ) を使用

可能。

RX リ カバリ ク ロ ッ クの使用が必須。

初期化 エラスティ ッ ク バッファーがリセッ ト され

る前に、 すべてのクロ ッ クが安定するまで

待機する必要があ り ます。

RX 位相および遅延調整を実行する前に、 全クロ ッ クが安

定するまで待機する必要がある。

レイテンシ クロ ッ ク コレクシ ョ ンおよびチャネル ボ

ンディングなど、 使用する機能によって異

なる。

よ り低く確定的なレイテンシ。

ク ロ ッ ク

コレクシ ョ ン/

チャネル

ボンディング

クロ ッ ク コレクシ ョ ンやチャネル ボン

ディングには必須。

ト ランシーバー内部では実行されない。 インターコネク

ト ロジッ クにインプリ メン トする必要がある。

RXUSRCLK の

ジッターの影響

RXUSRCLK のジッターの影響は受けない。 RXUSRCLK のジッターの影響を受ける。

表 4-36: RX バッファーのポート

ポート 方向 クロック ド メイン 説明

RXBUFRESET 入力 非同期 RX エラスティ ッ ク バッファーを リセッ ト して再初期化します。

RXBUFSTATUS[2:0] 出力 RXUSRCLK2 RX バッファーのステータスです。

000b: 通常条件

001b: バッファーのバイ ト数が CLK_COR_MIN_LAT 未満

010b: バッファーのバイ ト数が CLK_COR_MAX_LAT よ り多い

101b: RX エラスティ ッ ク バッファーがアンダーフロー

110b: RX エラスティ ッ ク バッファーがオーバーフロー

UltraScale アーキテクチャ GTY ト ランシーバー 259UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 260: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

表 4-37 に、 RX バッファーの属性を示します。

表 4-37: RX バッファーの属性

属性 タイプ 説明

RXBUF_EN 文字列 RX エラスティ ッ ク バッファーの使用またはバイパスを指定し

ます。

TRUE: RX エラスティ ッ ク バッファーを使用 (デフォルト )

FALSE: RX エラスティ ッ ク バッファーをバイパス (アドバン

ス機能)

RX_XCLK_SEL 文字列 RX パラレル ク ロ ッ ク ド メ イン (XCLK) を駆動するクロ ッ クを

選択します。

RXDES: XCLK のソース と して RX リ カバリ ク ロ ッ クを選択。

RX エラスティ ッ ク バッファーを使用する と きに使用。

RXUSR: XCLK のソース と して RXUSRCLK を選択。 RX エラ

スティ ッ ク バッファーをバイパスする と きに使用。

RXPMA: 予約。

RX_BUFFER_CFG 6 ビッ ト

バイナリ

RX エラスティ ッ ク バッファーのコンフ ィギュレーシ ョ ンです。

予約。 ウ ィザードの推奨値を使用してください。

RX_DEFER_RESET_BUF_EN 文字列 シ リ アル入力が電気的アイ ドル状態の場合に、 カンマ リ アライ

メン ト時の RX エラスティ ッ ク バッファーのリセッ ト動作を延

期します。 延期時間は RXBUF_EIDLE_HI_CNT で制御されま

す。 チャネル ボンディングが有効の場合、 TRUE に設定しない

でください。

TRUE: 電気的アイ ドル時、 RX エラスティ ッ ク バッファーの

リセッ ト動作の延期が有効。

FALSE: 電気的アイ ドル時、 RX エラスティ ッ ク バッファーの

リセッ ト動作の延期が無効。

RXBUF_ADDR_MODE 文字列 RX エラスティ ッ ク バッファーのアドレス (処理スピード ) モー

ドを指定します。

FULL: ク ロ ッ ク コレクシ ョ ンおよびチャネル ボンディングを

サポートする RX エラスティ ッ ク バッファーが有効。

FAST: ク ロ ッ ク コレクシ ョ ンおよびチャネル ボンディングを

サポート しない RX エラスティ ッ ク バッファーが有効。 この

モードは、 高ライン レートに推奨される。

RXBUF_EIDLE_HI_CNT 4 ビッ ト

バイナリ

RXP/RXN シ リアル ラインに有効なデータが現れない場合、 電

気的アイ ドル時に GTY ト ランシーバー内部で生成される RX エ

ラスティ ッ ク バッファーのリセッ ト信号をアサートするタイ ミ

ングを制御します。

予約。 ウ ィザードの推奨値を使用してください。

RXBUF_EIDLE_LO_CNT 4 ビッ ト

バイナリ

RXP/RXN シ リアル ラインに有効なデータが現れる場合、 電気

的アイ ドル時に GTY ト ランシーバー内部で生成される RX エラ

スティ ッ ク バッファーのリセッ ト信号をディアサートするタイ

ミ ングを制御します。

予約。 ウ ィザードの推奨値を使用してください。

UltraScale アーキテクチャ GTY ト ランシーバー 260UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 261: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RXBUF_RESET_ON_CB_CHANGE 文字列 設定されたチャネル ボンディング ト ポロジの変更時

(RXCHBONDMASTER、 RXCHBONDSLAVE、 または

RXCHBONDLEVEL の変更)、 GTY ト ランシーバー内部で生成

される RX エラスティ ッ ク バッファーのリセッ ト機能を制御し

ます。

TRUE: チャネル ボンディング変更時、 RX エラスティ ッ ク

バッファーの自動リセッ ト機能が有効。

FALSE: チャネル ボンディング変更時、 RX エラスティ ッ ク

バッファーの自動リセッ ト機能が無効。

RXBUF_RESET_ON_COMMAALIGN 文字列 カンマ リ アライ メン ト時に GTY ト ランシーバー内部で生成さ

れる RX エラスティ ッ ク バッファーのリセッ ト機能を制御しま

す。 チャネル ボンディングが有効の場合、 TRUE に設定しない

でください。

TRUE: カンマ リ アラ イ メン ト時、 RX エラスティ ッ ク バッ

ファーの自動リセッ ト機能が有効。

FALSE: カンマ リ アライ メン ト時、 RX エラスティ ッ ク バッ

ファーの自動リセッ ト機能が無効。

RXBUF_RESET_ON_EIDLE 文字列 電気的アイ ドル時に GTY ト ランシーバー内部で生成される RX

エラスティ ッ ク バッファーのリセッ ト機能を制御します。 ウ ィ

ザードの推奨値を使用してください。

TRUE: PCI Express 動作におけるシ リ アル入力が電気的アイ ド

ル状態のと き、 RX エラスティ ッ ク バッファーの自動リセッ

ト機能が有効。

FALSE: 電気的アイ ドル時、 RX エラスティ ッ ク バッファーの

自動リセッ ト機能が無効。

注記: 信号減衰が大きいチャネル (ナイキス ト周波数で通常 15dB

を超えるチャネル損失) では、 CJPAT/CJTPAT の 101010 シーケ

ンスのよ うに高速変化するデータ パターンは電気的アイ ドルを

ト リガーする可能性があるため、RXBUF_RESET_ON_EIDLE を

FALSE に設定するこ とを推奨します。

RXBUF_RESET_ON_RATE_CHANGE 文字列 レート変更時、 GTY ト ランシーバー内部で生成される RX エラ

スティ ッ ク バッファーのリセッ ト機能を示します。

TRUE: レート変更時、 RX エラスティ ッ ク バッファーの自動

リセッ ト機能が有効。

FALSE: レート変更時、 RX エラスティ ッ ク バッファーの自動

リセッ ト機能が無効。

表 4-37: RX バッファーの属性 (続き)

属性 タイプ 説明

UltraScale アーキテクチャ GTY ト ランシーバー 261UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 262: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RXBUF_THRESH_OVRD 文字列 RX エラスティ ッ ク バッファーのしきい値オーバーライ ド機能

を示します。

TRUE: RXBUF_THRESH_OVFLW および

RXBUF_THRESH_UNDFLW 属性を使用して、 RX エラス

ティ ッ ク バッファーのオーバーフロー /アンダーフローしき

い値を設定。

FALSE: RX エラスティ ッ ク バッファーのオーバーフロー /ア

ンダーフローしきい値を自動計算。 これをデフォルト設定と

する。

RXBUF_THRESH_OVFLW 整数 バイ ト数で指定された RX エラスティ ッ ク バッファーのオー

バーフローしきい値です。 RX エラスティ ッ ク バッファーを通

るデータ レイテンシがこのしきい値に到達あるいは超える場合

は、 バッファーがオーバーフロー状態である と認識されます。

RXBUF_THRESH_OVRD = TRUE のと きに使用します。

予約。 ウ ィザードの推奨値を使用してください。

RXBUF_THRESH_UNDFLW 整数 整数バイ ト数で指定された RX エラスティ ッ ク バッファーのア

ンダーフローしきい値です。 RX エラスティ ッ ク バッファーを

通るデータ レイテンシがこのしきい値に到達あるいは下回る場

合は、 バッファーがアンダーフロー状態である と認識されま

す。 RXBUF_THRESH_OVRD = TRUE のと きに使用します。

予約。 ウ ィザードの推奨値を使用してください。

RXBUFRESET_TIME 5 ビッ ト

バイナリ

RX エラスティ ッ ク バッファーのリセッ ト時間です。

予約。 ウ ィザードの推奨値を使用してください。

表 4-37: RX バッファーの属性 (続き)

属性 タイプ 説明

UltraScale アーキテクチャ GTY ト ランシーバー 262UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 263: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RX エラステ ィ ッ ク バッファーを使用

XCLK および RXUSRCLK ド メ イン間の位相差を調整する RX バッファーを有効にするには、次のよ うに設定します。

• RXBUF_EN = TRUE

• RX_XCLK_SEL = RXDES

RX エラスティ ッ ク バッファーがオーバーフロー /アンダーフローになる と、 RX エラスティ ッ ク バッファーの内容

は無効になり ます。 オーバーフロー /アンダーフローのいずれかが生じた場合は、 GTRXRESET、 RXPCSRESET、

RXBUFRESET、 または GTY ト ランシーバーで内部生成された RX エラスティ ッ ク バッファー リセッ ト信号を使用

し、 RX エラスティ ッ ク バッファーを リセッ ト して再初期化する必要があ り ます (64 ページの 「RX の初期化および

リセッ ト 」 参照)。 内部生成された RX エラスティ ッ ク バッファーのリセッ ト信号は、 チャネル ボンディング ト ポロ

ジの変更、 カンマ リ アラ イ メン ト 、 電気的アイ ドル、 またはレート変更時に発生します。

RX エラスティ ッ ク バッファーは、 ク ロ ッ ク コレクシ ョ ン ( 「RX ク ロ ッ ク コレクシ ョ ン」 参照) およびチャネル ボ

ンディング (272 ページの 「RX チャネル ボンディング」 参照) にも使用されます。 ク ロ ッ ク コレクシ ョ ンは、 XCLK

と RXUSRCLK の周波数が一致しない場合に使用されます。 表 4-38 に、 一般的なクロ ッ ク コンフ ィギュレーシ ョ ン

と ク ロ ッ ク コレクシ ョ ンの必要性を示します。

RX エラスティ ッ ク バッファーを使用する と、 ク ロ ッ ク コレクシ ョ ン機能の使用にかかわらず、

CLK_COR_MIN_LAT の設定による影響がそのバッファーを通る際に生じるレイテンシにおよびます。

表 4-38: 一般的なクロック構成

クロッキングの種類 クロック コレクシ ョ ンの必要性

両サイ ドが物理的に同じオシレーターからの基準クロ ッ クを使用している

同期システムなし

異なる基準クロ ッ クを使用し、 GTY レシーバーが RX リ カバリ ク ロ ッ クを

使用している非同期システムなし

異なる基準クロ ッ クを使用し、 GTY レシーバーがローカル ク ロ ッ クを使用

している非同期システムはい

UltraScale アーキテクチャ GTY ト ランシーバー 263UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 264: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RX クロック コレクシ ョ ン

機能の説明

RX エラスティ ッ ク バッファーは、 CDR からのリ カバリ ク ロ ッ クである 2 つのクロ ッ ク ド メ イン (RXUSRCLK およ

び XCLK) 間をブリ ッジします。 RXUSRCLK と XCLK が同じクロ ッ ク周波数で動作している場合でも、 わずかな周

波数のずれが常に生じます。 XCLK と RXUSRCLK はまったく同じではないため、 修正されない場合は、 これらの位

相差が累積されて 終的に RX エラスティ ッ ク バッファーがオーバーフローまたはアンダーフローとな り ます。 ク

ロ ッ ク コレクシ ョ ンを行うには、 各 GTY ト ランシーバー TX は定期的に 1 つまたは複数の特殊キャラ クターを送信

します。 このキャラ ク ターは、 GTY ト ランシーバー RX が RX エラスティ ッ ク バッファーで必要に応じて削除/複製

できます。 RX エラスティ ッ ク バッファーがフルになりそ うな場合はこれらのキャラ クターを削除し、 空になりそ

うな場合は複製するこ とで、 レシーバーはオーバーフローやアンダーフローを回避できます。

X-Ref Target - Figure 4-41

図 4-41: クロック コレクシ ョ ンの詳細図

Write OperationDriven by

XCLK

Read OperationDriven by

RXUSRCLK

Normal Condition If RXUSRCLK and XCLK Are Exactly the Same Frequency

Pointer Difference is Always Same BetweenREAD Address and WRITE Address While

They Are Moving

Insert Special Character toRealign Pointer Difference

to Normal Condition

Elastic Buffer Can Underflow When Read Clock Faster Than Write Clock

Pointer Difference is Getting SmallerWhen READ Clock is Faster

Remove Special Character toRealign Pointer Differenceto Normal Condition

Elastic Buffer Can Overflow When Read Clock Slower Than Write Clock

Pointer Difference is Getting BiggerWhen WRITE Clock is Faster

Write OperationDriven by

XCLK

Read OperationDriven by

RXUSRCLK

Write OperationDriven by

XCLK

Read OperationDriven by

RXUSRCLK

X19688-090717

UltraScale アーキテクチャ GTY ト ランシーバー 264UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 265: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

ポートおよび属性

表 4-39 に、 RX ク ロ ッ ク コレクシ ョ ンで必要なポート を示します。

表 4-39: RX クロック コレクシ ョ ンのポート

ポート 方向 クロック ド メ イン 説明

RXBUFRESET 入力 非同期 RX エラスティ ッ ク バッファーと関連ロジッ クを リセッ

ト します。

RXBUFSTATUS[2:0] 出力 RXUSRCLK2 RX エラスティ ッ ク バッファーのステータスを示します。

000: バッファーが CLK_COR_MIN_LAT から

CLK_COR_MAX_LAT 内の通常動作

001: RX エラスティ ッ ク バッファーが

CLK_COR_MIN_LAT 未満

010: RX エラスティ ッ ク バッファーが

CLK_COR_MAX_LAT を超えている

101: RX エラスティ ッ ク バッファーがアンダーフロー

110: RX エラスティ ッ ク バッファーがオーバーフロー

RXCLKCORCNT[1:0] 出力 RXUSRCLK2 ク ロ ッ ク コレクシ ョ ン シーケンスの 初のバイ トが

RXDATA に現れる と きに、 RX エラスティ ッ ク バッ

ファーのクロ ッ ク コレクシ ョ ン ステータスを示します。

00: ク ロ ッ ク コレクシ ョ ンなし

01: 1 シーケンスをスキップ

10: 2 シーケンスをスキップ

11: 1 シーケンスを追加

RX8B10BEN 入力 RXUSRCLK2 High の場合、 GTY ト ランシーバー RX の 8B/10B デコー

ダーを有効にします。 8B/10B デコーダーが有効の場合、

RX_DATA_WIDTH を 10 の倍数 (20 ビッ ト 、 40 ビッ ト 、

または 80 ビッ ト ) に設定する必要があ り ます。 8B/10B デ

コーダーが無効の場合、 RX_DATA_WIDTH を 8 または

10 の倍数 (16 ビッ ト 、 20 ビッ ト 、 32 ビッ ト 、 40 ビッ ト 、

64 ビッ ト 、 80 ビッ ト ) に設定できます。 ク ロ ッ ク コレク

シ ョ ンが有効で、 RX_DATA_WIDTH が 64 または 80 の場

合、 RX_INT_DATAWIDTH は 2 に設定できません。

UltraScale アーキテクチャ GTY ト ランシーバー 265UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 266: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

表 4-40 に、 RX チャネル ボンディングで必要な属性を示します。

表 4-40: RX クロック コレクシ ョ ンの属性

属性 タイプ 説明

CBCC_DATA_SOURCE_SEL 文字列 クロ ッ ク コレクシ ョ ンやチャネル ボンディング用のデータ ソース

の選択に、 RX8B10BEN と共に使用されます。

RX8B10BEN が High で、CBCC_DATA_SOURCE_SEL = DECODED の

場合、 ク ロ ッ ク コレクシ ョ ン シーケンスは、 8B/10B デコーダー後

のデコード されたデータ と一致します。CBCC_DATA_SOURCE_SEL

= ENCODED の場合、 クロ ッ ク コレクシ ョ ン シーケンスは、 8B/10B

デコーダー前のカンマ検出やリ アライ メン ト ブロ ッ クからの生デー

タ と一致します。

RX8B10BEN が Low の場合、 CBCC_DATA_SOURCE_SEL =

DECODED はサポート されません。 CBCC_DATA_SOURCE_SEL =

ENCODED の場合は、 クロ ッ ク コレクシ ョ ン シーケンスがカンマ検

出やリ アライ メン ト ブロ ッ クからの生データ と一致します。

CLK_CORRECT_USE 文字列 TRUE に設定する と、 ク ロ ッ ク コレクシ ョ ン機能が有効になり ます。

FALSE に設定する と、 無効になり ます。

ク ロ ッ ク コレクシ ョ ン機能が無効のと きは、 次の属性を設定する必

要があ り ます。

CLK_COR_SEQ_1_1 = 10'b0100000000

CLK_COR_SEQ_2_1 = 10'b0100000000

CLK_COR_SEQ_1_ENABLE = 4'b1111

CLK_COR_SEQ_2_ENABLE = 4'b1111

CLK_COR_KEEP_IDLE 文字列 TRUE に設定する と、 受信したクロ ッ ク コレクシ ョ ン シーケンスの

各連続ス ト リームに対して、 低 1 ク ロ ッ ク コレクシ ョ ン シーケン

スを保持します。

RX エラスティ ッ ク バッファーの範囲を リセッ トする必要がある場

合は、FALSE に設定してバイ ト ス ト リームからのすべてのクロ ッ ク

コレクシ ョ ン シーケンスを削除します。

CLK_COR_MAX_LAT 整数 RX エラスティ ッ ク バッファーの 大レイテンシを指定します。

RX エラスティ ッ ク バッファーが CLK_COR_MAX_LAT を超える と、

ク ロ ッ ク コレクシ ョ ン回路では、 入力されているクロ ッ ク コレク

シ ョ ン シーケンスが削除され、 オーバーフローを回避できます。

ウ ィザードがアプリ ケーシ ョ ン要件に応じて適切な値を選択します。

適な性能を保持するためにウ ィザードで選択された値に従い、 こ

れを上書きしないよ うにします。

CLK_COR_MIN_LAT 整数 RX エラスティ ッ ク バッファーの 小レイテンシを指定します。

RX エラスティ ッ ク バッファーが CLK_COR_MIN_LAT 未満になる

と、 ク ロ ッ ク コレクシ ョ ン回路では、 入力されているクロ ッ ク コレ

クシ ョ ン シーケンスを反復し、 アンダーフローを回避できます。

ウ ィザードがアプリ ケーシ ョ ン要件に応じて適切な値を選択します。

適な性能を保持するためにウ ィザードで選択された値に従い、 こ

れを上書きしないよ うにします。

UltraScale アーキテクチャ GTY ト ランシーバー 266UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 267: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

CLK_COR_PRECEDENCE 文字列 クロ ッ ク コレクシ ョ ンとチャネル ボンディングが同時にト リガーさ

れた場合に、 どちらを優先するかを指定します。

TRUE: チャネル ボンディングよ り ク ロ ッ ク コレクシ ョ ンを優先

FALSE: ク ロ ッ ク コレクシ ョ ンよ りチャネル ボンディングを優先

CLK_COR_REPEAT_WAIT 整数 次のクロ ッ ク コレクシ ョ ンまでの 少 RXUSRCLK サイクル数を指

定します。 0 の場合は、 クロ ッ ク コレクシ ョ ン キャラ ク ターの送信

頻度に制限はあ り ません。

有効な設定値は 0 ~ 31 です。

CLK_COR_SEQ_LEN 整数 クロ ッ ク コレクシ ョ ンを検出するために一致させるシーケンスの長

さをバイ トで定義します。 また、 ク ロ ッ ク コレクシ ョ ンでの調整サ

イズ (反復またはスキップするバイ ト数) を定義します。

有効な長さは、 1、 2 および 4 バイ トです。

CLK_COR_SEQ_1_ENABLE 4 ビッ ト

バイナリ

初のクロ ッ ク コレクシ ョ ン シーケンスのマスク イネーブル ビッ

トです。

CLK_FOR_SEQ_1_ENABLE[0] は、 CLK_COR_SEQ_1_1 のマスク

ビッ トです。

CLK_FOR_SEQ_1_ENABLE[1] は、 CLK_COR_SEQ_1_2 のマスク

ビッ トです。

CLK_FOR_SEQ_1_ENABLE[2] は、 CLK_COR_SEQ_1_3 のマスク

ビッ トです。

CLK_FOR_SEQ_1_ENABLE[3] は、 CLK_COR_SEQ_1_4 のマスク

ビッ トです。

CLK_FOR_SEQ_1_ENABLE[*] = 0 の場合、 対応する

CLK_COR_SEQ_1_* は DON'T CARE と して処理、 または比較しない

で自動的に一致と して処理されます。

CLK_FOR_SEQ_1_ENABLE[*] = 1 の場合、 対応する

CLK_COR_SEQ_1_* が一致しているかど うか比較されます。

CLK_COR_SEQ_1_1 10 ビッ ト

バイナリ

CLK_FOR_SEQ_1_ENABLE[0] = 1 の場合に比較される 初のクロ ッ

ク コレクシ ョ ン シーケンス 1 です。

CLK_COR_SEQ_1_2 10 ビッ ト

バイナリ

CLK_FOR_SEQ_1_ENABLE[1] = 1 の場合に比較される 初のクロ ッ

ク コレクシ ョ ン シーケンス 2 です。

CLK_COR_SEQ_1_3 10 ビッ ト

バイナリ

CLK_FOR_SEQ_1_ENABLE[2] = 1 の場合に比較される 初のクロ ッ

ク コレクシ ョ ン シーケンス 3 です。

CLK_COR_SEQ_1_4 10 ビッ ト

バイナリ

CLK_FOR_SEQ_1_ENABLE[3] = 1 の場合に比較される 初のクロ ッ

ク コレクシ ョ ン シーケンス 4 です。

CLK_COR_SEQ_2_USE 文字列 TRUE に設定する と、 常に使用される CLK_COR_SEQ_1_* に追加し

て、 2 つ目のクロ ッ ク コレクシ ョ ン シーケンス

(CLK_COR_SEQ_2_*) が使用されます。

表 4-40: RX クロック コレクシ ョ ンの属性 (続き)

属性 タイプ 説明

UltraScale アーキテクチャ GTY ト ランシーバー 267UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 268: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

CLK_COR_SEQ_2_ENABLE 4 ビッ ト

バイナリ

2 つ目のクロ ッ ク コレクシ ョ ン シーケンスのマスク イネーブル ビッ

トです。

CLK_FOR_SEQ_2_ENABLE[0] は、 CLK_COR_SEQ_2_1 のマスク

ビッ トです。

CLK_FOR_SEQ_2_ENABLE[1] は、 CLK_COR_SEQ_2_2 のマスク

ビッ トです。

CLK_FOR_SEQ_2_ENABLE[2] は、 CLK_COR_SEQ_2_3 のマスク

ビッ トです。

CLK_FOR_SEQ_2_ENABLE[3] は、 CLK_COR_SEQ_2_4 のマスク

ビッ トです。

CLK_FOR_SEQ_2_ENABLE[*] = 0 の場合、 対応する

CLK_COR_SEQ_2_* は DON'T CARE と して処理、 または比較しない

で自動的に一致と して処理されます。

CLK_FOR_SEQ_2_ENABLE[*] = 1 の場合、 対応する

CLK_COR_SEQ_2_* が一致しているかど うか比較されます。

CLK_COR_SEQ_2_1 10 ビッ ト

バイナリ

CLK_FOR_SEQ_2_ENABLE[0] = 1 の場合に比較される 2 番目の

クロ ッ ク コレクシ ョ ン シーケンス 1 です。

CLK_COR_SEQ_2_2 10 ビッ ト

バイナリ

CLK_FOR_SEQ_2_ENABLE[1] = 1 の場合に比較される 2 番目の

クロ ッ ク コレクシ ョ ン シーケンス 2 です。

CLK_COR_SEQ_2_3 10 ビッ ト

バイナリ

CLK_FOR_SEQ_2_ENABLE[2] = 1 の場合に比較される 2 番目の

クロ ッ ク コレクシ ョ ン シーケンス 3 です。

CLK_COR_SEQ_2_4 10 ビッ ト

バイナリ

CLK_FOR_SEQ_2_ENABLE[3] = 1 の場合に比較される 2 番目の

クロ ッ ク コレクシ ョ ン シーケンス 4 です。

RX_DATA_WIDTH 整数 RXDATA ポートのビッ ト幅を設定します。 8B/10B エンコーダーが有

効の場合、 RX_DATA_WIDTH は 20 ビッ ト 、 40 ビッ ト 、 または 80

ビッ トに設定される必要があ り ます。 8B/10B デコーダーが無効の場

合、 RX_DATA_WIDTH を 8 または 10 の倍数 (16 ビッ ト 、 20 ビッ ト 、

32 ビッ ト、 40 ビッ ト、 64 ビッ ト、 または 80 ビッ ト ) に設定する必要

があり ます。 クロ ッ ク コレクシ ョ ンが有効で、 RX_DATA_WIDTH が

64 または 80 の場合、 RX_INT_DATAWIDTH は 2 に設定できません。

詳細は、 302 ページの 「インターフェイス幅の設定」 を参照してく

ださい。

RX_DISPERR_SEQ_MATCH 文字列 デコード されたバイ トのディ スパリティ エラーがチャネル ボンディ

ングやクロ ッ ク コレクシ ョ ン シーケンスのインジケーターと一致す

べきかを指定します。

TRUE: ディ スパリティ エラー ステータスが一致。

FALSE: ディ スパリティ エラー ステータスを無視。

表 4-40: RX クロック コレクシ ョ ンの属性 (続き)

属性 タイプ 説明

UltraScale アーキテクチャ GTY ト ランシーバー 268UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 269: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

ALIGN_COMMA_WORD 整数 マルチバイ トのデータパスで検出されたカンマのアライ メン ト を制

御します。

1: 2 バイ ト インターフェイスの場合は 2 バイ ト 、 4 バイ ト イン

ターフェイスの場合は 4 バイ ト、 8 バイ ト インターフェイスの場

合は 8 バイ トのいずれかのバイ トにカンマを揃える。

カンマは、 RXDATA 出力の偶数バイ ト または奇数バイ トのいずれ

かに揃えるこ とができる。

2: カンマを偶数バイ トにのみ揃える。 揃えられたカンマは、 2 バ

イ ト インターフェイスの場合は RXDATA[9:0]、 4 バイ ト インター

フェイスの場合は RXDATA[9:0]/RXDATA[29:20]、 8 バイ ト イン

ターフェイスの場合は

RXDATA[9:0]/RXDATA[29:20]/RX[49:40]/RX[69:60] の偶数バイ ト

に確実に揃えられます。

4: カンマを 4 バイ ト境界に揃える。 この設定は、

RX_INT_DATAWIDTH = 0 では利用不可。 揃えられたカンマは、

4 バイ ト インターフェイスの場合は RXDATA[9:0]、 8 バイ ト イン

ターフェイスの場合は RXDATA[9:0]/RXDATA[49:40] に確実に揃

えられる。

ALIGN_COMMA_WORD、 RX_DATA_WIDTH、 および

RX_INT_DATAWIDTH の異なる設定で利用できるカンマ アライ メン

ト バウンダ リの詳細は、 図 4-27 を参照してください。

カンマを偶数位置および奇数位置に送信するプロ ト コルでは、

ALIGN_COMMA_WORD を 1 に設定します。

RX_INT_DATAWIDTH 整数 内部データパス幅を指定します。

0: 2 バイ トの内部データパス。 ク ロ ッ ク コレクシ ョ ンがサポート

される。

1: 4 バイ トの内部データパス。 ク ロ ッ ク コレクシ ョ ンがサポート

される。

2: 8 バイ トの内部データパス。 ク ロ ッ ク コレクシ ョ ンはサポート

されない。

UltraScale+ FPGA のみ

PCIE3_CLK_COR_EMPTY_THRSH 5 ビッ ト

バイナリ

PCIe Gen3/Gen4 ク ロ ッ ク コレクシ ョ ン バッファーの Empty しきい値

です。

PCIE3_CLK_COR_FULL_THRSH 6 ビッ ト

バイナリ

PCIe Gen4/Gen 4 ク ロ ッ ク コレクシ ョ ン バッファーの Full しきい値

です。

PCIE3_CLK_COR_MAX_LAT 5 ビッ ト

バイナリ

PCIe Gen3/Gen4 ク ロ ッ ク コレクシ ョ ン バッファーの 大レイテンシ

(Almost Full しきい値) です。

PCIE3_CLK_COR_MIN_LAT 5 ビッ ト

バイナリ

PCIe Gen3/Gen4 ク ロ ッ ク コレクシ ョ ン バッファーの 小レイテンシ

(Almost Empty しきい値) です。

PCIE3_CLK_COR_THRSH_TIMER 6 ビッ ト

バイナリ

PCIe Gen3/Gen4 ク ロ ッ ク コレクシ ョ ン バッファーのタイマーしきい

値です。 ユーザーが SKPOS を追加または削除すべきかを判断する前

の、 データ カウン ト サイクル数を設定します。

表 4-40: RX クロック コレクシ ョ ンの属性 (続き)

属性 タイプ 説明

UltraScale アーキテクチャ GTY ト ランシーバー 269UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 270: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RX クロック コレクシ ョ ンの使用

このセクシ ョ ンでは、 レシーバーのクロ ッ ク コレクシ ョ ン機能を使用する際の手順を説明します。

クロック コレクシ ョ ンの有効化

GTY ト ランシーバーには、 クロ ッ ク コレクシ ョ ン回路が備わっています。 この回路は、 RX エラスティ ッ ク バッ

ファーのポインターを制御するこ とによ り、 ク ロ ッ ク コレクシ ョ ンを実行します。 ク ロ ッ ク コレクシ ョ ンを使用す

るには、 RXBUF_EN を TRUE に設定して RX エラスティ ッ ク バッファーを有効にし、 CLK_CORRECT_USE を

TRUE に設定してクロ ッ ク コレクシ ョ ン回路をオンにします。 ク ロ ッ ク コレクシ ョ ンは、 内部データ幅が 2 バイ ト

(RX_INT_DATAWIDTH = 0) または 4 バイ ト (RX_INT_DATAWIDTH = 1) の場合のみサポート されます。

RX エラスティ ッ ク バッファーのレイテンシが大きすぎる、 または小さすぎる場合にクロ ッ ク コレクシ ョ ン回路が

一致シーケンスを検出する と、 ク ロ ッ ク コレクシ ョ ンが ト リガーされます。 ク ロ ッ ク コレクシ ョ ンを使用する場合

は、 クロ ッ ク コレクシ ョ ン回路に対して次の設定を行う必要があ り ます。

• RX エラスティ ッ ク バッファーの制限

• ク ロ ッ ク コレクシ ョ ン シーケンス

RX エラステ ィ ック バッファーの制限の設定

RX エラスティ ッ ク バッファーの制限は、 CLK_COR_MIN_LAT ( 小レイテンシ) および CLK_COR_MAX_LAT ( 大

レイテンシ) を使用して設定されます。 RX エラスティ ッ ク バッファー内のバイ ト数が CLK_COR_MIN_LAT 未満に

なる と、 バッファーのアンダーフローを回避するため、 ク ロ ッ ク コレクシ ョ ン回路は 初のクロ ッ ク コレクシ ョ ン

シーケンス含まれていた同様の CLK_COR_SEQ_LEN バイ ト を追加で書き込みます。 これと同じよ うに、 RX エラス

ティ ッ ク バッファーのバイ ト数が CLK_COR_MAX_LAT を超える と、 ク ロ ッ ク コレクシ ョ ン回路は、 初のクロ ッ

ク コレクシ ョ ン シーケンスに含まれていた同様の CLK_COR_SEQ_LEN バイ ト を削除し、 シーケンスの 初のバイ

トから開始します。 ウ ィザードがアプリ ケーシ ョ ン要件に応じて適切な CLK_COR_MIN_LAT および

CLK_COR_MAX_LAT の設定値を選択します。 適な性能を保持するためにウ ィザードで選択された値に従い、 こ

れを上書きしないよ うにします。

クロック コレクシ ョ ン シーケンスの設定

ク ロ ッ ク コレクシ ョ ン シーケンスは、 CLK_COR_SEQ_1_* の属性および CLK_COR_SEQ_LEN を使用してプログラ

ムされます。 CLK_COR_SEQ_1_* の属性はそれぞれ、 クロ ッ ク コレクシ ョ ン シーケンス 1 のサブシーケンス 1 つに

対応します。 CLK_COR_SEQ_LEN は、 一致させるサブシーケンス数の設定に使用されます。 40 または 20 ビッ トの

内部データパス幅が使用される場合、 ク ロ ッ ク コレクシ ョ ン回路は各サブシーケンスの 10 ビッ トすべてを一致させ

ます。 一方、 16 または 32 ビッ トの内部データパス幅が使用される場合は、 各サブシーケンスの右から 8 ビッ トのみ

が使用されます。

CLK_COR_SEQ_2_USE を TRUE に設定するこ とで、 2 番目の代替クロ ッ ク コレクシ ョ ン シーケンスをアクティブに

できます。 初および 2 番目のシーケンスでは、 長さの設定は共通ですが、 一致させるシーケンスに異なる値を使

用します。 2 番目のシーケンスのサブシーケンスを定義するには、 CLK_COR_SEQ_2_* 属性を設定します。

UltraScale アーキテクチャ GTY ト ランシーバー 270UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 271: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

8B/10B デコード (RX8B10BEN は High) を使用する場合、 CBCC_DATA_SOURCE_SEL は DECODED に設定され、

シーケンス一致にデコード されていないデータではなく、 8B/10B デコーダー出力を検索します。 これによって、 場

合によっては正しいディ スパリティ または不正なディ スパリティが必要となる、 8 ビッ トのデコード値の検索が回路

で可能になり ます (詳細は、 106 ページの 「TX 8B/10B エンコーダー」 および 240 ページの 「RX 8B/10B デコーダー」

参照)。 図 4-42 に、 RX8B10BEN が High で、 CBCC_DATA_SOURCE_SEL が DECODED に設定されている場合のク

ロ ッ ク コレクシ ョ ン シーケンスの設定方法を示します。 RX_DISPERR_SEQ_MATCH が FALSE に設定されている場

合、 CLK_COR_SEQ_x_y[9] は一致させる際に使用されません。

CBCC_DATA_SOURCE_SEL が ENCODED に設定されている場合、 シーケンス と入力される生データが正確に一致

する必要があ り ます。 RX_DATA_WIDTH の設定に応じて、 各サブシーケンスは生データの 8 ビッ ト または 10 ビッ

ト と一致します。 RX_DATA_WIDTH が 16 または 32 に設定されている場合、 8 ビッ ト と一致します。 20 または 40 に

設定されている場合は、 10 ビッ ト と一致します。

一部のプロ ト コルでは、 Don't Care サブシーケンスを含むクロ ッ ク コレクシ ョ ン シーケンスが使用されます。

CLK_COR_SEQ_1_ENABLE および CLK_COR_SEQ_2_ENABLE を使用して、 ク ロ ッ ク コレクシ ョ ン回路がこれらの

シーケンスを認識するよ うにプログラムできます。 シーケンスのイネーブル ビッ トが Low のと き、 そのバイ トは、

値にかかわらず一致します。 図 4-43 に、 クロ ッ ク コレクシ ョ ン シーケンスと ク ロ ッ ク コレクシ ョ ン シーケンスの

イネーブル ビッ ト間のマッピングを示します。

X-Ref Target - Figure 4-42

図 4-42: CBCC_DATA_SOURCE_SEL = DECODED の場合のクロック コレクシ ョ ン シーケンス設定

X-Ref Target - Figure 4-43

図 4-43: クロック コレクシ ョ ン シーケンスのマッピング

CLK_COR_SEQ_x_y 9 8 7:0

1 = Sequence is a K Character0 = Sequence is a Regular Character

8-bit Clock Correction Sequence

1 = Sequence Uses Inverted Disparity0 = Sequence Uses Regular Disparity

X19689-081717

4

CLK_COR_SEQ_x_4 CLK_COR_SEQ_x_3

CLK_COR_SEQ_x_ENABLE

CLK_COR_SEQ_x_2 CLK_COR_SEQ_x_1

3 2 1

X19690-081717

UltraScale アーキテクチャ GTY ト ランシーバー 271UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 272: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

エラスティ ッ ク バッファーを通るカンマ アライ メン ト を保持するには、 表 4-41 で示す値に従う よ うに

CLK_COR_SEQ_LEN および ALIGN_COMMA_WORD を選択する必要があ り ます。

クロック コレクシ ョ ンのオプシ ョ ン

ク ロ ッ ク コレクシ ョ ンの周波数制御には、 CLK_COR_REPEAT_WAIT を使用します。 この値は、 クロ ッ ク コレク

シ ョ ン イベン ト間に必要な RXUSRCLK サイ クルの 小数に設定する必要があ り ます。 ク ロ ッ ク コレクシ ョ ンを随

時実行可能にする場合は、 この属性を 0 に設定します。 一部のプロ ト コルはクロ ッ ク コレクシ ョ ンを随時実行可能

ですが、 クロ ッ ク コレクシ ョ ン回路からシーケンスを削除する際に、 ス ト リームに 少 1 シーケンス残す必要があ

り ます。 これが要件となっているプロ ト コルの場合、 CLK_COR_KEEP_IDLE を TRUE に設定します。

クロック コレクシ ョ ンのモニタ リング

ク ロ ッ ク コレクシ ョ ン回路は、 RXCLKCORCNT および RXBUFSTATUS ポート を使用してモニターできます。

表 4-39 の RXCLKCORCNT の項目に、 クロ ッ ク コレクシ ョ ン回路のステータスを決定するための RXCLKCORCNT

値のデコード方法が説明されています。 表 4-39 の RXBUFSTATUS の項目に、 RX エラスティ ッ ク バッファーをどこ

まで満たすかを決定するための RXBUFSTATUS 値のデコード方法が説明されています。

RX チャネル ボンディング

機能の説明

XAUI や PCI Express などのプロ ト コルは、 複数のシ リ アル ト ランシーバー チャネルを組み合わせて 1 つの高スルー

プッ ト チャネルを構築します。 この結合したシ リ アル ト ランシーバー チャネルをレーンと呼びます。 レーン間の内

部クロ ッ ク位相におけるばらつきであるシ リ アル スキューやその他の要因が原因で、 同時に送信されるデータが受

信データでは複数レーンで揃えっていないこ とがあ り ます。 チャネル ボンディングは、 RX エラスティ ッ ク バッ

ファーを可変レイテンシ ブロ ッ ク と して使用するこ とによ り、 この不整合を調整します。 チャネル ボンディング

は、 チャネルのスキュー調整やレーン間のスキュー調整と もいわれています。 結合されたチャネルに使用される

GTY ト ランス ミ ッ ターは、 すべてのチャネル ボンディング キャラ ク ター (キャラ ク ター シーケンス) を同時に送信

します。 シーケンスが受信される と き、 GTY レシーバーが各レーン間のスキューを判断して RX エラスティ ッ ク

バッファーのレイテンシを調整します。 このため、 RX インターコネク ト ロジッ ク インターフェイスにスキューの

ないデータが現れます。

表 4-41: 有効な ALIGN_COMMA_WORD/CLK_COR_SEQ_LEN の組み合わせ

ALIGN_COMMA_WORD CLK_COR_SEQ_LEN

1 1、 2、 4

2 2、 4

4 4

UltraScale アーキテクチャ GTY ト ランシーバー 272UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 273: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RX チャネル ボンディングは、 8B/10B エンコード されたデータをサポート しますが、 次のエンコード データはサ

ポート されていません。

• 64B/66B

• 64B/67B

• 128B/130B

• 暗号データ

X-Ref Target - Figure 4-44

図 4-44: チャネル ボンディングの詳細図

DeskewedData

DeskewedData

Elastic Buffer GTY0 (Master)

GTY1 (Slave)

RX Data in Two Clock CyclesAhead of GTY1 Data

Set to 4 Cycles of Latency by Channel Bonding Controller

data dataSEQ4

Elastic Buffer

Set to 2 Cycles of Latency byChannel Bonding Controller

RX Data in Two Clock CyclesAhead of GTY0 Data

SEQ3

SEQ2

SEQ1

data dataSEQ4

SEQ3

SEQ2

SEQ1

data dataSEQ4

SEQ3

SEQ2

SEQ1

data dataSEQ4

SEQ3

SEQ2

SEQ1

X19691-081717

UltraScale アーキテクチャ GTY ト ランシーバー 273UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 274: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

ポートおよび属性

表 4-42 に、 RX チャネル ボンディングで必要なポート を示します。

表 4-42: RX チャネル ボンディングのポート

ポート 方向 クロック ド メイン 説明

RXCHANBONDSEQ 出力 RXUSRCLK2 RXDATA にチャネル ボンディング シーケンスの開始

が含まれる と High になり ます。

RXCHANISALIGNED 出力 RXUSRCLK2 RX エラスティ ッ ク バッファーからの信号です。 デー

タ ス ト リーム内の確認されたチャネル ボンディング

シーケンスに従って、 チャネルがマスター ト ランシー

バーと適切に揃えられる と High になり ます。 チャネ

ル ボンディング シーケンスまたはクロ ッ ク コレク

シ ョ ン シーケンスが揃えられていない場合、 Low にな

りチャネル アライ メン トが失われたこ とを示します。

RXCHANREALIGN 出力 RXUSRCLK2 RX エラスティ ッ ク バッファーからの信号です。

レシーバーでト ランシーバーとマスター間のアライ メ

ン トが変更される と、少な く と も 1 サイ クル間 High を

保持します。

RXCHBONDI[4:0] 入力 RXUSRCLK スレーブ専用のチャネル ボンディングを制御するポー

トです。 これらのポート を使用して、 マスター GTY

ト ランシーバーの RXCHBONDO ポート、 またはマス

ター GTY ト ランシーバーから接続されているデイ

ジー チェーン上のスレーブ GTY ト ランシーバーの

RXCHBONDO ポートから、 チャネル ボンディング情

報やクロ ッ ク コレクシ ョ ン情報を受信します。

RXCHBONDO[4:0] 出力 RXUSRCLK チャネル ボンディングを制御するポートです。 マス

ターから、 あるいはマスターと接続しているデイジー

チェーン上のスレーブから GTY ト ランシーバーへチャ

ネル ボンディング情報やクロッ ク コレクシ ョ ン情報を

送信するために使用されます。マスター RXCHBONDO

は、 1 つまたは複数のスレーブ RXCHBONDI ポートへ

接続できます。 スレーブ RXCHBONDO は、 デイジー

チェーンを構築してマスターから各スレーブへ情報を

伝搬するため、 次のレベルのスレーブ RXCHBONDI へ

接続する必要があり ます。

RXCHBONDLEVEL[2:0] 入力 RXUSRCLK2 RX エラスティ ッ ク バッファーの制御信号に使用され

る内部パイプライン レベル (量) を示します。 値が大き

いほど、 よ り レベル数の多い RXCHBONDO および

RXCHBONDI デイジー チェーンが可能になり、配置配

線制約が容易になり ます。 RX エラスティ ッ ク バッ

ファーを通る際に生じるレイテンシを 小にするに

は、 マスターの CHAN_BOND_LEVEL を、 可能な限り

も少ないデイジー チェーン数にします。 4 バイ トの

内部データパス (RX_INT_DATAWIDTH = 1) を使用す

る場合、 マスターは RXCHANBONDLEVEL = 3 を超過

できません。

UltraScale アーキテクチャ GTY ト ランシーバー 274UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 275: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

表 4-43 に、 RX チャネル ボンディングで必要な属性を示します。

RXCHBONDMASTER 入力 RXUSRCLK2 ト ランシーバーがチャネル ボンディングのマスターで

あるこ とを示します。 RXCHBONDO ポートは、 1 つま

たは複数のスレーブ ト ランシーバーの RXCHBONDI

ポート を直接駆動します。

このポートは、 RXCHBONDSLAVE と同時に High 駆動

できません。

RXCHBONDSLAVE 入力 RXUSRCLK2 ト ランシーバーがチャネル ボンディングのスレーブで

あるこ とを示します。 RXCHBONDI ポートは、 別のス

レーブまたはマスター ト ランシーバーにある

RXCHBONDO ポートによって駆動されます。

RXCHBONDLEVEL[2:0] の設定が 0 よ り も大きい場合、

RXCHBONDO ポートが 1 つまたは複数のスレーブ ト

ランシーバーの RXCHBONDI ポート を直接駆動するこ

とがあ り ます。

このポートは、 RXCHBONDMASTER と同時に High 駆

動できません。

RXCHBONDEN 入力 RXUSRCLK2 チャネル ボンディングを有効にします (インターコネ

ク ト ロジッ クからマスターとスレーブの両方へ)。

表 4-43: RX チャネル ボンディングの属性

属性 タイプ 説明

CHAN_BOND_MAX_SKEW 整数 この属性は、 チャネル ボンディングで RX エラスティ ッ ク

バッファーが補正可能なレーン間の 大スキュー (8 または

10 ビッ トのシンボル) を指定します。 この値は、 常にチャ

ネル ボンディング シーケンス間の 小距離の半分未満とす

る必要があ り ます。 たとえば、 アライ メン ト マーカーを 16

シンボル間隔にできるプロ ト コルの場合、

CHAN_BOND_MAX_SKEW は通常 16/2 未満の 大値であ

る 7 に設定されます。 有効な設定値は 1 ~ 14 です。

CHAN_BOND_KEEP_ALIGN 文字列 この属性によって、電気的アイ ドル時のレーン間のスキュー

調整の情報を保持できます。

表 4-42: RX チャネル ボンディングのポート (続き)

ポート 方向 クロック ド メイン 説明

UltraScale アーキテクチャ GTY ト ランシーバー 275UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 276: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

CHAN_BOND_SEQ_1_1

CHAN_BOND_SEQ_1_2

CHAN_BOND_SEQ_1_3

CHAN_BOND_SEQ_1_4

10 ビッ ト

バイナリ

CHAN_BOND_SEQ_1 属性は、

CHAN_BONd_SEQ_1_ENABLE[3:0] と併用してチャネル ボ

ンディング シーケンス 1 を定義します。

CHAN_BOND_SEQ_1_1 は 初に受信したシーケンスで、

CHAN_BOND_SEQ_1_4 は 後のシーケンス (4 つのシンボ

ルのシーケンス) です。 各サブシーケンスの長さは 8 ビッ ト

または 10 ビッ トです。 サブシーケンスの値は、

RX_DATA_WIDTH および CBCC_DATA_SOURCE_SEL で決

ま り ます。 チャネル ボンディング シーケンスは、 4 つのサ

ブシーケンスよ り も短くなるこ とがあ り ます。

CHAN_BOND_SEQ_LEN でシーケンスの長さを決定しま

す。 CHAN_BOND_SEQ_LEN = 1 の場合、

CHAN_BOND_SEQ_1_1 のみ使用します。

CHAN_BOND_SEQ_1_ENABLE[3:0] を使用する と、 シーケ

ンスの一部を Don't Care にできます。

CHAN_BOND_SEQ_1_ENABLE[k-1] が 0 の場合、

CHAN_BOND_SEQ_1_k は Don't Care サブシーケンス とな

り、 常に一致します。

CHAN_BOND_SEQ_1_ENABLE 4 ビッ ト

バイナリ

CHAN_BOND_SEQ_2_1

CHAN_BOND_SEQ_2_2

CHAN_BOND_SEQ_2_3

CHAN_BOND_SEQ_2_4

10 ビッ ト

バイナリ

CHAN_BOND_SEQ_2 属性は、

CHAN_BOND_SEQ_2_ENABLE[3:0] と併用してチャネル ボ

ンディング シーケンス 2 を定義します。

CHAN_BOND_SEQ_2_USE が TRUE の場合は、 2 番目の

シーケンスがチャネル ボンディングを ト リガーする代替

シーケンス と して使用されます。

CHAN_BOND_SEQ_2_1 は 初に受信したシーケンスです。

CHAN_BOND_SEQ_2_4 は 後のシーケンスです (4 つのシ

ンボルのシーケンス)。 各サブシーケンスの長さは 8 ビッ ト

または 10 ビッ トです。 サブシーケンスの値は、

RX_DATA_WIDTH および CBCC_DATA_SOURCE_SEL で決

ま り ます。 チャネル ボンディング シーケンスは、 4 つのサ

ブシーケンスよ り も短くなるこ とがあ り ます。

CHAN_BOND_SEQ_LEN でシーケンスの長さを決定しま

す。 CHAN_BOND_SEQ_LEN = 1 の場合、

CHAN_BOND_SEQ_2_1 のみ使用します。

CHAN_BOND_SEQ_1_ENABLE[3:0] を使用する と、 シーケ

ンスの一部を Don't Care にできます。

CHAN_BOND_SEQ_2_ENABLE[k-1] が 0 の場合、

CHAN_BOND_SEQ_2_k は Don't Care サブシーケンス とな

り、 常に一致します。

CHAN_BOND_SEQ_2_ENABLE 4 ビッ ト

バイナリ

CHAN_BOND_SEQ_2_USE 文字列 2 つのチャネル ボンディング シーケンスを使用するかを指

定します。

TRUE: チャネル ボンディングは、 シーケンス 1 または 2

で ト リガー可能。

FALSE: シーケンス 1 でのみト リガー。

表 4-43: RX チャネル ボンディングの属性 (続き)

属性 タイプ 説明

UltraScale アーキテクチャ GTY ト ランシーバー 276UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 277: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

CHAN_BOND_SEQ_LEN 整数 スキューを検出するために GTY ト ランシーバーが一致させ

るチャネル ボンディング シーケンスの長さをバイ トで定義

します。 有効な長さは、 1、 2 および 4 バイ トです。

CBCC_DATA_SOURCE_SEL 文字列 クロ ッ ク コレクシ ョ ンやチャネル ボンディング用のデータ

ソースの選択に使用します。

DECODED に設定した場合、 RX8B10BEN が High になる と

8B/10B デコーダーからのデータを選択します。

ENCODED に設定した場合、 カンマ検出およびリ アライ メ

ン ト ブロ ッ クからのデータを選択します。

FTS_DESKEW_SEQ_ENABLE 4 ビッ ト

バイナリ

予約。 ウ ィザードの推奨値を使用してください。

FTS_LANE_DESKEW_CFG 4 ビッ ト

バイナリ

予約。 ウ ィザードの推奨値を使用してください。

FTS_LANE_DESKEW_EN 文字列 TRUE に設定する と、 FTS レーンのスキュー調整用のチャ

ネル ボンディング ロジッ クが有効になり ます。 FTS レーン

のスキュー調整は、チャネル ボンディング シーケンス 1 と

2 を使用する標準アルゴ リズムから独立しているため、 標

準アルゴ リズムと同時に動作します。 FTS レーンのス

キュー調整は、 2 バイ ト モードでのみ動作します。

RX_DATA_WIDTH 整数 RXDATA ポートのビッ ト幅を設定します。 8B/10B エンコー

ダーが有効の場合、 RX_DATA_WIDTH は 20 ビッ ト 、 40

ビッ ト、 または 80 ビッ トに設定される必要があ り ます。

有効な値は、 16、 20、 32、 40、 64、 および 80 です。

詳細は、 302 ページの 「インターフェイス幅の設定」 を参

照してください。

RX_DISPERR_SEQ_MATCH ブール型 デコード されたバイ トのディ スパリティ エラーがチャネル

ボンディングやクロ ッ ク コレクシ ョ ン シーケンスのインジ

ケーターと一致すべきかを指定します。

TRUE: ディ スパリティ エラーが一致。

FALSE: ディ スパリティ エラー ステータスを無視。

RX_INT_DATAWIDTH 整数 内部データパス幅を指定します。

0: 2 バイ トの内部データパス。 チャネル ボンディングが

サポート される。

1: 4 バイ トの内部データパス。 チャネル ボンディングが

サポート される。

2: 8 バイ トの内部データパス。 チャネル ボンディングは

サポート されない。

表 4-43: RX チャネル ボンディングの属性 (続き)

属性 タイプ 説明

UltraScale アーキテクチャ GTY ト ランシーバー 277UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 278: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RX チャネル ボンディングの使用

このセクシ ョ ンでは、 レシーバーのチャネル ボンディング機能を使用する際の手順を説明します。

チャネル ボンディングの有効化

各 GTY ト ランシーバーには、 RX エラスティ ッ ク バッファーのポインターを制御するこ とでチャネル ボンディング

を実行する回路が含まれています。 チャネル ボンディングでは RX バッファーを使用する必要があるため、

RXBUF_EN 属性を TRUE に設定します。 チャネル ボンディングは、 内部データ幅が 2 バイ ト

(RX_INT_DATAWIDTH = 0) または 4 バイ ト (RX_INT_DATAWIDTH = 1) の場合のみサポート されます。

各 GTY ト ランシーバーにはチャネル ボンディング回路が 1 つあり ます。 チャネル ボンディングを実行するよ うに

GTY ト ランシーバーを構成するには、 次の手順に従います。

1. 各 GTY ト ランシーバーにチャネル ボンディング モードを設定します。

2. マスター ト ランシーバーの RXCHBONDMASTER を High に接続します。

3. スレーブ ト ランシーバーの RXCHBONDSLAVE を High に接続します。

4. マスターからのチャネル ボンディング ポート を各スレーブに直接接続、 またはデイジー チェーン接続します。

5. チャネル ボンディング シーケンスおよび検出パラ メーターを設定します。

チャネル ボンディングのモード

チャネル ボンディングのモードは、 各 GTY ト ランシーバーのチャネル ボンディングを有効にするべきか、 また

GTY ト ランシーバーがマスターかスレーブかを決定します。 チャネル ボンディングが有効となる GTY ト ランシー

バーには、 マスターが 1 つと任意数のスレーブが必要です。 GTY ト ランシーバー グループのチャネル ボンディング

をオンにするには、 1 つのト ランシーバーをマスターに設定し、 その他の GTY ト ランシーバーをすべてスレーブに

設定してください。

チャネル ボンディング ポートの接続

チャネル ボンディングの実行には、 グループのマスター GTY ト ランシーバー RXCHBONDO ポート をすべてのス

レーブの RXCHBONDI ポートに接続する必要があ り ます。 同じカラムに属する GTY ト ランシーバーのみが、 共に

チャネル ボンディング可能です。 隣接した GTY ト ランシーバーは直接接続して ください。 マスターからスレーブへ

の直接接続の手順は、 次のとおりです。

1. マスターの RXCHBONDO ポート をスレーブの RXCHBONDI ポートに接続します。

2. マスター ト ランシーバーの RXCHBONDMASTER を High に接続します。

3. 各スレーブ ト ランシーバーの RXCHBONDSLAVE を High に接続します。

GTY ト ランシーバーが直接接続されている と、 ト ランシーバー間の距離が長くなるため、 タイ ミ ング制約を満たす

こ とが困難になり ます。 この問題の解決策と して、 ト ランシーバーをデイジー チェーン接続する という方法があ り

ます。 デイジー チェーン接続は、 RXCHBONDLEVEL[2:0] ポート を使用し、 マスターおよびスレーブ間にパイプラ

イン ステージを追加して行います。 各スレーブの RXCHBONDO ポートは、 マスターからの RXCHBONDO パスでの

パイプライン ステージと して使用されます。 図 4-45 および図 4-46 に、 デイジー チェーンの例を 2 つ示します。

UltraScale アーキテクチャ GTY ト ランシーバー 278UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 279: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

X-Ref Target - Figure 4-45

図 4-45: チャネル ボンディングのデイジー チェーン例 (1)

X-Ref Target - Figure 4-46

図 4-46: チャネル ボンディングのデイジー チェーン例 (2)

RXCHBONDI

RXCHBONDO

RXCHBONDI

RXCHBONDO

RXCHBONDI

RXCHBONDO

RXCHBONDI

RXCHBONDO

RXCHANBONDMASTER = 0RXCHANBONDSLAVE = 1RXCHANBONDLEVEL[2:0] = 0

RXCHANBONDMASTER = 0RXCHANBONDSLAVE = 1RXCHANBONDLEVEL[2:0] = 1

RXCHANBONDMASTER = 0RXCHANBONDSLAVE = 1RXCHANBONDLEVEL[2:0] = 2

RXCHANBONDMASTER = 1RXCHANBONDSLAVE = 0RXCHANBONDLEVEL[2:0] = 3

X19692-081717

RXCHBONDI

RXCHBONDO

RXCHBONDI

RXCHBONDO

RXCHBONDI

RXCHBONDO

RXCHBONDI

RXCHBONDO

RXCHANBONDMASTER = 1RXCHANBONDSLAVE = 0RXCHANBONDLEVEL[2:0] = 2

RXCHANBONDMASTER = 0RXCHANBONDSLAVE = 1RXCHANBONDLEVEL[2:0] = 1

RXCHANBONDMASTER = 0RXCHANBONDSLAVE = 1RXCHANBONDLEVEL[2:0] = 0

X19693-081717

UltraScale アーキテクチャ GTY ト ランシーバー 279UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 280: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

デイジー チェーンを設定する場合、 初に RXCHBONDO および RXCHBONDI を使用して GTY ト ランシーバーを接

続し、 各スレーブの RXCHBONDI ポートからマスターの RXCHBONDO ポートへのパスを作成します。 チェーン内

の GTY ト ランシーバーの RXCHANBONDLEVEL を設定する手順は、 次のとおりです。

1. マスターの RXCHANBONDLEVEL を 7 に設定します。

2. 各スレーブの RXCHANBONDLEVEL を、 スレーブの RXCHBONDI ポート を駆動している GTY ト ランシーバー

の RXCHANBONDLEVEL から 1 を引いた値に設定します。

3. も低いレベルのスレーブを見つけます。 GTY ト ランシーバーすべての RXCHANBONDLEVEL からこのレベ

ルを減算し、 も低いスレーブのレベルが 0 とな り、 マスターがすべてのスレーブに対して機能するための

少のレベル数となるよ うにします。 4 バイ トの内部データパス (RX_INT_DATAWIDTH = 1) を使用する場合は、

マスターが RXCHANBONDLEVEL = 3 を超過しないよ うにします。

各 GTY ト ランシーバーにおけるチャネル ボンディング ポート間の接続が定義される と きは、 RXCHBONDI および

RXCHBONDO が RXUSRCLK ク ロ ッ ク ド メ インに属しているこ とに注意してください。 RXUSRCLK の周波数増加

に伴い、 また直接接続された ト ランシーバーの距離が離れるに従って、 RXUSRCLK のタイ ミ ング制約を満たすこ と

が困難になり ます。 タイ ミ ング制約が満たされている限り、 隣接する SLR にト ランシーバーをまとめてチャネル ボ

ンディングできます。

GTY ト ランシーバー カラムの中央にある GTY ト ランシーバーをチャネル ボンディングのマスターと して選択する

と、 ポート接続を柔軟に行う こ とが可能です。 つま り、 チャネル ボンディング マスターが GTY ト ランシーバー カ

ラムの中央に配置されている と、 マスターの上下方向にある GTY への接続が可能になり ます。 また、 GTY ト ラン

シーバーの専用クロ ッ ク配線構造によって、 チャネル ボンディング マスターがカラムの中央に配置されている と、

さ らなる利点と して 1 組のクロ ッ ク ピン ペアの使用で 大 20 個の GTY ト ランシーバーをチャネル ボンディングで

きるよ うになり ます。

タイ ミ ング制約が満たされている限り、1 つの RXCHANBONDLEVEL 上の GTY ト ランシーバー数は制限されません。

チャネル ボンディング シーケンスの設定

チャネル ボンディング シーケンスは、 ク ロ ッ ク コレクシ ョ ン シーケンス と同じ方法でプログラムされます。

CHAN_BOND_SEQ_LEN はシーケンスの長さを設定し、 CHAN_BOND_SEQ_1_* はシーケンスの値を設定します。

CHAN_BOND_SEQ_2_USE が TRUE の場合、 CHAN_BOND_SEQ_2_* は 2 番目のシーケンスの値を設定します。各サ

ブシーケンスのアクティブ ビッ ト数は、 RX_DATA_WIDTH および CBCC_DATA_SOURCE_SEL によって決定されま

す (270 ページの 「ク ロ ッ ク コレクシ ョ ン シーケンスの設定」 参照)。 RX_DISPERR_SEQ_MATCH が FALSE に設定

されている場合、 CHAN_BOND_SEQ_x_y[9] は一致させる際に使用されません。

図 4-47 に、 サブシーケンスのビッ トがどのよ うにマップされるかを示します。

X-Ref Target - Figure 4-47

図 4-47: チャネル ボンディング シーケンスの設定

CHAN BOND_SEQ_x_y 9 8 7:0

1 = Sequence is a K Character0 = Sequence is a Regular Character

8-bit Channel Bonding Sequence

1 = Sequence Uses Inverted Disparity0 = Sequence Uses Regular Disparity

X19694-081717

UltraScale アーキテクチャ GTY ト ランシーバー 280UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 281: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

ク ロ ッ ク コレクシ ョ ン シーケンス と同様、 チャネル ボンディング シーケンスにも Don't Care サブシーケンスを含め

るこ とができます。 CHAN_BOND_SEQ_1_ENABLE および CHAN_BOND_SEQ_2_ENABLE がこれらのバイ ト を設定

します。 図 4-48 に、 チャネル ボンディング サブシーケンスのイネーブル属性のマップを示します。 4 つのサブシー

ケンスをすべて使用するシーケンスでは、 CHAN_BOND_SEQ_x_1 が 初のサブシーケンスで、

CHAN_BOND_SEQ_x_4 が 後のサブシーケンスです。

大スキューの設定

チャネル ボンディング シーケンスがマスターで受信されても、 すぐにチャネル ボンディングが実行されるわけでは

あ り ません。 スレーブにレイテンシがある場合は、 さ らに数バイ ト を受信する必要があ り ます。 この待機時間が、

実質的には RX エラスティ ッ ク バッファーが許容可能な 大スキューとな り ます。 スキューが待機時間よ り も大き

い場合、 マスターがチャネル ボンディングを ト リガーするまでに、 スレーブでシーケンスが受信されない可能性が

あ り ます。

図 4-49 に、 マスターとスレーブになっている 2 つの FIFO を示します。 スレーブがマスターの後にある場合、 マス

ターはチャネル ボンディングを ト リガーするまで数サイクル待機する必要があ り、 待機しないと、 遅いスレーブの

バッファーにはチャネル ボンディング シーケンスが含まれなくな り ます。

X-Ref Target - Figure 4-48

図 4-48: チャネル ボンディング シーケンスのマッピング

CHAN_BOND_SEQ_x_4 CHAN_BOND_SEQ_x_3 CHAN_BOND_SEQ_x_2 CHAN_BOND_SEQ_x_1

CHAN_BOND_SEQ_x_ENABLE 4 3 2 1

X19695-081717

UltraScale アーキテクチャ GTY ト ランシーバー 281UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 282: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

CHAN_BOND_MAX_SKEW は、各チャネル ボンディング シーケンス 1 および 2 で許容可能な 大スキューの設定に

使用されます。 大スキューの範囲は 1 ~ 14 です。 この範囲は、 常にチャネル ボンディング シーケンス間の 小距

離の半分 (バイ ト または 10 ビッ ト コード ) 未満の必要があ り ます。 この 小距離の値は、 使用しているプロ ト コルに

依存します。

チャネル ボンディングとクロック コレクシ ョ ン間の優先順位

ク ロ ッ ク コレクシ ョ ン (264 ページの 「RX ク ロ ッ ク コレクシ ョ ン」 参照) およびチャネル ボンディングは、共に RX

エラスティ ッ ク バッファーのポインターで動作します。 通常、 2 つの回路は競合するこ とな く機能しますが、 これ

らのイベン トが同時に発生する と競合が発生します。 このよ うな場合は、 一方の回路を優先させる必要があ り ます。

ク ロ ッ ク コレクシ ョ ンを優先させる場合は、 CLK_COR_PRECEDENCE を TRUE に設定し、 チャネル ボンディング

を優先させる場合は、 これを FALSE に設定します。

X-Ref Target - Figure 4-49

図 4-49: チャネル ボンディングの例 (マスター RXCHANBONDLEVEL[2:0] = 1)

D1D2D3D4D5D6D7SEQ1

D1D2D3D4D5D6D7 D0

D4D5D6D7SEQ1D8D9D10

D4D5D6D7SEQ1D8D9 D3

D5D6D7SEQ1D8D9D10D11

D5D6D7SEQ1D8D9D10 D4

Slave’s New ElasticBuffer Read Pointer

Master receives CB Sequence

The master waits some period of time determined by CHAN_BOND_MAX_SKEW before triggering channel bonding, givingthe slave time to receive the sequence. The message to perform channel bonding is sentusing the RXCHBONDO port.

The RXCHANBONDLEVEL setting of the masterdetermines how many cycles later the bondingoperation is executed. At this time, the slave’selastic buffer pointers are moved so that the output is deskewed.

SlaveElasticBuffer

MasterElasticBuffer

SlaveElasticBuffer

MasterElasticBuffer

SlaveElasticBuffer

MasterElasticBuffer

X19696-081717

UltraScale アーキテクチャ GTY ト ランシーバー 282UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 283: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RX 同期ギアボックス

機能の説明

RX 同期ギアボッ クスは、 64B/66B と 64B/67B のヘッダーおよびペイロードの分割をサポート します。 受信したデー

タのペイロード とヘッダーの出力ピンと して、 RXDATA[127:0] および RXHEADER[5:0] を通常モードで使用します。

110 ページの 「TX 同期ギアボッ クス」 と同様に、 RX 同期ギアボッ クスもシングル ク ロ ッ クを使用する PMA と共に

動作します。 このため、 出力データが無効になる可能性があ り ます。 出力ピンの RXHEADERVALID および

RXDATAVALID が、 適切なヘッダーおよびデータが有効な値であるかを示します。 RX 同期ギアボッ クスは、 2 バイ

ト、 4 バイ ト 、 8 バイ ト、 および 16 バイ トのインターフェイスをサポート します。

RX 同期ギアボッ クスから出力されるデータは、 アラインする必要はあ り ません。 アライ メン トは、 インターコネク

ト ロジッ クで実行されます。 正確にアラインされるまで、 RXGEARBOXSLIP ポート を使用してギアボッ クスから各

サイクルごとにデータをス リ ップします。 ビッ ト ス リ ップ動作が完了して出力データが安定するまでには、 特定の

サイクル数が必要です。 データのデスク ランブルとブロッ クの同期化はインターコネク ト ロジッ クで実行されます。

一般的なギアボッ クス モードに加えて CAUI インターフェイス モード もサポート されます。

ポートおよび属性

表 4-44 に、 RX ギアボッ クスのポート を示します。

表 4-44: RX ギアボックスのポート

ポート名 方向 クロック ド メイン 説明

RXDATAVALID[1:0] 出力 RXUSRCLK2 ギアボッ クス 64B/66B または 64B/67B が使用される場

合、 RXDATA に現れたデータが有効であるかを示すス

テータス出力です。 たとえば 64B/66B エンコードの場

合、 8 バイ ト インターフェイス (および

RX_INT_DATAWIDTH = 0 の 4 バイ ト インターフェイス)

では 32 サイクルごとにディアサート され、 2 バイ ト イン

ターフェイス (および RX_INT_DATAWIDTH = 1 の 4 バイ

ト インターフェイス) では 64 サイクルごとにディアサー

ト されます。

RXDATAVALID[0]: 8 バイ ト、 4 バイ ト、 および 2 バイ ト

のインターフェイスの場合、 RXDATA に現れたデータ

が通常モードで有効であるこ とを示します。 16 バイ ト

インターフェイスの場合は、 RXDATAVALID[0] は

RXDATA[63:0] が有効であるこ とを示します。 CAUI イン

ターフェイス モードでは、 RXDATAVALID[0] はデータ

ス ト リーム A の RXDATA が有効であるこ とを示します。

RXDATAVALID[1]: 16 バイ ト インターフェイスの場合、

RXDATA[127:64] に現れたデータが通常モードで有効で

あるこ とを示します。 CAUI インターフェイス モードの

場合は、 RXDATAVALID[1] は現在の RXDATA がデータ

ス ト リーム B に対して有効であるこ とを示します。

UltraScale アーキテクチャ GTY ト ランシーバー 283UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 284: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RXGEARBOXSLIP 入力 RXUSRCLK2 High に遷移する と、 ギアボッ クスの内容が次の可能な

アライ メン トへス リ ップします。 このポートは、 イン

ターコネク ト ロジッ ク とのアライ メン トに使用されま

す。 このポート を RXUSRCLK2 ク ロ ッ クの 1 サイクル間

アサートする と、 ギアボッ クスから出力されるデータ

アライ メン トが変更されます。

データを新たにリアラインする場合は、

RXGEARBOXSLIP を 低 1 サイ クル間ディアサート し、

再びアサートする必要があります。 複数のリアライ メン

トが連続して実行される場合は、 インターコネク ト ロ

ジックで正しいアライ メン ト ポイン ト を認識しなくても、

適切なアライ メン ト ポイン ト を渡すこ とができます。

CAUI インターフェイス モードのデータ ス ト リーム A の

RXGEARBOXSLIP と して使用されます。

RXHEADER[5:0] 出力 RXUSRCLK2 RXHEADER[2:0]: 通常モード、 および CAUI インター

フェイス モードのデータ ス ト リーム A のヘッダー出力

です。

RXHEADER[5:3]: CAUI インターフェイス モードのビッ

ト ス ト リーム B のヘッダー出力です。 通常モードで 16

バイ ト TXDATA インターフェイスが選択された場合も、

ヘッダー出力と して使用されます。

RXHEADERVALID[1:0] 出力 RXUSRCLK2 ギアボッ クスを使用する場合、 RXHEADER が有効であ

るこ とを示します。

GTY ト ランシーバー :

RXHEADERVALID[0]: 通常モードでは現在のデータ、

CAUI インターフェイス モードではデータ ス ト リーム A

について RXHEADER[2:0] が有効であるこ とを示します。

RXHEADERVALID[1]: 16 バイ ト インターフェイスの通

常モードでは現在のデータ、 CAUI インターフェイス

モードではデータ ス ト リーム B について

RXHEADER[5:3] が有効であるこ とを示します。

RXSLIDE 入力 RXUSRCLK2 CAUI インターフェイス モードではデータ ス ト リーム B

用 RXGEARBOXSLIP と して使用されます。

RXSTARTOFSEQ[1:0] 出力 RXUSRCLK2 ギアボッ クス 64B/66B または 64B/67B が有効の場合、現

在の RXDATA 出力のシーケンス カウンターが 0 である

こ とを示します。

RXSTARTOFSEQ[0]: 通常モードの現在の RXDATA、 お

よび CAUI インターフェイス モードのデータ ス ト リー

ム A に対してシーケンス カウンターが 0 であるこ とを

示す出力です。

RXSTARTOFSEQ[1]: CAUI インターフェイス モードの

データ ス ト リーム B に対してシーケンス カウンターが

0 であるこ とを示す出力です。

表 4-44: RX ギアボックスのポート (続き)

ポート名 方向 クロック ド メイン 説明

UltraScale アーキテクチャ GTY ト ランシーバー 284UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 285: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

表 4-45 に、 RX 同期ギアボッ クスの属性を示します。

RX 同期ギアボックスの有効化

GTY ト ランシーバーの RX 同期ギアボッ クスを有効にする場合は、 RXGEARBOX_EN 属性を TRUE に設定します。

GEARBOX_MODE 属性のビッ ト 4 は 0 に設定します。 ビッ ト 3 およびビッ ト 1 は未使用のため、 0 に設定します。

ビッ ト 2 は、 通常インターフェイスまたは CAUI インターフェイスのいずれを使用するかを指定します。 ビッ ト 0

は、 64B/67B ギアボッ クスまたは 64B/66B ギアボッ クスのいずれを使用するかを指定します。

表 4-45: RX 同期ギアボックスの属性

属性 タイプ 説明

GEARBOX_MODE 5 ビッ ト バイナリ TX および RX ギアボッ クス モードを示します。

• ビッ ト 4:

° 0: 同期ギアボッ クスを選択

° 1: 非同期ギアボッ クスを選択

• ビッ ト 3:

未使用。 0 に設定。

• ビッ ト 2:

0: 通常モード。

1: CAUI インターフェイス モード

• ビッ ト 1:

未使用。 0 に設定。

• ビッ ト 0:

0: Interlaken 用の 64B/67B ギアボッ クス ボード (同期ギアボッ クスの

場合にのみ有効)

1: 64B/66B ギアボッ クス

RXGEARBOX_EN 文字列 TRUE の場合、 RX 同期ギアボッ クスまたは RX 非同期ギアボッ クスが

有効になり ます。 どちらの RX ギアボッ クスを有効にするかは、

GEARBOX_MODE 属性で設定します。

UltraScale アーキテクチャ GTY ト ランシーバー 285UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 286: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RX ギアボックスの動作モード

RX ギアボッ クスは、 インターコネク ト ロジッ クに対して 2、 4、 および 8 バイ トのインターフェイスのみサポート

します。

図 4-50 に示すよ うに、 RXGEARBOXSLIP 入力ポートに加えて出力ポート RXDATA、 RXHEADER、

RXDATAOUTVALID、 および RXHEADEROUTVALID が通常モード (GEARBOX_MODE[2] = 1’b0) で使用されます。

X-Ref Target - Figure 4-50

図 4-50: 通常モード (GEARBOX_MODE[2] = 1'b0) におけるギアボックスの使用

Design inInterconnect Logic

RX Synchronous Gearbox(in GTY Transceiver)

RXHEADER[2:0]

RXDATA[15:0] or RXDATA[31:0] or RXDATA[63:0]

RXDATAVALID

RXGEARBOXSLIP

RXHEADERVALID

RXSTARTOFSEQ

X19697-081717

UltraScale アーキテクチャ GTY ト ランシーバー 286UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 287: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

図 4-51 に、 4 バイ ト ロジッ ク インターフェイス (RX_DATA_WIDTH = 32 (4 バイ ト )、 RX_INT_DATAWIDTH = 1 (4 バ

イ ト )) を通常モード (GEARBOX_MODE[2] = 1'b0) で使用した場合の 64B/66B エンコードで、 RX ギアボッ クスへ入

力されるデータおよび RX ギアボッ クスから出力されるデータの 4 サイクルを例示します。

図 4-51 について説明します。

1. IEEE Std 802.3ae-2002 の命名規則に従って、 H1 は RxB0、 H0 は RxB1 などのよ うに対応します。 RX ギアボッ ク

スはすべてのシーケンスを内部処理します。

X-Ref Target - Figure 4-51

図 4-51: 通常モード (GEARBOX_MODE[2] = 1'b0) における RX ギアボックスの動作

D31 D30 D5 D4 D3 D2……………

D31 D30 D5 D4………………………

D0 …………D31

D1 D0

D1 D0 D31 D30 D7 D6 D5 D4………………

D1 D0 D31 D30 D5 D4………………………

D0 ………

D3 D2

D31 D30 D7 D6 D5 D4………………

D3 D2 D1 D0 D7………………………

D0 …………D31

D6

D1 D0 D31 D30 D9 D8 D7 D6………………

D5 D4 D3 D2 D7………………

D0 …………

D1 D0 D6D31 D30

D31

H1 H0

H1 H0

H1

H1 H0

H1 H0

H1

Input to the RXGearbox

Cycle N

Cycle N+3

RXHEADEROUTVALID = 1'b1 RXDATAOUTVALID = 1'b1

RXHEADER RXDATA

Input to the RXGearbox

Cycle N+1

RXHEADER RXDATA

Input to the RXGearbox

Cycle N+2

RXHEADER RXDATA

Input to the RXGearbox

RXHEADER RXDATA

Output of theRXGearbox

RXHEADEROUTVALID = 1'b0 RXDATAOUTVALID = 1'b1

RXHEADEROUTVALID = 1'b1 RXDATAOUTVALID = 1'b1

RXHEADEROUTVALID = 1'b0 RXDATAOUTVALID = 1'b1

Output of theRXGearbox

Output of theRXGearbox

Output of theRXGearbox

X19698-081717

UltraScale アーキテクチャ GTY ト ランシーバー 287UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 288: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

この点では、 内部シーケンスか外部シーケンスのいずれかを処理する TX ギアボッ クスのオプシ ョ ンとは異なり ま

す。 2、 4、 または 8 バイ トのインターフェイスのいずれを使用するかによって、 RXDATAOUTVALID および

RXHEADEROUTVALID 信号のアサート /ディアサート期間は異なり ます。 データおよびヘッダーの長さに関しては、

RX ギアボッ クス と TX ギアボッ クスで同じです。 図 4-52 に、 これらの長さを示すと共に、 1 サイ クル間ディアサー

ト される RXHEADERVALID 信号と RXDATAVALID 信号を示します。 図 4-53 に、 通常モード

(GEARBOX_MODE[2] = 1'b0) で RX_DATA_WIDTH = 16 (2 バイ ト ) および RX_INT_DATAWIDTH = 0 (2 バイ ト ) を使

用した場合の 64B/67B エンコードの動作を示します。

X-Ref Target - Figure 4-52

図 4-52: 通常モード (GEARBOX_MODE[2] = 1'b0) で RX_DATA_WIDTH = 64 (8 バイ ト ) と RX_INT_DATAWIDTH = 1 (4 バイ ト ) を使用した場合の 64B/66B エンコードにおける RX ギアボックス

1

Da Db Dc Dd De XXX Df Dg Dh Di Dj Dk Dl Dm Dn

12

RXUSRCLK2

Data pauses for 1 cycle

RXGEARBOXSLIP

RXHEADERVALID

RXHEADER[1:0]

RXDATAVALID

RXDATA[63:0]

X19699-081717

X-Ref Target - Figure 4-53

図 4-53: RX_DATA_WIDTH = 16 (2 バイ ト ) と RX_INT_DATAWIDTH = 0 (2 バイ ト ) を使用した場合の 64B/67B エンコードにおける RX ギアボックス

1 1 110 06 6 62

Da Db Dc Dd De XXXDf Dg Dh Di Dj Dk Dl Dm

50

Data pauses for 1 cycle Data pauses for 2 cycles

RXUSRCLK2

RXGEARBOXSLIP

RXHEADERVALID

RXHEADER[2:0]

RXDATAVALID

RXDATA[15:0]

X19700-091117

UltraScale アーキテクチャ GTY ト ランシーバー 288UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 289: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RX ギアボックス ブロックの同期化

64B/66B および 64B/67B プロ ト コルは、 ブロ ッ クの同期によってその境界が決ま り ます。 すべての入力データはブ

ロ ッ クがロ ッ ク されるまで安定しないため、 ブロ ッ クは同期化する必要があ り ます。 同期化するには、 データ アラ

イ メン ト を変更して、 有効な同期ヘッダーを検出します。 RXGEARBOXSLIP 入力ポート を使用して、 ギアボッ クス

のデータ アライ メン ト を変更する と、 すべての可能なアライ メン ト を通常モード (GEARBOX_MODE[2] = 1'b0) で

チェッ クできます。 (RXSLIDE は、 CAUI インターフェイス モード (GEARBOX_MODE[2] = 1'b1) での 2 番目のデー

タ ス ト リームに対しては RXGEARBOXSLIP と して利用できます。 ) RXGEARBOXSLIP 信号がブロ ッ ク同期化ス

テート マシンから RX ギアボッ クスへフ ィードバッ クするこ とで、 そのギアボッ クスにデータ アライ メン ト をス

リ ップするよ うに伝えます。 このプロセス (ス リ ップして同期ヘッダーをテス ト ) は、 ブロ ッ クがロ ッ ク されるまで

繰り返されます。 RX ギアボッ クスを使用する場合、 インターコネク ト ロジッ クではブロッ ク同期化ステート マシ

ンが必要です。 図 4-54 に、 ブロ ッ ク同期化ステート マシンの動作を示します。

UltraScale アーキテクチャ GTY ト ランシーバー 289UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 290: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

X-Ref Target - Figure 4-54

図 4-54: ブロック同期化ステート マシン

LOCK_INIT

block_lock <= falsetest_sh <= false

RESET_CNT

sh_cnt <= 0sh_invalid_cnt <= 0slip_done <= false

TEST_SH

test_sh <= false

VALID_SH

sh_cnt <= sh_cnt + 1

INVALID_SH

sh_cnt <= sh_cnt + 1sh_invalid_cnt <= sh_invalid_cnt + 1

SLIP

block_lock <= falseSLIP <= true

64_GOOD

block_lock <= true

sh_cnt = 64 ANDsh_invalid_cnt = 0

sh_cnt = 64 ANDsh_invalid_cnt > 0

sh_cnt = 64 ANDsh_invalid_cnt < 16 ANDblock_lock = true sh_invalid_cnt = 16 OR

block_lock = false

slip_done = true

sh_valid = 0

Unconditional Transition

sh_valid = 1test_sh = true ANDsh_cnt < 64

test_sh = 1

Unconditional Transition

test_sh = true AND sh_cnt < 64 ANDsh_invalid_cnt < 16 AND block_lock = true

X19701-081717

UltraScale アーキテクチャ GTY ト ランシーバー 290UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 291: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

ステート マシンは、 有効な同期ヘッダーと無効な同期ヘッダーを ト ラ ッキングするこ とで機能しています。 リセッ

ト時は、 ブロ ッ ク ロ ッ クがディアサート されてステートは LOCK_INIT になり ます。 次に、 RESET_CNT ステートへ

遷移して、 すべてのカウンターが 0 にリセッ ト されます。 同期ヘッダーは TEST_SH ステートで解析されます。 ヘッ

ダーが有効の場合は、 VALID_SH ステートで sh_cnt がインク リ メン ト されます。 無効の場合は、 INVALID_SH ス

テートで sh_count および sh_invalid_count がインク リ メン ト されます。

図 4-54 に示すブロ ッ ク同期化ステート マシンでは、 sh_cnt_max が 64 で sh_invalid_cnt_max が 16 に設定されていま

す。 VALID_SH ステートでは、 sh_cnt が sh_cnt_max 値よ り も小さ く、 test_sh が High のと きに TEST_SH ステートへ

遷移します。 一方、 sh_cnt が sh_cnt_max と等し く、 sh_invalid_cnt が 0 のと きは、 GOOD_64 ステートへ遷移して

block_lock がアサート されます。 そしてプロセスが再び繰り返されてカウンターが 0 にク リ アされます。 ブロ ッ クの

ロ ッ クを完了するには、 ステート マシンが有効な同期ヘッダーを sh_cnt_max の数だけ連続して (無効な同期ヘッ

ダーを受信せず) 受信する必要があ り ます。 しかし、 ブロ ッ クのロ ッ クが完了する と、 有効な同期ヘッダーを

sh_cnt_max の数だけ受信する間に、 sh_invalid_cnt_max - 1 数の無効な同期ヘッダーを受信できます。 したがって、

一度ロ ッ クする と、 ロ ッ クは解除されるこ とはほとんどあ り ません。

図 4-55 に、 ブロ ッ ク同期化ステート マシンの波形を示します。 このステート マシンは、 無効な同期ヘッダーがある

ため、 データ アライ メン トが完了する前までに多数の RXGEARBOXSLIP がアサート されています。

RXGEARBOXSLIP が送信された後、 ステート マシンは RXUSRCLK2 の 32 サイクル間待機してから、 有効な同期

ヘッダーをチェッ ク します。

X-Ref Target - Figure 4-55

図 4-55: 通常モード (GEARBOX_MODE[2] = 1'b0) における、 ブロック同期化を用いた RX ギアボックス

Data alignmentachieved

Data check onunscrambled data

block_sync_i

unscrambled_data_i

begin_r

track_data_r

start_of_packet_detected_r

RXDATA

RXDATAVALID

RXGEARBOXSLIP

RXHEADER

RXHEADERVALID

RXSTARTOFSEQ

0

Slip dataalignment

Closely spaced slip pulses. State machineasserts slip as soon as it sees bad header.

0000000000000000

0000000000000000

X19702-081717

UltraScale アーキテクチャ GTY ト ランシーバー 291UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 292: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

CAUI インターフェイス

CAUI インターフェイスには、 ト ランシーバーに 2 つのデータ インターフェイスが必要です。 このセクシ ョ ンでは、

GTY ト ランシーバーにインプリ メン ト されている RX の CAUI インターフェイス ブロ ッ クのデザインについて説明

します。 これによ り、 64/66 および 64/67 モード (データ ス ト リーム A およびデータ ス ト リーム B) でのデュアル

データ インターフェイスがサポート されます。 CAUI インターフェイス モードは、 GEARBOX_MODE[2] 属性を

1'b1 に設定するこ とで選択可能です。 CAUI インターフェイス モードでは、 RX_INT_DATAWIDTH = 1 (4 バイ ト ) お

よび RX_DATA_WIDTH = 64 (8 バイ ト ) または 32 (4 バイ ト ) の設定のみが認められています。

上位の RX 同期ギアボッ クスには次のコンポーネン トがあ り ます。

1. 64/66 4 バイ ト ギアボッ クスが 1 つ

2. 64/66 2 バイ ト ギアボッ クスが 2 つ

3. 64/67 4 バイ ト ギアボッ クスが 1 つ

4. 64/67 2 バイ ト ギアボッ クスが 2 つ

5. シーケンス検出

CAUI インターフェイスをサポートするために、 GTY ト ランシーバーには各 2 バイ ト ギアボッ クスのインスタンス

が 2 つあり ます。 Bit Demux ブロ ッ クのインスタンスも 1 つ追加されています。 RXGEARBOXSLIP 入力信号はデー

タ ス ト リーム A 用に使用され、 RXSLIDE 入力信号はデータ ス ト リーム B 用のギアボッ クス ス リ ップ入力と して使

用されます。

図 4-56 に、 GTY ト ランシーバーの CAUI インターフェイス (RX パス) を示します。

X-Ref Target - Figure 4-56

図 4-56: CAUI インターフェイス (RX データパス)

4-Byte to 8-ByteConverter

RX GearboxSynchronizer

Interconnect LogicInterface Block

RX Gearbox Block

SequenceDetector A

SequenceDetector B

BitDemux

GTYE3/4_CHANNEL

64/66 – 4 ByteGearbox

Data OutData ValidHeader OutHeader Valid

0

B

A IN

RXDATAfrom PMA

RXDATA[63:0]

RXDATAVALID[1:0]

{B ,

A}

{B ,

A}

{B ,

A}

64/66 4B Gbx SequenceStartseq

Startseq

0

RXHEADER[5:0]

RXHEADERVALID[1:0]

RXSTARTOFSEQ[1:0]

64/67 4B Gbx Sequence64/66 2B Gbx A Sequence64/67 2B Gbx A Sequence

064/66 2B Gbx B Sequence64/67 2B Gbx B Sequence

Data OutData ValidHeader OutHeader Valid

Data OutData ValidHeader OutHeader Valid

Data OutData ValidHeader OutHeader Valid

Data OutData ValidHeader OutHeader Valid

Data OutData ValidHeader OutHeader Valid

64/67 – 4 ByteGearbox

64/66 –2 ByteGearbox A

64/66 – 2 ByteGearbox B

64/67 – 2 ByteGearbox A

64/67 – 2 ByteGearbox B

X19703-090717

UltraScale アーキテクチャ GTY ト ランシーバー 292UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 293: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

CAUI インターフェイス モードでは、 Bit Demux ブロッ クによって、 PMA から A および B ス ト リームへの入力デー

タ ス ト リームが分割されます。 ブロ ッ ク レシーバーは、 サイクルごとに 32 ビッ トのエンコード データを受信しま

す。 すべての偶数ビッ トはデータ ス ト リーム A に割り当てられ、 すべての奇数ビッ トはデータ ス ト リーム B に割り

当てられます。

RX_INT_DATAWIDTH = 1 (4 バイ ト ) がこのモードで使用されていますが、 2 つの 2 バイ ト ギアボッ クスを使用して

図 4-56 に示す機能性を実現します。 これら 2 バイ ト ギアボッ クスの機能性は、 前述のセクシ ョ ンで説明した、

RX_INT_DATAWIDTH = 0 (2 バイ ト ) の場合と同じです。

PCSL データ幅がそれぞれ 32 ビッ トの場合 (RX_DATA_WIDTH = 64 (8 バイ ト ))、 データ ス ト リーム A およびデータ

ス ト リーム B がそれぞれ対応するギアボッ クスに達するよ うに、 4-8 バイ ト コンバーターによってデータが組み合

わされます (図 4-57 および図 4-58 参照)。

X-Ref Target - Figure 4-57

図 4-57: 4-8 バイ ト コンバーター (RX_DATA_WIDTH = 64 (8 バイ ト )、 RX_INT_DATAWIDTH = 1 (4 バイ ト )、GEARBOX_MODE[2] = 1'b1) への入力

X-Ref Target - Figure 4-58

図 4-58: 4-8 バイ ト コンバーター (RX_DATA_WIDTH = 64 (8 バイ ト )、 RX_INT_DATAWIDTH = 1 (4 バイ ト )、GEARBOX_MODE[2] = 1'b1) の出力

RXUSRCLK

D0RXDATA[31:24]

RXDATA[23:16]

RXDATA[15:8]

RXDATA[7:0]

D4 D8 D12 D16 D20

D1 D5 D9 D13 D17 D21

D2 D6 D10 D14 D18 D22

D3 D7 D11 D15 D19 D23

X19704-090817

RXUSRCLK2

D0RXDATA[63:56]

RXDATA[47:40]

RXDATA[39:32]

RXDATA[31:24]

RXDATA[23:16]

RXDATA[15:8]

RXDATA[7:0]

RXDATA[55:48] D1

D2

D3

D4

D5

D6

D7

D8

D9

D10

D11

D12

D13

D14

D15

D16

D17

D18

D19

D20

D21

D22

D23

X19705-091117

UltraScale アーキテクチャ GTY ト ランシーバー 293UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 294: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RX 非同期ギアボックス

機能の説明

RX 非同期ギアボッ クスは、 64B/66B のヘッダーおよびペイロードの結合しかサポート しません。 ペイロード とヘッ

ダーの出力ピンと して、 RXDATA[127:0] および RXHEADER[4:0] を通常 (非 CAUI) モードで使用します。 64B/67B は

RX 非同期ギアボッ クスでサポート されていません。

RX 非同期ギアボッ クスは、 インターコネク ト ロジッ クに接続される 4 バイ ト、 8 バイ ト、 および 16 バイ ト RX デー

タ インターフェイスをサポート し、 4 バイ ト または 8 バイ トの内部データパスを使用する必要があ り ます。 データ

のスク ランブルはインターコネク ト ロジッ クで実行されます。 一般的な非同期ギアボッ クス モードに加えて CAUI

インターフェイス モード もサポート されます。 CAUI インターフェイスは、 8 バイ ト RX データ インターフェイスで

インターコネク ト ロジッ クに接続し、 4 バイ トの内部データパスを使用する場合のみサポート されます。 表 4-46 に、

非同期ギアボッ クスで有効なデータ幅の組み合わせを示します。

RX 同期ギアボッ クスでは、 無効データが周期的に RXDATAVALID ポートに現れるためこのポート をモニターする

必要があ り ますが、 RX 非同期ギアボッ クスでは各 RXUSRCLK2 サイ クルで有効データを継続して受信可能です。

RX 非同期ギアボッ クスは周波数および位相が異なる 2 つのクロ ッ ク ド メ インをブ リ ッジするため、 この非同期ギア

ボッ クス使用時は RX バッファー バイパスはサポート されません。 また、 RX 非同期ギアボッ クスは RX バッファー

に並列して配置されます。 図 4-59 に、 RX 非同期ギアボッ クスの位置を示します。 4 バイ トの内部データパス

(RX_INT_DATAWIDTH = 1) を選択した場合、 32 ビッ トのデータが常に、 各 RX XCLK サイクルで RX 非同期ギア

ボッ クスに入力されます。 34 ビッ ト データ (2 ビッ トのヘッダーと 32 ビッ トのペイロード ) および 32 ビッ ト データ

(32 ビッ トのペイロード ) が交互に、 各 RXUSRCLK サイ クルで RX 非同期ギアボッ クスから出力されます。 8 バイ ト

の内部データパスの場合、 64 ビッ ト データが常に、 各 RX XCLK サイクルで RX 非同期ギアボッ クスに入力されま

す。 66 ビッ ト データ (2 ビッ トのヘッダーと 64 ビッ トのペイロード ) が、 各 RXUSRCLK サイ クルで RX 非同期ギア

ボッ クスから出力されます。

表 4-46: RX 非同期ギアボックスで有効なデータ幅の組み合わせ

インターフェイス モード

内部データパス幅

インターフェイス幅

XCLK (MHz) RXUSRCLK (MHz) RXUSRCLK2 (MHz)

通常モード 32 32 RX ライン レート /32 RX ライン レート /33 RX ライン レート /33

32 64 RX ライン レート /32 RX ライン レート /33 RX ライン レート /66

64 64 RX ライン レート /64 RX ライン レート /66 RX ライン レート /66

64 128 RX ライン レート /64 RX ライン レート /66 RX ラ イン レート /132

CAUI モード 32 64 RX ライン レート /32 RX ライン レート /33 RX ライン レート /66

UltraScale アーキテクチャ GTY ト ランシーバー 294UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 295: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

通常モードでは、 RX 非同期ギアボッ クスを介したデータパスのレイテンシが内部で計測され、 DRP を用いて読み出

し専用レジスタを読み出すこ とでその計測されたレイテンシにアクセスできます。 RX 非同期ギアボッ クスは、 RX

プログラマブル分周器と併用されます。 RXOUTCLKSEL を 3'b101 に設定し、 RXUSRCLK および RXUSRCLK2 の

クロ ッ ク周波数を適切に生成できる分周値を選択する必要があ り ます。

X-Ref Target - Figure 4-59

図 4-59: RX クロック ド メインの例 (RX_INT_DATAWIDTH = 1 (4 バイ ト ) および RX_DATA_WIDTH = 64)

RX OOB

DFERXEQ

SIPO

RXClockDividers

Polarity

RXInterface

CommaDetect

andAlign

128B/130B

Decoder

RXElasticBuffer RX Sync

GearboxPRBSChecker

RX StatusControl

RX PIPEControl

RX AsyncGearbox

8B/10BDecoder

PCIeRX Buffer

BlockDetectAlign

64 Data +2 Header

bits

From ChannelClocking

Architecture

From TX ParallelData (Near-EndPCS Loopback)

To TX Parallel Data(Far-End PCS

Loopback)

To TX Parallel Data(Far-End PMA

Loopback)

RX Serial Clock PMA Parallel Clock (XCLK) PCS Parallel Clock (RXUSRCLK)Device Parallel

Clock(RXUSRCLK2)

34, 32 bits32 bits

X19706-081717

UltraScale アーキテクチャ GTY ト ランシーバー 295UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 296: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

ポートおよび属性

表 4-47 に、 RX 非同期ギアボッ クスのポート を示します。

表 4-47: RX 非同期ギアボックスのポート

ポート名 方向 クロック ド メイン 説明

RXGEARBOXSLIP 入力 RXUSRCLK2 High に遷移する と、 ギアボッ クスの内容が次の可能

なアライ メン トへス リ ップします。 このポート を使

用して、 インターコネク ト ポート RXDATA および

RXHEADER 内のデータを適切に揃えます。

このポート を RXUSRCLK2 ク ロ ッ クの 1 サイ クル間

アサートする と、 ギアボッ クスから出力されるデー

タ アライ メン トが変更されます。

データを新たにリ アラインする場合は、

RXGEARBOXSLIP を 低 1 サイクル間ディアサート

し、 再びアサートする必要があ り ます。 複数のリ ア

ライ メン トが連続して実行される場合は、 インター

コネク ト ロジッ クで正しいアライ メン ト ポイン ト を

認識しなくても、 適切なアライ メン ト ポイン ト を渡

すこ とができます。

CAUI インターフェイス モードでは、

RXGEARBOXSLIP はデータ ス ト リーム A をス リ ッ

プするために使用されます。

RXHEADER[5:0] 出力 RXUSRCLK2 RXHEADER[1:0]: 通常モード、 および CAUI イン

ターフェイス モードのデータ ス ト リーム A のヘッ

ダー出力です。

RXHEADER[4:3]: CAUI インターフェイス モードの

ビッ ト ス ト リーム B のヘッダー出力です。 通常モー

ドで 16 バイ ト RXDATA インターフェイスを使用す

る場合も、 ヘッダー出力と して使用されます。

UltraScale アーキテクチャ GTY ト ランシーバー 296UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 297: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RXHEADERVALID[1:0] 出力 RXUSRCLK2 RXHEADER の有効/無効を示します。

RXHEADERVALID[0]: 1'b1 は RXHEADER が通常

モード、 および CAUI インターフェイス モードの

データ ス ト リーム A の現在のデータについて有効で

あるこ とを示します。 8 バイ ト RX データ インター

フェイス (RX_DATA_WIDTH = 64) または 16 バイ ト

RX データ インターフェイス (RX_DATA_WIDTH =

128) を使用した場合、 RXHEADERVALID[0] は常に

1'b1 を出力し、 RXHEADER が各 RXUSRCLK2 サ

イ クルで有効であるこ とを示します。 CAUI イン

ターフェイスまたは通常モードで 4 バイ トの RX

データ インターフェイスを使用する と き、

RXHEADERVALID[0] は各 RXUSRCLK2 サイ クルで

ト グルします。

RXHEADERVALID[1]: 1'b1 は RXHEADER が CAUI

インターフェイス モードのデータ ス ト リーム B に

対して有効であるこ とを示します。 16 バイ トの RX

データ インターフェイス (通常モードのみ) の使用時

は、 RXHEADERVALID[1] は常に 1'b1 を出力して

2 つ目のヘッダーを示します。 CAUI インターフェイ

スまたは通常モードで 4 バイ トの RX データ イン

ターフェイスを使用する と き、

RXHEADERVALID[1] は各 RXUSRCLK2 サイ クルで

ト グルします。

RXBUFSTATUS[1:0] 出力 RXUSRCLK2 RXBUFSTATUS は RX バッファーまたは RX 非同期

ギアボッ クスのステータスを提供します。 RX 非同

期ギアボッ クス使用時のポートのステータスは次の

とおりです。

• ビッ ト 1:

0: RX 非同期ギアボッ クスの FIFO オーバーフロー

なし

1: RX 非同期ギアボッ クスの FIFO オーバーフロー

が発生

• ビッ ト 0:

0: RX 非同期ギアボッ クスの FIFO アンダーフロー

なし

1: RX 非同期ギアボッ クスの FIFO アンダーフロー

が発生

ポートは High になる と、 TX 非同期ギアボッ クスが

リセッ ト されるまで High が保持されます。

RXLATCLK 入力 クロ ッ ク RX 非同期ギアボッ クスのレイテンシ計算用にクロッ

クを提供するために使用される入力ポートです。

RXSLIDE 入力 RXUSRCLK2 CAUI インターフェイス モードではデータ ス ト リー

ム B 用 RXGEARBOXSLIP と して使用されます。

表 4-47: RX 非同期ギアボックスのポート (続き)

ポート名 方向 クロック ド メイン 説明

UltraScale アーキテクチャ GTY ト ランシーバー 297UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 298: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

表 4-48 に、 RX 非同期ギアボッ クスの属性を示します。

表 4-48: RX 非同期ギアボックスの属性

属性 タイプ 説明

GEARBOX_MODE 5 ビッ ト バイナリ TX および RX ギアボッ クスの動作モードを選択します。

• ビッ ト 4:

0: 同期ギアボッ クスを選択

1: 非同期ギアボッ クスを選択

• ビッ ト 3

未使用。 0 に設定。

• ビッ ト 2:

0: 通常モード

1: CAUI インターフェイス モード

• ビッ ト 1:

未使用。 0 に設定。

• ビッ ト 0:

0: 64B/67B ギアボッ クス ボード (同期ギアボッ クスの場

合にのみ有効)

1: 64B/66B ギアボッ クス

RXGEARBOX_EN 文字列 TRUE の場合、 RX 同期ギアボッ クスまたは RX 非同期ギ

アボッ クスが有効になり ます。 どちらの RX ギアボッ クス

を有効にするかは、 GEARBOX_MODE 属性で設定します。

FALSE の場合、 TX 同期ギアボッ クスおよび TX 非同期ギ

アボッ クスが無効になり ます。

RXGBOX_FIFO_INIT_RD_ADDR 整数 初期化読み出しアドレスです。 予約。 UltraScale FPGAs

Transceivers Wizard の推奨値を使用してください。

RX_SAMPLE_PERIOD 3 ビッ ト バイナリ レイテンシの計算で平均化処理が行われる RXLATCLK の

サイ クル数です。

3'b000: 256

3'b001: 512

3'b010: 1024

3'b011: 2048

3'b100: 4096

3'b101: 8192 (デフォルト )

3'b110: 16384

3'b111: 32768

RXGBOX_FIFO_LATENCY 16 ビッ ト バイナリ RX_SAMPLE_PERIOD サイ クル間平均化処理が行われた

RX 非同期ギアボッ クスを用いて UI 単位で計測されたレイ

テンシです。 レイテンシは、 1/8UI 単位で報告されます。

RXGBOX_FIFO_LATENCY 読み出し専用レジスタへは、

DRP を介してアクセスします。 このレジスタのアドレス

は 0x269 です。

UltraScale アーキテクチャ GTY ト ランシーバー 298UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 299: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RX 非同期ギアボックスの有効化

RX 非同期ギアボッ クスを有効にするには、 RXGEARBOX_EN を TRUE に設定する必要があ り ます。 非同期ギア

ボッ クスを選択するには、 通常モードの場合は GEARBOX_MODE を 5'b10001 に設定し、 CAUI モードの場合は

5'b10101 に設定します。

RX 非同期ギアボックスの使用

図 4-60 に示すとおり、 通常モード (GEARBOX_MODE[2]=1'b0) では、 RX 非同期ギアボッ クスは出力ポート

(RXHEADERVALID[0]、 RXDATA[63:0]、 RXHEADER[1:0]) および入力ポート RXGEARBOXSLIP を使用します。

16 バイ ト RXDATA インターフェイス (RX_DATA_WIDTH = 128) を使用した場合、各 RXUSRCLK2 サイクルで 2 ビッ

トのヘッダー 2 つと 64 ビッ トのペイロード 2 つが GTY ト ランシーバーによって出力されます。 ヘッダーは

RXHEADER[4:3] と RXHEADER[1:0] に出力されます。 データ ペイロードは RXDATA[127:64] と RXDATA[63:0] に出

力されます。 RXHEADER[4:3] と RXHEADER[1:0] が RXUSRCLK2 サイクルごとに有効になる と、

RXHEADERVALID[0] が RXUSRCLK2 サイクルごとに High (1'b1) になり ます。

8 バイ ト RXDATA インターフェイス (RX_DATA_WIDTH = 64) の使用時は、 各 RXUSRCLK2 サイクルで 2 ビッ トの

ヘッダーおよび 64 ビッ トのペイロードが GTY ト ランシーバーによって出力されます。 RXHEADER[1:0] が

RXUSRCLK2 サイ クルごとに有効になる と、RXHEADERVALID[0] が RXUSRCLK2 サイクルごとに High (1'b1) にな

り ます。

4 バイ ト RXDATA インターフェイス (RX_DATA_WIDTH=32) の使用時は、RXHEADER[1:0] が RXUSRCLK2 の 1 サイ

クルおきに有効となるため、 RXHEADERVALID[0] はト グルし、 32 ビッ トのデータが RXUSRCLK2 の各サイ クルで

RXDATA[31:0] に出力されます。

RXGEARBOXSLIP 入力ポートがブロ ッ ク同期プロセスで使用されます。 ブロ ッ クの境界を決定するこのブロ ッ ク同

期プロセスは、 283 ページの 「RX 同期ギアボッ クス」 で説明されている内容と同じです。 ブロ ッ ク同期の詳細は、

289 ページの 「RX ギアボッ クス ブロッ クの同期化」 を参照してください。

X-Ref Target - Figure 4-60

図 4-60: 通常モード (GEARBOX_MODE[2] = 1'b0) における RX 非同期ギアボックス

Design inInterconnect Logic

RX Asynchronous Gearbox(in GTY Transceiver)

RXHEADER[1:0] or RXHEADER[4:0]

RXDATA[31:0], RXDATA[63:0],or RXDATA[127:0]

RXHEADERVALID[0]

RXGEARBOXSLIP

X19707-081717

UltraScale アーキテクチャ GTY ト ランシーバー 299UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 300: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

データパス レイテンシの読み出し

RX 非同期ギアボッ クス FIFO を通る際に生じるデータパス レイテンシは、 RX_XCLK に非同期の RXLATCLKK を使

用して統計的に計算されます。 RX_SAMPLE_PERIOD は、 平均化処理が行われる RXLATCLK サイクル数を決定しま

す。 RXGBOX_FIFO_LATENCY で測定されるレイテンシの値は、 RX_SAMPLE_PERIOD で定義されたサンプリ ング

周期ごとに更新されます。

RX 非同期ギアボッ クス FIFO の読み出し側には、 データ アライ メン トに使用する、 ギアボッ クスのス リ ップ カウン

ト値で決定される追加オフセッ トがあ り ます。 そのため、 レイテンシ値を読み出す前に、 RXGEARBOXSLIP を実行

して同期状態を実現しておく必要があ り ます。 レイテンシの測定は、 CAUI モードではサポート されていません。

次の設定を使用して、 レイテンシを読み出します。

• 通常モードで、 RX 非同期ギアボッ クスを有効化します。

• RX_SAMPLE_PERIOD を設定します。

° 平均化処理の周期が長いほど、 レイテンシ値は正確になり ます。

• RXGEARBOXSLIP によって、 データパスの同期状態を実現します。

• RXGBOX_FIFO_LATENCY を読み出します。

° 値は、 1/8UI 単位です。

° 実際のレイテンシは、 RXGBOX_FIFO_LATENCY と固定値を合わせたものです。

CAUI インターフェイス

CAUI インターフェイスには、 ト ランシーバーに接続される 2 つのデータ インターフェイス (データ ス ト リーム A お

よびデータ ス ト リーム B) が必要です。 CAUI インターフェイス モードは、 GEARBOX_MODE[2] 属性を 1'b1 に設

定するこ とで有効になり ます。 CAUI インターフェイス モードであ り、 RX 非同期ギアボッ クスが選択されている場

合、 データ幅の設定と して、 TX_INT_DATAWIDTH = 1 (4 バイ ト ) および TX_DATA_WIDTH = 64 (8 バイ ト ) のみが認

められています。

図 4-61 に示すとおり、 CAUI インターフェイス モード (GEARBOX_MODE[2]=1'b1) では、 RX 非同期ギアボッ クス

は RXHEADERVALID[1:0]、 RXDATA[63:0]、 および RXHEADER[4:0] を使用し、 入力ポートである

RXGEARBOXSLIP および RXSLIDE を使用します。 RX_DATA_WIDTH = 32 (4 バイ ト ) の場合、 各データ ス ト リーム

に対する CAUI インターフェイスの使用法は、 通常モードで説明されている内容と同じです。 RXDATA[31:0]、

RXHEADER[1:0]、 および RXHEADERVALID[0] はデータ ス ト リーム A 専用で、 RXDATA[63:32]、 RXHEADER[4:3]、

および RXHEADERVALID[1] はデータ ス ト リーム B 専用です。 データ ス ト リーム B 用 RXSLIDE は、 データ ス ト

リーム A に対して使用される RXGEARBOXSLIP と同じ機能を実現します。

UltraScale アーキテクチャ GTY ト ランシーバー 300UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 301: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

通常モードでのブロ ッ ク同期プロセス と同様に、 ブロ ッ クの境界を決定する CAUI モードでのこのプロセスは、

283 ページの 「RX 同期ギアボッ クス」 で説明されている内容と同じです。 ブロ ッ ク同期の詳細は、 289 ページの

「RX ギアボッ クス ブロ ッ クの同期化」 を参照してください。

X-Ref Target - Figure 4-61

図 4-61: CAUI モード (GEARBOX_MODE[2] = 1'b1) における RX 非同期ギアボックス

Design in Interconnect Logic

PCSL – Datastream A

PCSL – Datastream B

RX Asynchronous Gearbox(in GTY Transceiver)

RXHEADER[1:0]

RXDATA[31:0]

RXGEARBOXSLIP

RXHEADERVALID[0]

RXHEADER[4:3]

RXDATA[63:32]

RXSLIDE

RXHEADERVALID[1]

X19708-081717

UltraScale アーキテクチャ GTY ト ランシーバー 301UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 302: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RX インターフェイス

機能の説明

RX インターフェイスは、 GTY ト ランシーバーの RX データパスへの入り口です。 アプ リ ケーシ ョ ンは、

RXUSRCLK2 の立ち上がりエッジで RXDATA ポートからデータを受け取るこ とで、 GTY ト ランシーバーからデータ

を受信します。 ポート幅は、 2、 4、 または 8 バイ ト幅から選択できます。 実際のポート幅は、 RX_DATA_WIDTH 属性と RX_INT_DATAWIDTH 属性そして RX8B10BEN ポートの設定で定義します。 有効なポート幅は、 16、 20、 32、40、 64、 80、 128 および 160 ビッ トです。 インターフェイスでのパラレル ク ロ ッ ク (RXUSRCLK2) レートは、 RX ライン レート、 RXDATA ポート幅、 および 8B/10B デコードの使用有無によって決定します。 パラレル動作モードの

場合、 2 番目のパラレル ク ロ ッ ク (RXUSRCLK) は、 ト ランス ミ ッ ターの内部 PCS ロジッ クに使用する必要があ り ま

す。 こ こでは、 パラレル ク ロ ッ クがどのよ うに駆動されるかを示し、 それらが正し く動作するための制約について

説明します。 高速ト ランス ミ ッ ター データ レートの場合、指定動作範囲内で RXUSRCLK2 レート を実現するには 8 バイ ト インターフェイスが必要です。

インターフェイス幅の設定

GTY ト ランシーバーには、 2 バイ ト と 4 バイ トの内部データパスがあ り、 RX_INT_DATAWIDTH 属性で設定できま

す。 インターフェイス幅は、 RX_DATA_WIDTH 属性で設定できます。 8B/10B エンコーダーが有効の場合、

RX_DATA_WIDTH 属性は 20 ビッ ト 、 40 ビッ ト 、 または 80 ビッ トに設定される必要があ り ます。 そしてこの場合、

RX インターフェイスは RXDATA ポートのみを使用します。 たとえば、 インターフェイス幅が 16 の場合、

RXDATA[15:0] が使用されます。 8B/10B デコーダーをバイパスする場合、 RX_DATA_WIDTH は 16 ビッ ト、 20 ビッ

ト 、 32 ビッ ト、 40 ビッ ト 、 64 ビッ ト 、 80 ビッ ト 、 128 ビッ ト または 160 ビッ トで設定できます。

表 4-49 に、 RX データパスのインターフェイス幅がどのよ うに決定されるかを示します。 8B/10B デコードについて

は、 240 ページの 「RX 8B/10B デコーダー」 で詳細に説明します。

表 4-49: RX インターフェイスのデータパス設定

RX8B10BEN RX_DATA_WIDTH RX_INT_DATAWIDTH インターフェイス幅 内部データ幅

1

20 0 16 20

40 0 32 20

40 1 32 40

80 1 64 40

0

16 0 16 16

20 0 20 20

32 0 32 16

32 1 32 32

40 0 40 20

40 1 40 40

64 1 64 32

64 2 64 64

80 1 80 40

80 2 80 80

128 2 128 64

160 2 160 80

UltraScale アーキテクチャ GTY ト ランシーバー 302UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 303: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

8B/10B デコーダーがバイパスされて、 RX_DATA_WIDTH が 20、 40、 または 80 の場合は、 RXCTRL0 および

RXCTRL1 ポート を使用して RXDATA ポート を 16 から 20 ビッ トへ、 32 から 40 ビッ トへ、 または 64 から 80 ビッ ト

へ拡張します。 表 4-50 に、 8B/10B デコーダーが無効の場合の受信データを示します。 RX ギアボッ クスを使用する

場合のデータ転送順は、 283 ページの 「RX 同期ギアボッ クス」 を参照して ください。

表 4-50: 8B/10B デコーダーをバイパスする場合での RX 受信データ

< < < 右から左へデータ受信 (LSB から MSB) < < <

39 38 37 36 35 34 33 32 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0

受信

デー

RX

CT

RL

1[3]

RX

CT

RL

0[3]

RX

DA

TA[3

1:24

]

RX

CT

RL

1[2]

RX

CT

RL

0[2]

RX

DA

TA[3

2:16

]

RX

CT

RL

1[1]

RX

CT

RL

0[1]

RX

DA

TA[1

5:8]

RX

CT

RL

1[0]

RX

CT

RL

0[0]

RX

DA

TA[7

:0]

< < < 右から左へデータ受信 (LSB から MSB) < < <

79 78 77 76 75 74 73 72 71 70 69 68 67 66 65 64 63 62 61 60 59 58 57 56 55 54 53 52 51 50 49 48 47 46 45 44 43 42 41 40

受信

デー

RX

CT

RL

1[7]

RX

CT

RL

0[7]

RX

DA

TA[6

3:56

]

RX

CT

RL

1[6]

RX

CT

RL

0[6]

RX

DA

TA[5

5:48

]

RX

CT

RL

1[5]

RX

CT

RL

0[5]

RX

DA

TA[4

7:40

]

RX

CT

RL

1[4]

RX

CT

RL

0[4]

RX

DA

TA[3

9:32

]

< < < 右から左へデータ受信 (LSB から MSB) < < <

119 118 117 116 115 114 113 112 111 110 109 108 107 106 105 104 103 102 101 100 99 98 97 96 95 94 93 92 91 90 89 88 87 86 85 84 83 82 81 80

受信

デー

RX

CT

RL

1[11

]

RX

CT

RL

0[11

]

RX

DA

TA[9

5:88

]

RX

CT

RL

1[10

]

RX

CT

RL

0[10

]

RX

DA

TA[8

7:80

]

RX

CT

RL

1[9]

RX

CT

RL

0[9]

RX

DA

TA[7

9:72

]

RX

CT

RL

1[8]

RX

CT

RL

0[8]

RX

DA

TA[7

1:64

]

< < < 右から左へデータ受信 (LSB から MSB) < < <

159 158 157 156 155 154 153 152 151 150 149 148 147 146 145 144 143 142 141 140 139 138 137 136 135 134 133 132 131 130 129 128 127 126 125 124 123 122 121 120

受信

デー

RX

CT

RL

1[15

]

RX

CT

RL

0[15

]

RX

DA

TA[1

27:1

20]

RX

CT

RL

1[14

]

RX

CT

RL

0[14

]

RX

DA

TA[1

19:1

12]

RX

CT

RL

1[13

]

RX

CT

RL

0[13

]

RX

DA

TA[1

11:1

04]

RX

CT

RL

1[12

]

RX

CT

RL

0[12

]

RX

DA

TA[1

03:9

6]

UltraScale アーキテクチャ GTY ト ランシーバー 303UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 304: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

RXUSRCLK および RXUSRCLK2 の生成

RX インターフェイスには、 2 つのパラレル ク ロ ッ ク (RXUSRCLK および RXUSRCLK2) があ り ます。 RXUSRCLK

は、 GTY ト ランス ミ ッ ターの PCS ロジッ ク用の内部クロ ッ クです。 RXUSRCLK で必要なレートは、

GTYE3/4_CHANNEL プリ ミ ティブの内部データパス幅および GTY ト ランシーバー RX のライン レートによって決

ま り ます。 RX 非同期ギアボッ クスを使用する場合を除き、 RXUSRCLK の必要なレートは式 4-4 で求めるこ とがで

きます。 4 バイ トの内部データパスで RX 非同期ギアボッ クスを使用する場合の RXUSRCLK の必要なレートは、

式 4-5 で求めるこ とができます。 8 バイ トの内部データパスの場合の必要なレートは、 式 4-6 で求めます。

式 4-4

式 4-5

式 4-6

RXUSRCLK2 は、 GTY ト ランシーバーの RX 側に入る信号すべてを同期化するためのプライマ リ ク ロ ッ クです。

GTY ト ランシーバーの RX 側に入力されるほとんどの信号は、 RXUSRCLK2 の立ち上がりエッジで取り込まれます。

RXUSRCLK2 と RXUSRCLK は、 RX_DATA_WIDTH と RX_INT_DATAWIDTH の設定に基づく固定されたレート関係

があ り ます。 表 4-51 に、 RX_DATA_WIDTH と RX_INT_DATAWIDTH 値に対する RXUSRCLK2 と RXUSRCLK の関

係を示します。 上記のライン レートには、 4 バイ ト または 8 バイ トの内部データパスの使用が求められます。 各ス

ピード グレードの詳細は、 『UltraScale および UltraScale+ デバイス データシート 』 [参照 6] を参照して ください。

RXUSRCLK と RXUSRCLK2 の関係には、 次のよ うな規則があ り ます。

• RXUSRCLK および RXUSRCLK2 は、 クロ ッ ク スキューを可能な限り 小限に抑え、 立ち上がりエッジで揃え

る必要があ り ます。

• ト ランス ミ ッ ターおよびレシーバーの基準クロ ッ クが同じオシレーターで駆動するよ うにチャネルが構成され

ている と、 TXOUTCLK を使用して TXUSRCLK および TXUSRCLK2 を駆動する場合と同様の方法で、

RXUSRCLK および RXUSRCLK2 が駆動できます。 ク ロ ッ ク コレクシ ョ ンがオフのと き、 または RX バッ

ファーをバイパスする と きは、 RX 位相アライ メン ト機能を使用してシ リ アル ク ロ ッ クおよびパラレル ク ロ ッ

クを揃える必要があ り ます。

• チャネルの ト ランス ミ ッ ターおよびレシーバーの基準クロ ッ クを別々のオシレーターで駆動し、 ク ロ ッ ク コレ

クシ ョ ンが未使用の場合は、 RXUSRCLK および RXUSRCLK2 は、 RXOUTCLK (RXOUTCLKPMA の場合は

RXOUTCLKSEL = 3'b010) で分周して位相アライ メン ト回路を使用する必要があ り ます。

• ク ロ ッ ク コレクシ ョ ンを使用している場合は、 RXOUTCLK または TXOUTCLK をソース と して RXUSRCLK お

よび RXUSRCLK2 が使用可能です。

表 4-51: RXUSRCLK2 と RXUSRCLK の周波数関係

インターフェイス幅 RX_DATA_WIDTH RX_INT_DATAWIDTH RXUSRCLK2 の周波数

2 バイ ト 16、 20 0 FRXUSRCLK2 = FRXUSRCLK

4 バイ ト 32、 40 0 FRXUSRCLK2 = FRXUSRCLK /2

4 バイ ト 32、 40 1 FRXUSRCLK2 = FRXUSRCLK

8 バイ ト 64、 80 1 FRXUSRCLK2 = FRXUSRCLK / 2

8 バイ ト 64、 80 2 FRXUSRCLK2 = FRXUSRCLK

16 バイ ト 128、 160 2 FRXUSRCLK2 = FRXUSRCLK / 2

RXUSRCLK Rate L ine RateInternal Datapath Width-------------------------------------------------------=

RXUSRCLK Rate L ine Rate33

----------------------=

RXUSRCLK Rate L ine Rate66

----------------------=

UltraScale アーキテクチャ GTY ト ランシーバー 304UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 305: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

ポートおよび属性

表 4-52 に、 RX インターフェイスのポート を示します。

表 4-52: RX インターフェイスのポート

ポート 方向 クロック ド メイン 説明

RXCTRL1[15:0] 出力 RXUSRCLK2 8B/10B デコードが無効の場合、 20、 40、 80、 およ

び 160 ビッ ト RX インターフェイスのデータ バスの

拡張に使用されます。

RXCTRL0[15:0] 出力 RXUSRCLK2 8B/10B デコードが無効の場合、 20、 40、 80、 およ

び 160 ビッ ト RX インターフェイスのデータ バスの

拡張に使用されます。

RXDATA[127:0] 出力 RXUSRCLK2 データ受信用のバスです。 ポート幅は

RX_DATA_WIDTH で決ま り ます。

RX_DATA_WIDTH = 16、 20:

RXDATA[15:0] = 16 ビッ ト幅

RX_DATA_WIDTH = 32、 40:

RXDATA[31:0] = 32 ビッ ト幅

RX_DATA_WIDTH = 64、 80:

RXDATA[63:0] = 64 ビッ ト幅

RX_DATA_WIDTH = 128、 160:

RXDATA[128:0] = 128 ビッ ト幅

20 ビッ ト、 40 ビッ ト または 80 ビッ トのバスが必要

な場合は、 8B/10B エンコーダーの RXCTRL0 およ

び RXCTRL1 ポート と RXDATA ポート を結合しま

す。 303 ページの表 4-50 を参照してください。

RXUSRCLK 入力 クロ ッ ク 内部 RX PCS データパスへのクロ ッ ク提供に使用し

ます。

RXUSRCLK2 入力 クロ ッ ク インターコネク ト ロジッ ク と RX インターフェイ

スの同期に使用します。 このクロ ッ クは、

RXUSRCLK の立ち上がりエッジに揃う必要があ り

ます。

RXDATAEXTENDRSVD 出力 RXUSRCLK2 予約。

UltraScale アーキテクチャ GTY ト ランシーバー 305UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 306: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 4 章: レシーバー

表 4-53 に、 RX インターフェイスの属性を示します。

表 4-53: RX インターフェイスの属性

属性 タイプ 説明

RX_DATA_WIDTH 整数 RXDATA ポートのビッ ト幅を設定します。 8B/10B エンコーダーが

有効の場合、 RX_DATA_WIDTH は 20 ビッ ト、 40 ビッ ト 、 または

80 ビッ トに設定される必要があ り ます。 有効な設定値は 16、 20、

32、 40、 64、 80、 128、 および 160 です。

詳細は、 302 ページの 「インターフェイス幅の設定」 を参照して

ください。

RX_INT_DATAWIDTH 整数 内部データパス幅を指定します。

0: 2 バイ トの内部データパス

1: 4 バイ トの内部データパス

2: 8 バイ トの内部データパス

上記のライン レートには、 4 バイ ト または 8 バイ トの内部データ

パスの使用が求められます。 各スピード グレードの詳細は、

『UltraScale および UltraScale+ デバイス データシート 』 [参照 6] を参

照して ください。

RX_FABINT_USRCLK_FLOP 1 ビッ ト

バイナリ

RXUSRCLK2 ド メ インの前に RXUSRCLK ド メ インでポート信号

を 1 回取得するかど うかを指定します。 この属性は、 RX 内部デー

タパス幅が RX インターフェイス幅と等しい場合のみ適用され、

それ以外の場合は無視されます。 ウ ィザードの推奨値を使用して

ください。

0: RXUSRCLK フ リ ップフロ ップをバイパス

1: RXUSRCLK フ リ ップフロ ップを使用

UltraScale アーキテクチャ GTY ト ランシーバー 306UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 307: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 5 章

ボード デザインのガイド ライン

概要

この章では、 GTY ト ランシーバーを使用するデザインを PCB 上に実装する際のガイ ド ラ インを示します。 GTY ト

ランシーバーはアナログ回路であるため、 PCB に実装する際には特別な配慮が必要です。 デザインを正常に動作さ

せるには、 デバイス ピンの機能を理解し、 デバイス インターフェイス、 伝送ラインのインピーダンス と配線、 電源

回路デザインのフ ィルタ リ ングと分配、 コンポーネン ト選択、 PCB レイアウ ト と スタ ッ クアップ デザインなどの問

題に取り組む必要があ り ます。

ピンの説明およびデザインのガイド ライン

GTY ト ランシーバーのピンの説明

表 5-1 に、 GTY ト ランシーバー クワ ッ ドのピンを示します。

表 5-1: GTY ト ランシーバー クワッ ドのピンの説明

ピン 方向 説明

MGTREFCLK0P

MGTREFCLK0N

入力/出力

(パッ ド )

クワ ッ ドの基準クロ ッ ク入力ピンまたは RX リ カバリ ク ロ ッ ク出力ピンと

して設定されます。

MGTREFCLK1P

MGTREFCLK1N

入力/出力

(パッ ド )

クワ ッ ドの基準クロ ッ ク入力ピンまたは RX リ カバリ ク ロ ッ ク出力ピンと

して設定されます。

MGTYRXP[3:0]/MGTYRXN[3:0] 入力

(パッ ド )

RXP と RXN は、GTY ト ランシーバー クワ ッ ドにある各レシーバーの差動

入力ペアです。

MGTYTXP[3:0]/MGTYTXN[3:0] 出力

(パッ ド )

TXP と TXN は、 GTY ト ランシーバー クワ ッ ドにある各ト ランス ミ ッ ター

の差動出力ペアです。

MGTAVTTRCAL 入力

(パッ ド )

終端抵抗キャ リブレーシ ョ ン回路のバイアス電源電流です。 「終端抵抗

キャ リブレーシ ョ ン回路」 を参照してください。

MGTRREF 入力

(パッ ド )

終端抵抗キャ リブレーシ ョ ン回路のキャ リブレーシ ョ ン抵抗入力ピンで

す。 「終端抵抗キャ リブレーシ ョ ン回路」 を参照してください。

UltraScale アーキテクチャ GTY ト ランシーバー 307UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 308: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 5 章: ボード デザインのガイド ライン

図 5-1 に、 GTY ト ランシーバーと外部電源との接続を示します。

図 5-1 について説明します。

1. 電圧値は公称値です。値および耐性の詳細は、 『UltraScale および UltraScale+ デバイス データシート 』 [参照 6] を

参照してください。

MGTAVCC 入力

(パッ ド )

MGTAVCC は、 GTY ト ランシーバー クワ ッ ド タイルの内部アナログ回路

へ電力供給するアナログ電源ピンです。 この回路には、 PLL、 ト ランス

ミ ッ ター、 およびレシーバー用のアナログ回路が含まれます。 多くのパッ

ケージには、 その内部に MGTAVCC 用の電源接続グループが複数あ り ま

す。 特定の GTY ト ランシーバー クワ ッ ドに配置される電源グループの詳

細は、 パッケージ ピンの説明を参照して ください。

UltraScale FPGA の場合、 公称電圧は 1.0VDC です。

UltraScale+ FPGA の場合、 公称電圧は 0.9VDC です。

MGTAVTT 入力

(パッ ド )

MGTAVTT は、 GTY ト ランシーバー クワ ッ ド タイルの ト ランス ミ ッ ター

回路およびレシーバー回路へ電力供給するアナログ電源ピンです。 多くの

パッケージには、 その内部に MGTAVTT 用の電源接続グループが複数あ り

ます。 特定の GTY ト ランシーバー クワ ッ ドに配置される電源グループの

詳細は、 パッケージ ピンの説明を参照して ください。 公称電圧は、

1.2VDC です。

MGTVCCAUX 入力

(パッ ド )

MGTVCCAUX は、 ト ランシーバーの QPLL へ電圧を供給するアナログ補

助電源ピンです。 多くのパッケージには、 その内部に MGTVCCAUX 用の

電源接続グループが複数あ り ます。 特定の GTY ト ランシーバー クワ ッ ド

に配置される電源グループの詳細は、 パッケージ ピンの説明を参照して

ください。 公称電圧は、 1.8VDC です。

表 5-1: GTY ト ランシーバー クワッ ドのピンの説明 (続き)

ピン 方向 説明

X-Ref Target - Figure 5-1

図 5-1: GTY ト ランシーバーと外部電源との接続

GTY Transceiver Quad

MGTVCCAUX

MGTAVCC

MGTAVTT

MGTAVTTRCAL

MGTRREF

1.2V

1.0V for UltraScale FPGAs(0.9V for UltraScale+ FPGAs)

1.8V

100Ω 1%

X19709-090717

UltraScale アーキテクチャ GTY ト ランシーバー 308UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 309: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 5 章: ボード デザインのガイド ライン

終端抵抗キャリブレーシ ョ ン回路

GTY ト ランシーバー クワ ッ ド カラム内にあるすべての GTY ト ランシーバー クワ ッ ド プリ ミ ティブで共有される抵

抗キャ リブレーシ ョ ン回路 (RCAL) が 1 つあり ます。 MGTAVTTRCAL ピンおよび MGTRREF ピンを使用して、 バイ

アス回路と外部キャ リブレーシ ョ ン抵抗を RCAL 回路へ接続します。 RCAL 回路は、 UltraScale デバイスのコンフ ィ

ギュレーシ ョ ン中にのみ抵抗キャ リブレーシ ョ ンを実行します。 コンフ ィギュレーシ ョ ン前には、 すべてのアナロ

グ電源電圧が供給され、『UltraScale および UltraScale+ デバイス データシート 』 [参照 6] で指定される耐性範囲内にな

る必要があ り ます。 どのクワッ ドでも電源グループ (PSG) 全体が使用されていない場合、 MGTAVTTRCAL および

MGTRREF をグランドに接続する必要があ り ます。 未使用のクワッ ドがある場合の RCAL バイアスの推奨事項の詳

細は、 「アナログ電源ピン」 を参照してください。

RCAL 回路は、 RCAL マスターである GTY ト ランシーバー クワ ッ ドに含まれます。 RCAL マスターは、 UltraScale デ

バイスのコンフ ィギュレーシ ョ ン中に終端抵抗キャ リブレーシ ョ ンを実行し、 カラム内のすべての GTY ト ランシー

バー クワ ッ ドへキャ リブレーシ ョ ンした値を分配します。 RCAL 回路が配置されているクワッ ドに電源を投入する

必要があ り ます。 スタ ッ ク ド シ リ コン インターコネク ト (SSI) テク ノ ロジを使用するデバイスについては、 使用さ

れる各スライス (複数のクワ ッ ドを含む) に電源を投入して ください。

MGTAVTTRCAL ピンを、 MGTAVTT 電源ピンと 100Ω の外部精密抵抗のピンへ接続してください。 抵抗のも う一方

のピンは、 MGTRREF ピンへ接続します。 抵抗キャ リブレーシ ョ ン回路によって、 MGTRREF ピンへ接続されてい

る抵抗に、 制御された電流負荷が提供されます。 そして、 この回路は外部キャ リブレーシ ョ ン抵抗における電圧降

下を判断し、 その値に基づいて抵抗キャ リブレーシ ョ ン値を変更 (調整) します。 抵抗キャ リブレーシ ョ ンの品質は、

MGTAVTTRCAL ピンおよび MGTRREF ピンでの電圧測定精度によって決ま り ます。 抵抗から UltraScale デバイス ピ

ンまでの ト レース間での電圧降下が原因で生じるエラーを回避するため、 MGTAVTTRCAL ピンから抵抗までの ト

レース長および構造は、 抵抗のも う一方のピンから MGTRREF ピンまでの ト レース長および構造と同じにする必要

があ り ます。 また、 PCB ト レースの 大 DC 抵抗を 0.5Ω 未満に制限する必要があ り ます。 図 5-2 に、 推奨されるレ

イアウ ト を示します。

X-Ref Target - Figure 5-2

図 5-2: RCAL 抵抗の PCB レイアウト

100Ω

Trace length from the resistor pins to theUltraScale device pins MGTRREF and MGTAVTTRCALmust be equal in length.Connection

to AVTT

MGTAVTTRCAL MGTRREFX19710-090717

UltraScale アーキテクチャ GTY ト ランシーバー 309UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 310: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 5 章: ボード デザインのガイド ライン

アナログ電源ピン

GTY ト ランシーバー クワ ッ ドのアナログ電源 (MGTAVCC、 MGTAVTT、 MGTVCCAUX) には、 パッケージ内にプ

レーンがあ り ます。 一部のパッケージには、 各アナログ電源に対して複数のプレーンがあ り ます。 パッケージに複

数の PSG がある場合、 電源ピンの名前に接尾辞 (_LN、 _RN、 _LS、 または _RS) が付き、 どのピンが特定の PSG に

含まれるかを示します。 PSG 内に使用しないクワ ッ ドがある場合は、 そのクワ ッ ドに関連する電源ピンは未接続の

ままにするか、 GND に接続できます。 PSG への電源供給には次の規則があ り ます。

• パッケージ PSG 内でクワッ ドをまったく使用していない場合、 その PSG への電源供給を停止できます。

• 1 つでも クワ ッ ドを使用している PSG には電力を供給する必要があ り ます。

• パッケージの各辺 (左または右) の PSG は、 互いに完全に独立しています。 パッケージの一辺の PSG に電力を供

給するかど うかは、 そのパッケージの別の辺の PSG に影響を与えません。

• RCAL マスターを持たない PSG に電力を供給する場合は、 パッケージの同じ辺 (左または右) にあるすべての

PSG に電力を供給する必要があ り ます。

• RCAL マスターを持つ PSG への電力供給を切断する場合は、 パッケージの同じ辺にある、 RCAL マスターを持

たない PSG への電力供給もすべて切断する必要があ り ます。

• RCAL マスターを持たない PSG への電力供給を切断しても、 ほかの PSG には影響を与えません。

GTY ト ランシーバーの各アナログ電源グループには、 3 つの電源 (MGTAVCC、 MGTAVTT、 MGTVCCAUX) があ り

ます。 たとえば、 パッケージに 2 つの PSG がある場合、 これらのグループのパッケージ内に合計 6 つの電源プレー

ン (各 PSG に 3 つのプレーン) があ り ます。 表 5-2 に Kintex UltraScale デバイスの電源グループを、 表 5-3 に Virtex

UltraScale デバイスの PSG を示します。

表 5-2: Kintex UltraScale デバイス ト ランシーバーの電源グループおよび RCAL マスター (パッケージ別)

デバイス パッケージGTY ト ランシーバー

119 120 121 122 123 124 125 126 127 128 129 130 131 132 133

XCKU095

FFVC1517L L L L L

RCL

FFVB1760L L

RCLL L

FFVB2104LS LS LS LS LN LN

RCLLN LN

注記:1. 各セル内の上の行は、 電源グループの識別子です。 2 番目の行に RCL と記載されている場合、 そのクワ ッ ドは RCAL マスター

です。

UltraScale アーキテクチャ GTY ト ランシーバー 310UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 311: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 5 章: ボード デザインのガイド ライン

表 5-3: Virtex UltraScale デバイス ト ランシーバー電源グループおよび RCAL マスター (パッケージ別)

デバイス パッケージGTY ト ランシーバー

119 120 121 122 123 124 125 126 127 128 129 130 131 132 133

XCVU065 FFVC1517L L

RCLL L L

XCVU080

FFVC1517L L L L L

RCL

FFVD1517LS LS LS LS LN LN

RCLLN LN

FFVB1760L L

RCLL L

FFVA2104LS LS LS LN LN

RCLLN

FFVB2104LS LS LS LS LN LN

RCLLN LN

XCVU095

FFVC1517L L L L L

RCL

FFVD1517LS LS LS LS LN LN

RCLLN LN

FFVB1760L L

RCLL L

FFVA2104LS LS LS LN LN

RCLLN

FFVB2104LS LS LS LS LN LN

RCLLN LN

FFVC2104LC LC LC LC LC LN

RCLLN LN

XCVU125

FLVD1517LS LS

RCLLS LS LN LN

RCLLN LN

FLVB1760L L

RCLL L

FLVA2104LS

RCLLS LS LN

RCLLN LN

FLVB2104LS

RCLLS LS LS LN LN

RCLLN LN LN

FLVC2104LC LC

RCLLC LC LC LN LN

RCLLN LN LN

XCVU160

FLGB2104LS

RCLLS LS LS LN LN

RCLLN LN LN

FLGC2104LS

RCLLS LS LC LC

RCLLC LC LC LN LN

RCLLN LN LN

XCVU190

FLGB2104LS

RCLLS LS LS LN LN

RCLLN LN LN

FLGC2104LS

RCLLS LS LC LC

RCLLC LC LC LN LN

RCLLN LN LN

FLGA2577LS LS

RCLLS LS LLC LLC LLC

RCLLLC LUC LUC LUC LUC

RCLLN LN LN

UltraScale アーキテクチャ GTY ト ランシーバー 311UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 312: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 5 章: ボード デザインのガイド ライン

基準クロック

概要

このセクシ ョ ンでは、 基準クロ ッ ク ソースやオシレーターの選択について説明します。 オシレーターは、 次の特性

で評価されます。

• 周波数範囲

• 出力電圧幅

• ジッター (予測可能、 ランダム、 Peak-to-Peak)

• 立ち上がりおよび立ち下がり時間

• 電源電圧および電流

• ノ イズ仕様

• デューティ サイ クルおよびデューティ サイクル耐性

• 周波数の安定性

これらの特性は、 GTY ト ランシーバー デザインで使用するオシレーターを決定する際の選択基準です。 図 5-3 に、

シングルエンド ク ロ ッ ク入力の Peak-to-Peak 電圧幅を示します。 この図は、図 5-4 に示す差動クロ ッ ク入力の電圧幅

との対比となるものです (図はいずれも 『UltraScale および UltraScale+ デバイス データシート 』 [参照 6] の 「GTY ト

ランシーバーの仕様」 からの引用)。

XCVU440FLGB2577

FLGA2892

注記:1. 各セル内の上の行は、 電源グループの識別子です。 2 番目の行に RCL と記載されている場合、 そのクワ ッ ドは RCAL マスター

です。

表 5-3: Virtex UltraScale デバイス ト ランシーバー電源グループおよび RCAL マスター (パッケージ別) (続き)

デバイス パッケージGTY ト ランシーバー

119 120 121 122 123 124 125 126 127 128 129 130 131 132 133

X-Ref Target - Figure 5-3

図 5-3: シングルエンド クロック入力の Peak-to-Peak 電圧幅

+V

Single-Ended Voltage

0

MGTREFCLKP

MGTREFCLKN

X19711-091117

UltraScale アーキテクチャ GTY ト ランシーバー 312UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 313: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 5 章: ボード デザインのガイド ライン

図 5-4 に、 「MGTREFCLKP – MGTREFCLKN」 と して定義された差動クロ ッ ク入力の電圧幅を示します。

図 5-5 に、 基準クロ ッ クの立ち上がりおよび立ち下がり時間を示します。

図 5-6 に、 IBUFDS 内部の詳細を示します。 専用の差動基準クロ ッ ク入力ペア (MGTREFCLKP/MGTREFCLKN) が 100Ω の差動インピーダンスで内部終端されています。 UltraScale FPGA の場合、 この差動基準クロ ッ ク入力ペアの同

相電圧は MGTAVCC の 4/5 です (= 0.8V、公称値)。 UltraScale+ FPGA の場合、同相電圧は MGTAVCC です (= 0.9V、公

称値)。 詳細は、 『UltraScale および UltraScale+ デバイス データシート 』 [参照 6] を参照してください。

図 5-6 について説明します。

1. 抵抗値は公称値です。詳細は、 『UltraScale および UltraScale+ デバイス データシート』 [参照 6] を参照してください。

X-Ref Target - Figure 5-4

図 5-4: 差動クロック入力の Peak-to-Peak 電圧幅

X-Ref Target - Figure 5-5

図 5-5: 立ち上がりおよび立ち下がり時間

X-Ref Target - Figure 5-6

図 5-6: MGTREFCLK 入力の詳細

+V

–V

0

MGTREFCLKP – MGTREFCLKN

VIDIFF

X19712-090717

80%

20%

TRCLK

TFCLK

X19713-081717

MGTREFCLKP 50Ω

50Ω

UltraScale FPGAs:4/5 MGTAVCCUltraScale+ FPGAs:MGTAVCC

MGTREFCLKN

REFCLK

to GTYDedicated

ClockRouting

X19714-081717

UltraScale アーキテクチャ GTY ト ランシーバー 313UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 314: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 5 章: ボード デザインのガイド ライン

GTY ト ランシーバーの基準クロックのチェ ック項目

GTY ト ランシーバー デザインで使用するオシレーターを選択する際には、 次の条件を満たしているかを判断する必

要があ り ます。

• オシレーターの出力ピンと GTY ト ランシーバー クワ ッ ド専用のクロ ッ ク入力ピン間に AC カップリ ングを提供

する。

• 基準クロ ッ クの差動電圧幅が、『UltraScale および UltraScale+ デバイス データシート 』 [参照 6] で指定されている

範囲である (公称範囲は 250mV ~ 2000mV、 公称値は 1200mV)。

• 『UltraScale および UltraScale+ デバイス データシート 』 [参照 6] で指定された基準クロ ッ クの特性を満たす、また

はそれ以上である。

• GTY ト ランシーバーが物理層に対応する場合の、標準的な基準クロ ッ クの特性を満たす、またはそれ以上である。

• オシレーター ベンダーが提供する、 電源、 ボード配置、 およびノ イズ仕様に関する規定要件を満たしている。

• オシレーターと GTY ト ランシーバー クワッ ドのクロッ ク入力ピン間には、 専用の Point-to-Point 接続を使用する。

• 差動送信ライン上のインピーダンス断絶を 小限に抑える (インピーダンス断絶はジッターを発生する )。

基準クロックのインターフェイス

LVDS

図 5-7 では、 LVDS オシレーターと GTY ト ランシーバーの基準クロ ッ ク入力の接続を示します。

X-Ref Target - Figure 5-7

図 5-7: LVDS オシレーターと GTY ト ランシーバーの基準クロック入力の接続

LVDS Oscillator

0.01 μF

0.01 μFGTY TransceiverReference Clock

Input Buffer

Internal toUltraScale Device

X19715-090717

UltraScale アーキテクチャ GTY ト ランシーバー 314UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 315: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 5 章: ボード デザインのガイド ライン

LVPECL

図 5-8 では、 LVPECL オシレーターと GTY ト ランシーバーの基準クロ ッ ク入力の接続を示します。

図 5-8 について説明します。

1. 抵抗値は公称値です。 実際のバイアス抵抗要件は、 オシレーター ベンダーが発行するデータシート を参照して

ください。

2. デバイス コンフ ィギュレーシ ョ ンが完了するまで終端抵抗はキャ リブレーシ ョ ンされず、 クロ ッ ク入力バッ

ファーへの電圧レベル入力はデバイス データシート [参照 6] に指定される絶対 大定格を超えないよ うにする

必要があ り ます。

AC カップリングされた基準クロック

オシレーター基準クロ ッ ク出力と GTY ト ランシーバー クワ ッ ドの基準クロ ッ ク入力の AC カップリ ングは、 次のよ

うな役割を果たします。

• オシレーターと GTY ト ランシーバー クワ ッ ド専用クロ ッ ク入力ピンの間の DC 電流をブロ ッ ク します (これに

よ り、 両方の消費電力が削減される )。

• 同相電圧を独立させます。

• AC カップリ ング キャパシタがオンチップ終端を持つハイ パス フ ィルターとなり、 基準クロ ッ クのワンダーを

低減させます。

ノ イズおよび消費電力を 小限にするため、 ソース となっているオシレーターと GTY ト ランシーバー クワ ッ ド専用

の基準クロ ッ ク入力ピンの間に外部 AC カップ リ ング キャパシタが必要です。

未使用基準クロック

基準クロ ッ ク入力を使用しない場合は、 MGTREFCLKP および MGTREFCLKN の両方の基準クロ ッ ク入力ピンを未

接続のままにしてください。

X-Ref Target - Figure 5-8

図 5-8: LVPECL オシレーターと GTY ト ランシーバーの基準クロック入力の接続

LVPECL Oscillator

240Ω

240Ω

0.01 μF

0.01 μF

GTY TransceiverReference Clock

Input Buffer

Internal toUltraScale Device

X19716-090717

UltraScale アーキテクチャ GTY ト ランシーバー 315UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 316: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 5 章: ボード デザインのガイド ライン

基準クロック出力バッファー

基準クロ ッ ク ピンは、 クワ ッ ドにあるいずれかのト ランシーバーからの RX リ カバリ ク ロ ッ クを駆動する出力ピン

と して設定できます。 このバッファーの動作およびコンフ ィギュレーシ ョ ンについては、 第 2 章 「共有機能」 で説

明されています。 この出力は、 PCB 上の DC ブロ ッキング キャパシタを介して信号を供給するよ うに設計されてい

ます。 信号レベルは、 DC ブロ ッキング キャパシタの後の LVDS の信号レベルに相当します。 出力レベルについて

は、 UltraScale デバイス データシート [参照 6] を参照してください。

基準クロックの電源

GTY ト ランシーバーの基準クロ ッ ク入力回路は、 MGTAVCC から電源供給されます。 この電圧に過剰なノ イズが発

生する と、 この回路からの基準クロ ッ クを使用する GTY ト ランシーバー クワ ッ ドの性能が低下します。

電源およびフ ィルタ リング

概要

GTY ト ランシーバー クワ ッ ドには、 3 つのアナログ電源 (UltraScale FPGA では公称値 1.0VDC、 UltraScale+ FPGA で

は公称値 0.9VDC の MGTAVCC、 公称値 1.8VDC の MGTVCCAUX、 公称値 1.2VDC の MGTAVTT) が必要です。 これら

のアナログ電源の各ピンは、 パッケージのプレーンへ接続されます。 一部のパッケージには、 各アナログ電源に対

して 2 つのプレーン (North プレーンおよび South プレーン) があ り ます。 GTY ト ランシーバーの内部電源プレーンの

詳細は、 307 ページの 「概要」 を参照してください。

GTY ト ランシーバーのアナログ電源でのノ イズは、 ト ランシーバーの性能に悪影響を与える可能性があ り ます。

つま り、 GTY ト ランス ミ ッ ターの出力でジッターが増加し、 レシーバーのジッター耐性が低下します。 電源ノ イズ

の原因には、 次のよ うなものがあ り ます。

• 電圧レギュレータのノ イズ

• 電源分配ネッ ト ワーク

• ほかの回路からのカップ リ ング

X-Ref Target - Figure 5-9

図 5-9: UltraScale アーキテクチャ GTY ト ランシーバーの基準クロック出力の接続

LVDS (or Equivalent)Receiver

0.01 μF

0.01 μFGTY TransceiverReference Clock

Output Buffer

Internal toUltraScale Device

X19717-090717

UltraScale アーキテクチャ GTY ト ランシーバー 316UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 317: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 5 章: ボード デザインのガイド ライン

GTY ト ランシーバー アナログ電源をインプリ メン トする際には、これらのノ イズの原因を考慮する必要があ り ます。

UltraScale デバイスの入力ピンで測定される Peak-to-Peak ノ イズの合計値は、 10mVPK-PK を超えてはなり ません。

複数のレーンの電源投入/切断およびリセッ ト

GTY ト ランシーバーの動作ステートは、 電源切断のアサート とディアサートおよびリセッ トによって制御できます

(52 ページの 「リセッ トおよび初期化」 および 76 ページの 「パワーダウン」 を参照)。

GTY ト ランシーバーの動作ステートが、 電源切断ステート またはリセッ ト ステートの変更によって変化した場合、

オンボードの電源分配ネッ ト ワーク (PDN) および電源レギュレータで確認される負荷電流も変化します。 負荷電流

が変わった場合、 電源レギュレータは、 負荷電流の変化を検出し、 この変化を補正して設計の電源電圧を維持する

必要があ り ます。 負荷電流の変化における遅延の影響によ り、 電源電圧の一時的なスパイ クまたは低下が発生する

場合があ り ます。 GTY ト ランシーバーの動作ステートが電源切断から電源投入に遷移する と、 負荷過渡電流は正に

なり、 レギュレータからの電圧は、 レギュレータ回路が新しい負荷状態に適応する間、 低下する場合があ り ます。

反対に、 GTY ト ランシーバーの動作ステートが電源投入から電源切断に遷移する と、 負荷過渡電流は負になり、 レ

ギュレータからの電圧は、 レギュレータ回路が新しい負荷電流状態に適応する間、 スパイ クする場合があ り ます。

電源レギュレータからの過渡電圧の大きさおよび期間は、 電源電圧レギュレータ回路の設計によって変わり ます。

電圧レギュレータ回路が設計の電圧設定に収束する際、 電圧が振動する場合があ り ます。

いずれの場合も、 重要な考慮事項は、 デバイスの入力ピンの電圧が UltraScale デバイス データシート [参照 6] で規定

された動作制限内に留まる必要がある という こ とです。 Xilinx Power Estimator (XPE) ツールを使用して、 アプリ ケー

シ ョ ンの ト ランシーバーに必要な電力量を計算してください。

電圧レギュレータ

通常、 GTY ト ランシーバーのアナログ電源には、 電圧制御の 終段階を提供するローカル電圧レギュレータがあ り

ます。 これらのレギュレータは、 できる限り GTY ト ランシーバーの電源ピンの近くに配置するこ とが理想です。 ア

ナログ電圧レギュレータ と GTY ト ランシーバーの電源ピンの距離が短いほど、 制御後のノ イズ結合や動的な負荷に

よる過渡電流が原因で生じる ノ イズ生成の可能性が抑えられます。

リニア レギュレータおよびスイッチング レギュレータ

使用する電圧レギュレータによって、 電源回路の複雑性、 コス ト、 および性能が大き く異なり ます。 電圧レギュ

レータは、 システム全体の熱要件や効率要件を満たしながら、 ノ イズを 小限に抑えて GTY ト ランシーバーへ適切

な電源を供給する必要があ り ます。 GTY ト ランシーバーのアナログ電圧レールで使用されるレギュレータは、 主に

2 種類 ( リ ニア レギュレータおよびスイ ッチング レギュレータ ) あ り ます。 各レギュレータにはそれぞれに長所と短

所があるため、 適なレギュレータを選択する際は、 次の要件を基準にします。

• 物理的サイズ

• 熱バジェッ ト

• 電力効率

• コス ト

UltraScale アーキテクチャ GTY ト ランシーバー 317UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 318: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 5 章: ボード デザインのガイド ライン

リニア レギュレータ

一般的にリニア レギュレータは GTY ト ランシーバーのアナログ電源レールの電圧制御と しては もシンプルなレ

ギュレータです。 これは、 制御された出力電圧に大きなノ イズを発生させないこ とが特徴です。 実際、 一部のリニ

ア レギュレータには、 電圧入力で生じたノ イズを出力で除去する機能があ り ます。 リ ニア レギュレータのも う 1 つ

の長所は、 小限の外部コンポーネン トで PCB 上に電源回路を構築できるこ とです。

一方、 主な短所には 小ド ロ ップアウ ト電圧と制限される効率性があ り ます。 このレギュレータでは、 出力電圧よ

り も高い入力電圧が必要であ り、 小ド ロ ップアウ ト電圧は負荷電流に依存します。 低ド ロ ップアウ トの リニア レ

ギュレータであっても、 レギュレータの入力電圧と出力電圧には 小限の電圧差が必要です。 このため、 システム

電源回路デザインでは、 リニア レギュレータの 小ド ロ ップアウ ト電圧要件を確認しておく必要があ り ます。

リニア レギュレータの効率は、 その入力電圧と出力電圧の差に依存します。 たとえば、 入力電圧が 2.5VDC で出力電

圧が 1.2VDC の場合、 電圧差は 1.3VDC です。 レギュレータへ入力する電流とレギュレータから出力される電流が同

じである と仮定した場合、 このレギュレータの 大効率は 48% とな り ます。 つま り、 負荷に対して電力が供給され、

そのたびにレギュレータが余分な電力を消費します。 レギュレータが電力を消費する と熱が生成されるため、 シス

テムではこれらの熱を処理する必要があ り ます。 このよ うに リニア レギュレータで生成された熱の放熱処理が、 シ

ステム コス ト を増加させる可能性があ り ます。 コンポーネン ト数や複雑性を考えた場合、 リ ニア レギュレータはス

イ ッチング レギュレータよ り も優位性があるよ うに思いますが、 消費電力や放熱器を含む全体的なシステム コス ト

を考えた場合、 高電流アプリ ケーシ ョ ンではリニア レギュレータの方が高コス トになる場合もあ り ます。

スイッチング レギュレータ

スイ ッチング レギュレータは、 GTY ト ランシーバーのアナログ電源に対して優れた電圧制御を提供できる高効率レ

ギュレータです。 リニア レギュレータ とは異なり、 スイ ッチング レギュレータによる電圧制御は、 入力電圧と出力

電圧の電圧降下に依存しません。 したがって、 高い効率を維持しながら、 大容量の電流を供給できます。 スイ ッチ

ング レギュレータが 95% 以上の効率性を維持できるこ とはめずらし くあ り ません。 このレギュレータの効率は、 入

力電圧と出力電圧の差にあま り影響されず、 また負荷電流の影響も リニア レギュレータの場合よ り もはるかに低く

な り ます。 このよ うにスイ ッチング レギュレータは高効率で、 大量の電力を回路へ供給する必要がない上に、 レ

ギュレータで生成される熱を放出するための大きな装置も必要あ り ません。

スイ ッチング レギュレータの短所は、 回路の複雑性とレギュレータのスイ ッチ機能によってノ イズが生成されるこ

とです。 通常、 スイ ッチング レギュレータの回路はリニア レギュレータの回路よ り も複雑です。 近年、 スイ ッチン

グ レギュレータ コンポーネン トの開発ベンダーの多くがこの短所を解消する努力を続けています。 通常、 スイ ッチ

ング レギュレータ回路には、 スイ ッチング ト ランジスタ エレ メン ト、 インダクター、 およびキャパシタが必要で

す。 求められる効率要件や負荷要件によっては、 外部にスイ ッチング ト ランジスタやインダクターが必要になる場

合があ り ます。 コンポーネン ト数のほかにも、 これらのスイ ッチング レギュレータを効率よ く動作させるためには、

PCB 上の配置配線を慎重に行う必要があ り ます。

スイ ッチング レギュレータは非常に大きなノ イズを生成するため、 GTY ト ランシーバーのアナログ電源入力ピンへ

電圧を供給する前にフ ィルター機能を追加する必要があ り ます。 ノ イズ振幅は 10mVpp 未満に抑える必要があるた

め、 このノ イズ要件を満たすよ うに電源フ ィルターを設計し、 スイ ッチング レギュレータで生成される ノ イズを抑

えてください。

UltraScale アーキテクチャ GTY ト ランシーバー 318UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 319: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 5 章: ボード デザインのガイド ライン

電源分配ネッ トワーク

さまざまな段階でのデカップリング

ダイ

ダイ上にはデカップ リ ング キャパシタンスがあ り、 電源供給で生じる高周波数ノ イズ コンポーネン ト をフ ィルタ リ

ングします。 ダイ上の内部回路が高周波ノ イズの原因となり ます。

パッケージ

UltraScale アーキテクチャ パッケージには、 デカップリ ング キャパシタが追加されています。 このキャパシタは、

パッケージ電力プレーンのノ イズを緩和させる働きがあるため、 GTY ト ランシーバー クワ ッ ド間の相互作用を抑え

るこ とができます。 また、 電源ピン (MGTAVCC、 MGTVCCAUX、 または MGTAVTT) と GND ピンの間のパスを低イ

ンピーダンスの高周波数パスと して保持できるよ うサポート します。

PCB (プリン ト回路基板)

ダイ上およびパッケージ内で電力プレーンと GND 間のインピーダンスが低く保持されるため、 PCB 上でのデカップ

リ ング要件が大幅に緩和されたボード デザインとな り ます。 PCB デカップリ ング キャパシタの主な目的は、 ト ラン

シーバーの電源ピンと外部ノ イズ ソース間でノ イズを分離させるこ とです。 次に、 外部ノ イズ ソースの一部を示し

ます。

• 電圧レギュレータ回路

• オンボード デジタル スイ ッチング回路

• UltraScale デバイスからの SelectIO 信号

デカップ リ ング キャパシタは、 GTY ト ランシーバーの電源ピンの近くにある PCB に配置する必要があ り ます。 これ

らのキャパシタは、 PCB の電源分配ネッ ト ワーク (PDN) のインピーダンスを抑えます。 PDN の縮小インピーダンス

によって、 外部ソースからのノ イズは、 デバイス パッケージの電源プレーンに進入する前に緩和されます。 電源ピ

ンのノ イズは、 10kHz ~ 80MHz の周波数帯域に対して 10mVpp 未満に抑える必要があ り ます。

表 5-4 に、 GTY ト ランシーバーのデカップリ ング キャパシタのガイ ド ラインを示します。 GTY ト ランシーバー ク

ワ ッ ドは、 パッケージの電源グループ別に分けられています。 使用されるパッケージは、 「アナログ電源ピン」 を参

照してください。

表 5-4: GTY ト ランシーバーの PCB キャパシタの推奨値

グループごとのキャパシタ数 キャパシタンス(µF) 許容率 タイプ

MGTAVCC MGTAVTT MGTVCCAUX

1 1 1 4.70 ±10% セラ ミ ッ ク

UltraScale アーキテクチャ GTY ト ランシーバー 319UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 320: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 5 章: ボード デザインのガイド ライン

PCB デザインのチェ ックリス ト

表 5-5 に、 GTY ト ランシーバー PCB の回路図およびレイアウ ト を設計し、 評価する際に使用するチェッ ク項目を示

します。

表 5-5: GTY ト ランシーバーの PCB デザインのチェ ックリス ト

ピン 推奨事項

MGTREFCLK0P

MGTREFCLK0N

MGTREFCLK1P

MGTREFCLK1N

入力と して設定する場合:

• AC カップリ ング キャパシタを使用してオシレーターへ接続します。

• AC カップリ ング キャパシタの場合、 314 ページの 「基準クロ ッ クのインターフェ

イス」 を参照してください。

• 基準クロ ッ ク オシレーターの出力は、これら入力ピンの 小および 大振幅値に従

う必要があ り ます。 『UltraScale および UltraScale+ デバイス データシート 』 [参照 6]

を参照してください。

出力と して設定する場合:

• AC カップリ ング キャパシタを使用して受信デバイスへ接続します。

• AC カップリ ング キャパシタの場合、 0.01µF を使用します。

• 出力信号の特性については、『Kintex UltraScale FPGA データシート : DC 特性および

AC スイ ッチ特性』 (DS892) および『Virtex UltraScale FPGA データシート : DC 特性お

よび AC スイ ッチ特性』 (DS893) [参照 6] を参照して ください。

• 基準ピンが使用されていない場合、 それに関連するピン ペアを未接続のままにし

ます。 ただし、 IBUFDS_GTYE3/4 がデザインにインスタンシエート されているに

もかかわらず使用されていない場合は、関連するピン ペアを GND に接続する必要

があ り ます。

MGTYRXP[3:0]/MGTYRXN[3:0] • AC カップリ ング キャパシタを使用して ト ランス ミ ッ ターへ接続します。 AC カッ

プ リ ング キャパシタの推奨値は 100nF です。

• レシーバー データ ト レースには、 隣接する信号からのクロス トークを排除するの

に十分な間隔が必要です。

• レシーバーをどの条件でも使用しない場合、 それに関連するピン ペアを GND へ接

続します。

• レシーバーをある条件では使用せず接続しないが、 その他の条件では接続して使

用する可能性がある場合、 レシーバーが使用されない条件では、 FPGA デザイン内

の GTY ト ランシーバーをインスタンシエート しないよ うにするか、 GTY ト ラン

シーバーをインスタンシエートする場合は RXPD[1:0] を 2'b11 に設定します。

• 175 ページの 「RX アナログ フロン ト エンド」 を参照して ください。

MGTYTXP[3:0]/MGTYTXN[3:0] • ト ランス ミ ッ ターは、 AC カップリ ングを用いてレシーバーへ接続する必要があ り

ます。 AC カップリ ング キャパシタの推奨値は 100nF です。

• ト ランス ミ ッ ター データ ト レースには、 隣接する信号からのクロス トークを排除

するのに十分な間隔が必要です。

• ト ランス ミ ッ ターが使用されていない場合、 それに関連するピン ペアを未接続の

ままにします。

UltraScale アーキテクチャ GTY ト ランシーバー 320UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 321: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 5 章: ボード デザインのガイド ライン

MGTAVTTRCAL • MGTAVTT へ接続して、 MGTRREF にも接続される 100Ω 抵抗へ接続します。 類似

する ト レースの形状を使用し、 抵抗およびこのピン間を接続します。 また、 抵抗

のその他のピンから MGTRREF への接続にも使用します。 また、 PCB ト レースの

DC 抵抗を 0.5Ω 未満に制限する必要があ り ます。

• 309 ページの 「終端抵抗キャ リブレーシ ョ ン回路」 を参照して ください。

• どのクワッ ドでも PSG 全体が使用されていない場合は、 MGTAVTTRCAL をグラン

ドに接続します。

MGTRREF • MGTAVTTRCAL にも接続される 100Ω 抵抗へ接続します。 類似する ト レースの形

状を使用し、 抵抗およびこのピン間を接続します。 また、 抵抗のその他のピンか

ら MGTAVTTRCA への接続にも使用します。 また、 PCB ト レースの DC 抵抗を

0.5Ω 未満に制限する必要があ り ます。

• 309 ページの 「終端抵抗キャ リブレーシ ョ ン回路」 を参照して ください。

• どのクワッ ドでも PSG 全体が使用されていない場合は、 MGTRREF をグランドに

接続します。

MGTAVCC[N] • UltraScale FPGA の場合、 公称電圧は 1.0VDC です。

UltraScale+ FPGA の場合、 公称電圧は 0.9VDC です。

• 電源電圧の耐性の詳細は、 『UltraScale および UltraScale+ デバイス データシート 』

[参照 6] を参照して ください。

• この電圧に対応する電圧レギュレータは、 ト ランシーバー以外の負荷と共有でき

ません。

• 多くのパッケージには、 その内部に MGTAVCC 用の電源接続グループが複数あ り

ます。 各パッケージにおけるピン位置の詳細は、 『UltraScale および UltraScale+

FPGA パッケージおよびピン配置ユーザー ガイ ド』 (UG575) [参照 7] を参照してく

ださい。

• 次のフ ィルター キャパシタを用意するこ とを推奨します。

° 4.7µF ±10% × 1

• 適な性能を実現するため、 電源ノ イズは 10mVpp 未満に抑える必要があ り ます。

• 電源グループ内に使用しないクワッ ドがある場合は、 関連する電源ピンは未接続

のままにするか、 GND に接続できます。

• 消費電力については、 XPE (Xilinx Power Estimator) を参照して ください

(japan.xilinx.com/power)。

表 5-5: GTY ト ランシーバーの PCB デザインのチェ ックリス ト (続き)

ピン 推奨事項

UltraScale アーキテクチャ GTY ト ランシーバー 321UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 322: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 5 章: ボード デザインのガイド ライン

MGTAVTT[N] • 公称電圧は 1.2VDC です。

• 電源電圧の耐性の詳細は、 『UltraScale および UltraScale+ デバイス データシート 』

[参照 6] を参照して ください。

• この電圧に対応する電圧レギュレータは、 MGT 以外の負荷と共有できません。

• 多くのパッケージには、 そのパッケージ内に MGTAVTT 用の電源接続グループが

複数あ り ます。 各パッケージにおけるピン位置の詳細は、 『UltraScale および

UltraScale+ FPGA パッケージおよびピン配置ユーザー ガイ ド』 (UG575) [参照 7] を

参照してください。

• 次のセラ ミ ッ ク フ ィルター キャパシタを用意するこ とを推奨します。

° 4.7µF ±10% × 1

• 適な性能を実現するため、 電源ノ イズは 10mVpp 未満に抑える必要があ り ます。

• 電源グループ内に使用しないクワッ ドがある場合は、 関連する電源ピンは未接続

のままにするか、 GND に接続できます。

• 消費電力については、 XPE (Xilinx Power Estimator) を参照して ください

(japan.xilinx.com/power)。

MGTVCCAUX[N] • 公称電圧は 1.8VDC です。

• 電源電圧の耐性の詳細は、 『UltraScale および UltraScale+ デバイス データシート 』

[参照 6] を参照して ください。

• この電圧に対応する電圧レギュレータは、 MGT 以外の負荷と共有できません。

• 多くのパッケージには、 そのパッケージ内に MGTAVTT 用の電源接続グループが

複数あ り ます。 各パッケージにおけるピン位置の詳細は、 『UltraScale および

UltraScale+ FPGA パッケージおよびピン配置ユーザー ガイ ド』 (UG575) [参照 7] を

参照してください。

• 次のフ ィルター キャパシタを用意するこ とを推奨します。

° 4.7µF ±10% × 1

• 適な性能を実現するため、 電源ノ イズは 10mVpp 未満に抑える必要があ り ます。

• この電源グループのすべての QPLL を使用しない場合は、 フ ィルター キャパシタ

は不要で、 これらのピンは VCCAUX に接続できます。

• 電源グループ内に使用しないクワッ ドがある場合は、 関連するピンは未接続のま

まにするか、 GND に接続できます。

表 5-5: GTY ト ランシーバーの PCB デザインのチェ ックリス ト (続き)

ピン 推奨事項

UltraScale アーキテクチャ GTY ト ランシーバー 322UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 323: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 6 章

使用モデル

PCI Express アーキテクチャ

機能の説明

GTY ト ランシーバーが PCIe モードに設定されている場合、 専用の PCS 機能および PMA 機能が PCI Express® アーキ

テクチャの PHY インターフェイス (PIPE) をサポート します。 GTY ト ランシーバーの複数の PCIe ポートおよび属性

は、 LogiCORE PCIe IP によって制御されます。 LogiCORE PCIe IP の PHY ラ ッパー リ ファレンス デザインは、 PCIe

ポートの駆動方法を示します。 この リ ファレンス デザインは Vivado ツールの PCIe IP カタログから入手できます。

詳細は、『UltraScale+ Device Integrated Block for PCI Express LogiCORE IP 製品ガイ ド』 (PG213) [参照 8] を参照してくだ

さい。

ポートおよび属性

表 6-1 に、 PCIe アーキテクチャ専用ポート を示します。

表 6-1: PCIe アーキテクチャ専用ポート

ポート 方向 クロック ド メイン 説明

PCIERSTIDLE 入力 非同期 このポート を 1'b0 に設定し、 PCIe モードでのリセッ

ト を ト ランシーバーに要求します。 このポート を

1'b1 に設定し、 TX バッファー バイパス ク ロ ッ ク ア

ライ メン ト を含むト ランシーバーのリセッ トが完了し

たこ とを示します。

PCIERSTTXSYNCSTART 入力 非同期 このポート を 4 TXUSERCLK サイ クル以上 1’b1 に設

定し、 PCIe のリセッ ト時に TX バッファー バイパス

ク ロ ッ ク アライ メン ト を開始するよ う ト ランシーバー

に要求します。 このポート を再び 1’b0 に設定した

後、 PCIESYNCTXSYNCDONE が 1’b0 から 1’b1 に

遷移して、 TX バッファー バイパス ク ロ ッ ク アライ メ

ン トが完了したこ とを示すまで待機します。

PCIEEQRXEQADAPTDONE 入力 非同期 予約。 GND に接続します。

PCIEUSERRATEDONE 入力 非同期 予約。 GND に接続します。

PCIEUSERPHYSTATUSRST 出力 非同期 1'b1 は、 ト ランシーバーがリセッ ト状態にあるこ と

を示します。

PCIERATEQPLLPD 出力 非同期 このチャネル出力は、 PCIe アプリ ケーシ ョ ンの

COMMON の QPLLPD の制御に使用できます。

UltraScale アーキテクチャ GTY ト ランシーバー 323UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 324: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 6 章: 使用モデル

PCIERATEQPLLRESET 出力 非同期 このチャネル出力は、 PCIe アプリ ケーシ ョ ンの

COMMON の QPLLRESET の制御に使用できます。

PCIERATEIDLE 出力 非同期 1'b0 は、 ト ランシーバーが PCIe レートの変更を実行

していてビジーであるこ とを示します。

PCIESYNCTXSYNCDONE 出力 非同期 1'b1 は、 ト ランシーバーが、 PCIe アプリ ケーシ ョ ン

の TX バッファー バイパス ク ロ ッ ク アライ メン トの

実行を完了したこ とを示します。

PCIERATEGEN3 出力 非同期 1'b1 は、 ト ランシーバーが PCIe Gen3 ライン レート

に移行しているこ とを示します。

PCIEUSERGEN3RDY 出力 非同期 1'b1 は、 ト ランシーバーが PCIe Gen3 ライン レート

で動作しているこ とを示します。

PCIEUSERRATESTART 出力 非同期 予約。

RXSTATUS[2:0] 出力 RXUSRCLK2 Gen1 または Gen2 モードでデータを受信する場合に、

RX データ ス ト リームの RX ステータス とエラー コー

ドを次のよ うにエンコード します。

000b: 受信データは正常

001b: 1 SKP 追加

010b: 1 SKP 削除

011b: レシーバー検出

100b: 8B/10B デコーダー エラー

101b: エラスティ ッ ク バッファーがオーバーフロー

110b: エラスティ ッ ク バッファーがアンダーフロー

111b: RX ディ スパリ ティ エラー

UltraScale+ FPGA のみ

CPLLFREQLOCK 入力 非同期 PCIe アプリ ケーシ ョ ンでは CPLLLOCK へ接続します。

それ以外は、 1'b0 へ接続します。

QPLL0FREQLOCK 入力 非同期 PCIe アプリ ケーシ ョ ンでは QPLL0LOCK へ接続しま

す。 それ以外は、 1'b0 へ接続します。

QPLL1FREQLOCK 入力 非同期 PCIe アプリ ケーシ ョ ンでは QPLL1LOCK へ接続しま

す。 それ以外は、 1'b0 へ接続します。

表 6-1: PCIe アーキテクチャ専用ポート (続き)

ポート 方向 クロック ド メイン 説明

UltraScale アーキテクチャ GTY ト ランシーバー 324UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 325: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 6 章: 使用モデル

表 6-2 に、 PCIe コンフ ィギュレーシ ョ ン属性を示します。

ト ランシーバーが Gen1 および Gen2 ライン レートで動作する場合は、 8B/10B デコーダーのデータパスを通るプライ

マ リ RX エラスティ ッ ク バッファーを選択し、 ト ランシーバーが Gen3 ラ イン レートで動作する場合は、 128B/130B

デコーダーのデータパスを通る Gen3 RX エラスティ ッ ク バッファーを選択します。 Gen3 RX エラスティ ッ ク バッ

ファーのコンフ ィギュレーシ ョ ンは、 高度な機能であるため、 変更しないでください。 表 6-3 に、 PCIe Gen3 RX エ

ラスティ ッ ク バッファーの属性を示します。

表 6-2: PCIe コンフ ィギュレーシ ョ ン属性

ポート タイプ 説明

PCS_PCIE_EN ブール型 ト ランシーバーの PCIe モードを有効にします。

TRUE: PCIe モード

FALSE: その他すべてのプロ ト コル

PLL_SEL_MODE_GEN12 2 ビッ ト バイナリ UltraScale FPGA のみ:

PCIe Gen1 および Gen2 ラ イン レートの PLL を選択します。

00b: CPLL

11b: QPLL1

PLL_SEL_MODE_GEN3 2 ビッ ト バイナリ UltraScale FPGA のみ:

2’b11 に設定し、PCIe Gen3 ライン レートの QPLL1 を選択します。

PCIE_BUFG_DIV_CTRL 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

PCIE_RXPCS_CFG_GEN3 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

PCIE_RXPMA_CFG 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

PCIE_TXPCS_CFG_GEN3 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

PCIE_TXPMA_CFG 16 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用してください。

UltraScale+ FPGA のみ

PCIE_PLL_SEL_MODE_GEN12 2 ビッ トの 16 進数 PCIe Gen1 および Gen2 ラ イン レートの PLL を選択します。

00b: CPLL

11b: QPLL

PCIE_PLL_SEL_MODE_GEN3 2 ビッ トの 16 進数 2’b11 に設定し、PCIe Gen3 ライン レートの QPLL1 を選択します。

PCIE_PLL_SEL_MODE_GEN4 2 ビッ トの 16 進数 2’b10 に設定し、PCIe Gen4 ライン レートの QPLL0 を選択します。

表 6-3: PCIe Gen3 RX エラステ ィ ック バッファーの属性

ポート タイプ 説明

PCI3_AUTO_REALIGN 文字列 予約。 ウ ィザードの推奨値を使用して ください。

PCI3_PIPE_RX_ELECIDLE 1 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用して ください。

PCI3_RX_ASYNC_EBUF_BYPASS 2 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用して ください。

PCI3_RX_ELECIDLE_EI2_ENABLE 1 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用して ください。

PCI3_RX_ELECIDLE_H2L_COUNT 6 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用して ください。

PCI3_RX_ELECIDLE_H2L_DISABLE 3 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用して ください。

PCI3_RX_ELECIDLE_HI_COUNT 6 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用して ください。

UltraScale アーキテクチャ GTY ト ランシーバー 325UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 326: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

第 6 章: 使用モデル

レシーバー検出のための RX の終端

リモート ト ランス ミ ッ ターがレシーバーの検出に立ち下がりエッジを使用している場合、 またはリモート ト ランス

ミ ッ ターのインプ リ メンテーシ ョ ンが不明な場合は、 レシーバー終端モードの動的切り替えが必要になり ます。

レシーバー終端モードを動的に切り替える手順は次のとおりです。

1. リモート ト ランス ミ ッ ターでレシーバー検出を実行する場合、 DRP 動作によってレシーバー終端モードを

MGTAVTT (RX_CM_SEL[1:0] = 2’b00) に設定します。

2. レシーバー検出が完了したら、 DRP 動作によってレシーバー終端モードをプログラム可能モード (RX_CM_SEL

[1:0] = 2’b11) に設定します。

ト ランス ミ ッ ターがレシーバーの検出に立ち上がりエッジを使用している場合、 終端モードの動的切り替えは不要

です。その場合、 レシーバー終端モードをプログラム可能モード (RX_CM_SEL[1:0] = 2’b11) に設定します。 PCIe IP

コアをカスタマイズして、 さまざまなレシーバー終端方式を選択できます。

PCI3_RX_ELECIDLE_LP4_DISABLE 1 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用して ください。

PCI3_RX_FIFO_DISABLE 1 ビッ ト バイナリ 予約。 ウ ィザードの推奨値を使用して ください。

表 6-3: PCIe Gen3 RX エラステ ィ ック バッファーの属性 (続き)

ポート タイプ 説明

UltraScale アーキテクチャ GTY ト ランシーバー 326UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 327: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 A

8B/10B の符号8B/10B エンコードには、 データ文字と K 符号が含まれます。 8 ビッ トの値は 10 ビッ トの値にコード化され、 シ リ ア

ル ラインの DC バランスを保ちます。 K 符号とは、 CHARISK で指定された特殊なデータ文字です。 これらは、 特定

の情報を示す場合に使用します。 表 A-1 に有効なデータ文字を、 335 ページの表 A-2 に K 符号を示します。

表 A-1: 有効なデータ文字

データ バイ ト名ビッ ト

HGF EDCBA現在の RD –

abcdei fghj現在の RD +

abcdei fghj

D0.0 000 00000 100111 0100 011000 1011

D1.0 000 00001 011101 0100 100010 1011

D2.0 000 00010 101101 0100 010010 1011

D3.0 000 00011 110001 1011 110001 0100

D4.0 000 00100 110101 0100 001010 1011

D5.0 000 00101 101001 1011 101001 0100

D6.0 000 00110 011001 1011 011001 0100

D7.0 000 00111 111000 1011 000111 0100

D8.0 000 01000 111001 0100 000110 1011

D9.0 000 01001 100101 1011 100101 0100

D10.0 000 01010 010101 1011 010101 0100

D11.0 000 01011 110100 1011 110100 0100

D12.0 000 01100 001101 1011 001101 0100

D13.0 000 01101 101100 1011 101100 0100

D14.0 000 01110 011100 1011 011100 0100

D15.0 000 01111 010111 0100 101000 1011

D16.0 000 10000 011011 0100 100100 1011

D17.0 000 10001 100011 1011 100011 0100

D18.0 000 10010 010011 1011 010011 0100

D19.0 000 10011 110010 1011 110010 0100

D20.0 000 10100 001011 1011 001011 0100

D21.0 000 10101 101010 1011 101010 0100

UltraScale アーキテクチャ GTY ト ランシーバー 327UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 328: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 A: 8B/10B の符号

D22.0 000 10110 011010 1011 011010 0100

D23.0 000 10111 111010 0100 000101 1011

D24.0 000 11000 110011 0100 001100 1011

D25.0 000 11001 100110 1011 100110 0100

D26.0 000 11010 010110 1011 010110 0100

D27.0 000 11011 110110 0100 001001 1011

D28.0 000 11100 001110 1011 001110 0100

D29.0 000 11101 101110 0100 010001 1011

D30.0 000 11110 011110 0100 100001 1011

D31.0 000 11111 101011 0100 010100 1011

D0.1 001 00000 100111 1001 011000 1001

D1.1 001 00001 011101 1001 100010 1001

D2.1 001 00010 101101 1001 010010 1001

D3.1 001 00011 110001 1001 110001 1001

D4.1 001 00100 110101 1001 001010 1001

D5.1 001 00101 101001 1001 101001 1001

D6.1 001 00110 011001 1001 011001 1001

D7.1 001 00111 111000 1001 000111 1001

D8.1 001 01000 111001 1001 000110 1001

D9.1 001 01001 100101 1001 100101 1001

D10.1 001 01010 010101 1001 010101 1001

D11.1 001 01011 110100 1001 110100 1001

D12.1 001 01100 001101 1001 001101 1001

D13.1 001 01101 101100 1001 101100 1001

D14.1 001 01110 011100 1001 011100 1001

D15.1 001 01111 010111 1001 101000 1001

D16.1 001 10000 011011 1001 100100 1001

D17.1 001 10001 100011 1001 100011 1001

D18.1 001 10010 010011 1001 010011 1001

D19.1 001 10011 110010 1001 110010 1001

D20.1 001 10100 001011 1001 001011 1001

D21.1 001 10101 101010 1001 101010 1001

D22.1 001 10110 011010 1001 011010 1001

D23.1 001 10111 111010 1001 000101 1001

表 A-1: 有効なデータ文字 (続き)

データ バイ ト名ビッ ト

HGF EDCBA現在の RD –

abcdei fghj現在の RD +

abcdei fghj

UltraScale アーキテクチャ GTY ト ランシーバー 328UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 329: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 A: 8B/10B の符号

D24.1 001 11000 110011 1001 001100 1001

D25.1 001 11001 100110 1001 100110 1001

D26.1 001 11010 010110 1001 010110 1001

D27.1 001 11011 110110 1001 001001 1001

D28.1 001 11100 001110 1001 001110 1001

D29.1 001 11101 101110 1001 010001 1001

D30.1 001 11110 011110 1001 100001 1001

D31.1 001 11111 101011 1001 010100 1001

D0.2 010 00000 100111 0101 011000 0101

D1.2 010 00001 011101 0101 100010 0101

D2.2 010 00010 101101 0101 010010 0101

D3.2 010 00011 110001 0101 110001 0101

D4.2 010 00100 110101 0101 001010 0101

D5.2 010 00101 101001 0101 101001 0101

D6.2 010 00110 011001 0101 011001 0101

D7.2 010 00111 111000 0101 000111 0101

D8.2 010 01000 111001 0101 000110 0101

D9.2 010 01001 100101 0101 100101 0101

D10.2 010 01010 010101 0101 010101 0101

D11.2 010 01011 110100 0101 110100 0101

D12.2 010 01100 001101 0101 001101 0101

D13.2 010 01101 101100 0101 101100 0101

D14.2 010 01110 011100 0101 011100 0101

D15.2 010 01111 010111 0101 101000 0101

D16.2 010 10000 011011 0101 100100 0101

D17.2 010 10001 100011 0101 100011 0101

D18.2 010 10010 010011 0101 010011 0101

D19.2 010 10011 110010 0101 110010 0101

D20.2 010 10100 001011 0101 001011 0101

D21.2 010 10101 101010 0101 101010 0101

D22.2 010 10110 011010 0101 011010 0101

D23.2 010 10111 111010 0101 000101 0101

D24.2 010 11000 110011 0101 001100 0101

D25.2 010 11001 100110 0101 100110 0101

表 A-1: 有効なデータ文字 (続き)

データ バイ ト名ビッ ト

HGF EDCBA現在の RD –

abcdei fghj現在の RD +

abcdei fghj

UltraScale アーキテクチャ GTY ト ランシーバー 329UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 330: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 A: 8B/10B の符号

D26.2 010 11010 010110 0101 010110 0101

D27.2 010 11011 110110 0101 001001 0101

D28.2 010 11100 001110 0101 001110 0101

D29.2 010 11101 101110 0101 010001 0101

D30.2 010 11110 011110 0101 100001 0101

D31.2 010 11111 101011 0101 010100 0101

D0.3 011 00000 100111 0011 011000 1100

D1.3 011 00001 011101 0011 100010 1100

D2.3 011 00010 101101 0011 010010 1100

D3.3 011 00011 110001 1100 110001 0011

D4.3 011 00100 110101 0011 001010 1100

D5.3 011 00101 101001 1100 101001 0011

D6.3 011 00110 011001 1100 011001 0011

D7.3 011 00111 111000 1100 000111 0011

D8.3 011 01000 111001 0011 000110 1100

D9.3 011 01001 100101 1100 100101 0011

D10.3 011 01010 010101 1100 010101 0011

D11.3 011 01011 110100 1100 110100 0011

D12.3 011 01100 001101 1100 001101 0011

D13.3 011 01101 101100 1100 101100 0011

D14.3 011 01110 011100 1100 011100 0011

D15.3 011 01111 010111 0011 101000 1100

D16.3 011 10000 011011 0011 100100 1100

D17.3 011 10001 100011 1100 100011 0011

D18.3 011 10010 010011 1100 010011 0011

D19.3 011 10011 110010 1100 110010 0011

D20.3 011 10100 001011 1100 001011 0011

D21.3 011 10101 101010 1100 101010 0011

D22.3 011 10110 011010 1100 011010 0011

D23.3 011 10111 111010 0011 000101 1100

D24.3 011 11000 110011 0011 001100 1100

D25.3 011 11001 100110 1100 100110 0011

D26.3 011 11010 010110 1100 010110 0011

D27.3 011 11011 110110 0011 001001 1100

表 A-1: 有効なデータ文字 (続き)

データ バイ ト名ビッ ト

HGF EDCBA現在の RD –

abcdei fghj現在の RD +

abcdei fghj

UltraScale アーキテクチャ GTY ト ランシーバー 330UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 331: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 A: 8B/10B の符号

D28.3 011 11100 001110 1100 001110 0011

D29.3 011 11101 101110 0011 010001 1100

D30.3 011 11110 011110 0011 100001 1100

D31.3 011 11111 101011 0011 010100 1100

D0.4 100 00000 100111 0010 011000 1101

D1.4 100 00001 011101 0010 100010 1101

D2.4 100 00010 101101 0010 010010 1101

D3.4 100 00011 110001 1101 110001 0010

D4.4 100 00100 110101 0010 001010 1101

D5.4 100 00101 101001 1101 101001 0010

D6.4 100 00110 011001 1101 011001 0010

D7.4 100 00111 111000 1101 000111 0010

D8.4 100 01000 111001 0010 000110 1101

D9.4 100 01001 100101 1101 100101 0010

D10.4 100 01010 010101 1101 010101 0010

D11.4 100 01011 110100 1101 110100 0010

D12.4 100 01100 001101 1101 001101 0010

D13.4 100 01101 101100 1101 101100 0010

D14.4 100 01110 011100 1101 011100 0010

D15.4 100 01111 010111 0010 101000 1101

D16.4 100 10000 011011 0010 100100 1101

D17.4 100 10001 100011 1101 100011 0010

D18.4 100 10010 010011 1101 010011 0010

D19.4 100 10011 110010 1101 110010 0010

D20.4 100 10100 001011 1101 001011 0010

D21.4 100 10101 101010 1101 101010 0010

D22.4 100 10110 011010 1101 011010 0010

D23.4 100 10111 111010 0010 000101 1101

D24.4 100 11000 110011 0010 001100 1101

D25.4 100 11001 100110 1101 100110 0010

D26.4 100 11010 010110 1101 010110 0010

D27.4 100 11011 110110 0010 001001 1101

D28.4 100 11100 001110 1101 001110 0010

D29.4 100 11101 101110 0010 010001 1101

表 A-1: 有効なデータ文字 (続き)

データ バイ ト名ビッ ト

HGF EDCBA現在の RD –

abcdei fghj現在の RD +

abcdei fghj

UltraScale アーキテクチャ GTY ト ランシーバー 331UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 332: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 A: 8B/10B の符号

D30.4 100 11110 011110 0010 100001 1101

D31.4 100 11111 101011 0010 010100 1101

D0.5 101 00000 100111 1010 011000 1010

D1.5 101 00001 011101 1010 100010 1010

D2.5 101 00010 101101 1010 010010 1010

D3.5 101 00011 110001 1010 110001 1010

D4.5 101 00100 110101 1010 001010 1010

D5.5 101 00101 101001 1010 101001 1010

D6.5 101 00110 011001 1010 011001 1010

D7.5 101 00111 111000 1010 000111 1010

D8.5 101 01000 111001 1010 000110 1010

D9.5 101 01001 100101 1010 100101 1010

D10.5 101 01010 010101 1010 010101 1010

D11.5 101 01011 110100 1010 110100 1010

D12.5 101 01100 001101 1010 001101 1010

D13.5 101 01101 101100 1010 101100 1010

D14.5 101 01110 011100 1010 011100 1010

D15.5 101 01111 010111 1010 101000 1010

D16.5 101 10000 011011 1010 100100 1010

D17.5 101 10001 100011 1010 100011 1010

D18.5 101 10010 010011 1010 010011 1010

D19.5 101 10011 110010 1010 110010 1010

D20.5 101 10100 001011 1010 001011 1010

D21.5 101 10101 101010 1010 101010 1010

D22.5 101 10110 011010 1010 011010 1010

D23.5 101 10111 111010 1010 000101 1010

D24.5 101 11000 110011 1010 001100 1010

D25.5 101 11001 100110 1010 100110 1010

D26.5 101 11010 010110 1010 010110 1010

D27.5 101 11011 110110 1010 001001 1010

D28.5 101 11100 001110 1010 001110 1010

D29.5 101 11101 101110 1010 010001 1010

D30.5 101 11110 011110 1010 100001 1010

D31.5 101 11111 101011 1010 010100 1010

表 A-1: 有効なデータ文字 (続き)

データ バイ ト名ビッ ト

HGF EDCBA現在の RD –

abcdei fghj現在の RD +

abcdei fghj

UltraScale アーキテクチャ GTY ト ランシーバー 332UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 333: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 A: 8B/10B の符号

D0.6 110 00000 100111 0110 011000 0110

D1.6 110 00001 011101 0110 100010 0110

D2.6 110 00010 101101 0110 010010 0110

D3.6 110 00011 110001 0110 110001 0110

D4.6 110 00100 110101 0110 001010 0110

D5.6 110 00101 101001 0110 101001 0110

D6.6 110 00110 011001 0110 011001 0110

D7.6 110 00111 111000 0110 000111 0110

D8.6 110 01000 111001 0110 000110 0110

D9.6 110 01001 100101 0110 100101 0110

D10.6 110 01010 010101 0110 010101 0110

D11.6 110 01011 110100 0110 110100 0110

D12.6 110 01100 001101 0110 001101 0110

D13.6 110 01101 101100 0110 101100 0110

D14.6 110 01110 011100 0110 011100 0110

D15.6 110 01111 010111 0110 101000 0110

D16.6 110 10000 011011 0110 100100 0110

D17.6 110 10001 100011 0110 100011 0110

D18.6 110 10010 010011 0110 010011 0110

D19.6 110 10011 110010 0110 110010 0110

D20.6 110 10100 001011 0110 001011 0110

D21.6 110 10101 101010 0110 101010 0110

D22.6 110 10110 011010 0110 011010 0110

D23.6 110 10111 111010 0110 000101 0110

D24.6 110 11000 110011 0110 001100 0110

D25.6 110 11001 100110 0110 100110 0110

D26.6 110 11010 010110 0110 010110 0110

D27.6 110 11011 110110 0110 001001 0110

D28.6 110 11100 001110 0110 001110 0110

D29.6 110 11101 101110 0110 010001 0110

D30.6 110 11110 011110 0110 100001 0110

D31.6 110 11111 101011 0110 010100 0110

D0.7 111 00000 100111 0001 011000 1110

D1.7 111 00001 011101 0001 100010 1110

表 A-1: 有効なデータ文字 (続き)

データ バイ ト名ビッ ト

HGF EDCBA現在の RD –

abcdei fghj現在の RD +

abcdei fghj

UltraScale アーキテクチャ GTY ト ランシーバー 333UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 334: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 A: 8B/10B の符号

D2.7 111 00010 101101 0001 010010 1110

D3.7 111 00011 110001 1110 110001 0001

D4.7 111 00100 110101 0001 001010 1110

D5.7 111 00101 101001 1110 101001 0001

D6.7 111 00110 011001 1110 011001 0001

D7.7 111 00111 111000 1110 000111 0001

D8.7 111 01000 111001 0001 000110 1110

D9.7 111 01001 100101 1110 100101 0001

D10.7 111 01010 010101 1110 010101 0001

D11.7 111 01011 110100 1110 110100 1000

D12.7 111 01100 001101 1110 001101 0001

D13.7 111 01101 101100 1110 101100 1000

D14.7 111 01110 011100 1110 011100 1000

D15.7 111 01111 010111 0001 101000 1110

D16.7 111 10000 011011 0001 100100 1110

D17.7 111 10001 100011 0111 100011 0001

D18.7 111 10010 010011 0111 010011 0001

D19.7 111 10011 110010 1110 110010 0001

D20.7 111 10100 001011 0111 001011 0001

D21.7 111 10101 101010 1110 101010 0001

D22.7 111 10110 011010 1110 011010 0001

D23.7 111 10111 111010 0001 000101 1110

D24.7 111 11000 110011 0001 001100 1110

D25.7 111 11001 100110 1110 100110 0001

D26.7 111 11010 010110 1110 010110 0001

D27.7 111 11011 110110 0001 001001 1110

D28.7 111 11100 001110 1110 001110 0001

D29.7 111 11101 101110 0001 010001 1110

D30.7 111 11110 011110 0001 100001 1110

D31.7 111 11111 101011 0001 010100 1110

表 A-1: 有効なデータ文字 (続き)

データ バイ ト名ビッ ト

HGF EDCBA現在の RD –

abcdei fghj現在の RD +

abcdei fghj

UltraScale アーキテクチャ GTY ト ランシーバー 334UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 335: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 A: 8B/10B の符号

表 A-2: 有効な制御文字 (K 符号)

特殊コード名ビッ ト

HGF EDCBA現在の RD –

abcdei fghj現在の RD +

abcdei fghj

K28.0 000 11100 001111 0100 110000 1011

K28.1 001 11100 001111 1001 110000 0110

K28.2 010 11100 001111 0101 110000 1010

K28.3 011 11100 001111 0011 110000 1100

K28.4 100 11100 001111 0010 110000 1101

K28.5 101 11100 001111 1010 110000 0101

K28.6 110 11100 001111 0110 110000 1001

K28.7(1) 111 11100 001111 1000 110000 0111

K23.7 111 10111 111010 1000 000101 0111

K27.7 111 11011 110110 1000 001001 0111

K29.7 111 11101 101110 1000 010001 0111

K30.7 111 11110 011110 1000 100001 0111

注記:1. テス トおよび特性評価にのみ使用します。

UltraScale アーキテクチャ GTY ト ランシーバー 335UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 336: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B

UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

GTYE3_COMMON プリ ミテ ィブの DRP アドレス マップ

表 B-1 に、 GTYE3_COMMON プリ ミ ティブの DRP マップをアドレス順に並べて示します。

注記: 予約済みビッ トは変更しないでください。 明記されていない属性は、 UltraScale FPGAs Transceivers Wizard に

よって自動的に設定されます。 これらの属性は、 異なる値を明示的に要求する場合を除いてデフォルトのまま と し

ます。

表 B-1: GTYE3_COMMON プリ ミテ ィブの DRP マップ

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

0008h [15:0] R/W QPLL0_CFG0 [15:0] 0–65535 0–65535

0009h [15:0] R/W COMMON_CFG0 [15:0] 0–65535 0–65535

000Bh [15:0] R/W RSVD_ATTR0 [15:0] 0–65535 0–65535

000Dh [15:0] R/W PPF0_CFG [15:0] 0–65535 0–65535

000Eh [0] R/W QPLL0CLKOUT_RATE [0] HALF 0

000Eh [0] R/W QPLL0CLKOUT_RATE [0] FULL 1

0010h [15:0] R/W QPLL0_CFG1 [15:0] 0–65535 0–65535

0011h [15:0] R/W QPLL0_CFG2 [15:0] 0–65535 0–65535

0012h [15:0] R/W QPLL0_LOCK_CFG [15:0] 0–65535 0–65535

0013h [15:0] R/W QPLL0_INIT_CFG0 [15:0] 0–65535 0–65535

0014h [15:8] R/W QPLL0_INIT_CFG1 [7:0] 0–255 0–255

UltraScale アーキテクチャ GTY ト ランシーバー 336UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 337: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

0014h [7:0] R/W QPLL0_FBDIV [7:0]

16 14

17 15

18 16

19 17

20 18

21 19

22 20

23 21

24 22

表 B-1: GTYE3_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 337UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 338: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

0014h [7:0] R/W QPLL0_FBDIV [7:0]

25 23

26 24

27 25

28 26

29 27

30 28

31 29

32 30

33 31

34 32

35 33

36 34

37 35

38 36

39 37

40 38

41 39

42 40

43 41

44 42

45 43

46 44

47 45

48 46

49 47

50 48

51 49

52 50

53 51

54 52

55 53

56 54

57 55

58 56

表 B-1: GTYE3_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 338UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 339: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

0014h [7:0] R/W QPLL0_FBDIV [7:0]

59 57

60 58

61 59

62 60

63 61

64 62

65 63

66 64

67 65

68 66

69 67

70 68

71 69

72 70

73 71

74 72

75 73

76 74

77 75

78 76

79 77

80 78

81 79

82 80

83 81

84 82

85 83

86 84

87 85

88 86

89 87

90 88

91 89

92 90

表 B-1: GTYE3_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 339UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 340: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

0014h [7:0] R/W QPLL0_FBDIV [7:0]

93 91

94 92

95 93

96 94

97 95

98 96

99 97

100 98

101 99

102 100

103 101

104 102

105 103

106 104

107 105

108 106

109 107

110 108

111 109

112 110

113 111

114 112

115 113

116 114

117 115

118 116

119 117

120 118

121 119

122 120

123 121

124 122

125 123

126 124

表 B-1: GTYE3_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 340UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 341: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

0014h [7:0] R/W QPLL0_FBDIV [7:0]

127 125

128 126

129 127

130 128

131 129

132 130

133 131

134 132

135 133

136 134

137 135

138 136

139 137

140 138

141 139

142 140

143 141

144 142

145 143

146 144

147 145

148 146

149 147

150 148

151 149

152 150

153 151

154 152

155 153

156 154

157 155

158 156

159 157

160 158

表 B-1: GTYE3_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 341UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 342: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

0015h [15:0] R/W QPLL0_CFG3 [15:0] 0–65535 0–65535

0016h [9:0] R/W QPLL0_CP [9:0] 0–1023 0–1023

0018h [11:7] R/W QPLL0_REFCLK_DIV [4:0]

2 0

3 1

4 2

5 3

6 5

8 6

10 7

12 13

16 14

20 15

1 16

0018h [5:3] R/W QPLL0_IPS_REFCLK_SEL [2:0] 0–7 0–7

0018h [0] R/W QPLL0_IPS_EN [0] 0–1 0–1

0019h [9:0] R/W QPLL0_LPF [9:0] 0–1023 0–1023

001Ah [15:0] R/W QPLL0_CFG1_G3 [15:0] 0–65535 0–65535

001Bh [15:0] R/W QPLL0_CFG2_G3 [15:0] 0–65535 0–65535

001Ch [9:0] R/W QPLL0_LPF_G3 [9:0] 0–1023 0–1023

001Dh [15:0] R/W QPLL0_LOCK_CFG_G3 [15:0] 0–65535 0–65535

001Eh [15:0] R/W RSVD_ATTR1 [15:0] 0–65535 0–65535

001Fh [15:8] R/W QPLL0_FBDIV_G3 [7:0]

16 14

17 15

18 16

19 17

20 18

21 19

表 B-1: GTYE3_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 342UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 343: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

001Fh [15:8] R/W QPLL0_FBDIV_G3 [7:0]

22 20

23 21

24 22

25 23

26 24

27 25

28 26

29 27

30 28

31 29

32 30

33 31

34 32

35 33

36 34

37 35

38 36

39 37

40 38

41 39

42 40

43 41

44 42

45 43

46 44

47 45

48 46

49 47

50 48

51 49

52 50

53 51

54 52

55 53

表 B-1: GTYE3_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 343UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 344: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

001Fh [15:8] R/W QPLL0_FBDIV_G3 [7:0]

56 54

57 55

58 56

59 57

60 58

61 59

62 60

63 61

64 62

65 63

66 64

67 65

68 66

69 67

70 68

71 69

72 70

73 71

74 72

75 73

76 74

77 75

78 76

79 77

80 78

81 79

82 80

83 81

84 82

85 83

86 84

87 85

88 86

89 87

表 B-1: GTYE3_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 344UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 345: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

001Fh [15:8] R/W QPLL0_FBDIV_G3 [7:0]

90 88

91 89

92 90

93 91

94 92

95 93

96 94

97 95

98 96

99 97

100 98

101 99

102 100

103 101

104 102

105 103

106 104

107 105

108 106

109 107

110 108

111 109

112 110

113 111

114 112

115 113

116 114

117 115

118 116

119 117

120 118

121 119

122 120

123 121

表 B-1: GTYE3_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 345UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 346: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

001Fh [15:8] R/W QPLL0_FBDIV_G3 [7:0]

124 122

125 123

126 124

127 125

128 126

129 127

130 128

131 129

132 130

133 131

134 132

135 133

136 134

137 135

138 136

139 137

140 138

141 139

142 140

143 141

144 142

145 143

146 144

147 145

148 146

149 147

150 148

151 149

152 150

153 151

154 152

155 153

156 154

157 155

表 B-1: GTYE3_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 346UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 347: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

001Fh [15:8] R/W QPLL0_FBDIV_G3 [7:0]

158 156

159 157

160 158

001Fh [1:0] R/W RXRECCLKOUT0_SEL [1:0] 0–3 0–3

0020h [15:0] R/W QPLL0_SDM_CFG0 [15:0] 0–65535 0–65535

0021h [15:0] R/W QPLL0_SDM_CFG1 [15:0] 0–65535 0–65535

0022h [15:0] R/W SDM0INITSEED0_0 [15:0] 0–65535 0–65535

0023h [8:0] R/W SDM0INITSEED0_1 [8:0] 0–511 0–511

0024h [15:0] R/W QPLL0_SDM_CFG2 [15:0] 0–65535 0–65535

0025h [9:0] R/W QPLL0_CP_G3 [9:0] 0–1023 0–1023

0030h [15:0] R/W QPLL0_CFG4 [15:0] 0–65535 0–65535

0081h [15:0] R/W BIAS_CFG0 [15:0] 0–65535 0–65535

0082h [15:0] R/W BIAS_CFG1 [15:0] 0–65535 0–65535

0083h [15:0] R/W BIAS_CFG2 [15:0] 0–65535 0–65535

0084h [15:0] R/W BIAS_CFG3 [15:0] 0–65535 0–65535

0086h [15:0] R/W BIAS_CFG4 [15:0] 0–65535 0–65535

0088h [15:0] R/W QPLL1_CFG0 [15:0] 0–65535 0–65535

0089h [15:0] R/W COMMON_CFG1 [15:0] 0–65535 0–65535

008Bh [15:0] R/W POR_CFG [15:0] 0–65535 0–65535

008Dh [15:0] R/W PPF1_CFG [15:0] 0–65535 0–65535

008Eh [0] R/W QPLL1CLKOUT_RATE [0]HALF 0

FULL 1

0090h [15:0] R/W QPLL1_CFG1 [15:0] 0–65535 0–65535

0091h [15:0] R/W QPLL1_CFG2 [15:0] 0–65535 0–65535

0092h [15:0] R/W QPLL1_LOCK_CFG [15:0] 0–65535 0–65535

0093h [15:0] R/W QPLL1_INIT_CFG0 [15:0] 0–65535 0–65535

0094h [15:8] R/W QPLL1_INIT_CFG1 [7:0] 0–255 0–255

0094h [7:0] R/W QPLL1_FBDIV [7:0]

16 14

17 15

18 16

19 17

20 18

21 19

22 20

表 B-1: GTYE3_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 347UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 348: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

0094h [7:0] R/W QPLL1_FBDIV [7:0]

23 21

24 22

25 23

26 24

27 25

28 26

29 27

30 28

31 29

32 30

33 31

34 32

35 33

36 34

37 35

38 36

39 37

40 38

41 39

42 40

43 41

44 42

45 43

46 44

47 45

48 46

49 47

50 48

51 49

52 50

53 51

54 52

55 53

56 54

表 B-1: GTYE3_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 348UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 349: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

0094h [7:0] R/W QPLL1_FBDIV [7:0]

57 55

58 56

59 57

60 58

61 59

62 60

63 61

64 62

65 63

66 64

67 65

68 66

69 67

70 68

71 69

72 70

73 71

74 72

75 73

76 74

77 75

78 76

79 77

80 78

81 79

82 80

83 81

84 82

85 83

86 84

87 85

88 86

89 87

90 88

表 B-1: GTYE3_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 349UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 350: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

0094h [7:0] R/W QPLL1_FBDIV [7:0]

91 89

92 90

93 91

94 92

95 93

96 94

97 95

98 96

99 97

100 98

101 99

102 100

103 101

104 102

105 103

106 104

107 105

108 106

109 107

110 108

111 109

112 110

113 111

114 112

115 113

116 114

117 115

118 116

119 117

120 118

121 119

122 120

123 121

124 122

表 B-1: GTYE3_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 350UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 351: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

0094h [7:0] R/W QPLL1_FBDIV [7:0]

125 123

126 124

127 125

128 126

129 127

130 128

131 129

132 130

133 131

134 132

135 133

136 134

137 135

138 136

139 137

140 138

141 139

142 140

143 141

144 142

145 143

146 144

147 145

148 146

149 147

150 148

151 149

152 150

153 151

154 152

155 153

156 154

157 155

158 156

表 B-1: GTYE3_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 351UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 352: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

0094h [7:0] R/W QPLL1_FBDIV [7:0]159 157

160 158

0095h [15:0] R/W QPLL1_CFG3 [15:0] 0–65535 0–65535

0096h [9:0] R/W QPLL1_CP [9:0] 0–1023 0–1023

0098h [11:7] R/W QPLL1_REFCLK_DIV [4:0]

2 0

3 1

4 2

5 3

6 5

8 6

10 7

12 13

16 14

20 15

1 16

0098h [5:3] R/W QPLL1_IPS_REFCLK_SEL [2:0] 0–7 0–7

0098h [12] R/W SARC_EN [0] 0–1 0–1

0098h [6] R/W QPLL1_IPS_EN [0] 0–1 0–1

0098h [13] R/W SARC_SEL [0] 0–1 0–1

0099h [15:0] R/W QPLL1_LPF [9:0] 0–1024 0–1024

009Ah [15:0] R/W QPLL1_CFG1_G3 [15:0] 0–65535 0–65535

009Bh [15:0] R/W QPLL1_CFG2_G3 [15:0] 0–65535 0–65535

009Ch [9:0] R/W QPLL1_LPF_G3 [9:0] 0–1023 0–1023

009Dh [15:0] R/W QPLL1_LOCK_CFG_G3 [15:0] 0–65535 0–65535

009Eh [15:0] R/W RSVD_ATTR2 [15:0] 0–65535 0–65535

009Fh [15:8] R/W QPLL1_FBDIV_G3 [7:0]16 14

17 15

表 B-1: GTYE3_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 352UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 353: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

009Fh [15:8] R/W QPLL1_FBDIV_G3 [7:0]

18 16

19 17

20 18

21 19

22 20

23 21

24 22

25 23

26 24

27 25

28 26

29 27

30 28

31 29

32 30

33 31

34 32

35 33

36 34

37 35

38 36

39 37

40 38

41 39

42 40

43 41

44 42

45 43

46 44

47 45

48 46

49 47

50 48

51 49

表 B-1: GTYE3_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 353UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 354: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

009Fh [15:8] R/W QPLL1_FBDIV_G3 [7:0]

52 50

53 51

54 52

55 53

56 54

57 55

58 56

59 57

60 58

61 59

62 60

63 61

64 62

65 63

66 64

67 65

68 66

69 67

70 68

71 69

72 70

73 71

74 72

75 73

76 74

77 75

78 76

79 77

80 78

81 79

82 80

83 81

84 82

85 83

表 B-1: GTYE3_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 354UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 355: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

009Fh [15:8] R/W QPLL1_FBDIV_G3 [7:0]

86 84

87 85

88 86

89 87

90 88

91 89

92 90

93 91

94 92

95 93

96 94

97 95

98 96

99 97

100 98

101 99

102 100

103 101

104 102

105 103

106 104

107 105

108 106

109 107

110 108

111 109

112 110

113 111

114 112

115 113

116 114

117 115

118 116

119 117

表 B-1: GTYE3_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 355UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 356: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

009Fh [15:8] R/W QPLL1_FBDIV_G3 [7:0]

120 118

121 119

122 120

123 121

124 122

125 123

126 124

127 125

128 126

129 127

130 128

131 129

132 130

133 131

134 132

135 133

136 134

137 135

138 136

139 137

140 138

141 139

142 140

143 141

144 142

145 143

146 144

147 145

148 146

149 147

150 148

151 149

152 150

153 151

表 B-1: GTYE3_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 356UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 357: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

GTYE3_CHANNEL プリ ミテ ィブの DRP アドレス マップ

表 B-2 に、 GTYE3_CHANNEL プリ ミ ティブの DRP マップをアドレス順に並べて示します。

注記: 予約済みビッ トは変更しないでください。 明記されていない属性は、 UltraScale FPGAs Transceivers Wizard によっ

て自動的に設定されます。 これらの属性は、 異なる値を明示的に要求する場合を除いてデフォルトのままと します。

009Fh [15:8] R/W QPLL1_FBDIV_G3 [7:0]

154 152

155 153

156 154

157 155

158 156

159 157

160 158

009Fh [1:0] R/W RXRECCLKOUT1_SEL [1:0] 0–3 0–3

00A0h [15:0] R/W QPLL1_SDM_CFG0 [15:0] 0–65535 0–65535

00A1h [15:0] R/W QPLL1_SDM_CFG1 [15:0] 0–65535 0–65535

00A2h [15:0] R/W SDM1INITSEED0_0 [15:0] 0–65535 0–65535

00A3h [8:0] R/W SDM1INITSEED0_1 [8:0] 0–511 0–511

00A4h [15:0] R/W QPLL1_SDM_CFG2 [15:0] 0–65535 0–65535

00A5h [9:0] R/W QPLL1_CP_G3 [9:0] 0–1023 0–1023

00ADh [15:0] R/W RSVD_ATTR3 [15:0] 0–65535 0–65535

00B0h [15:0] R/W QPLL1_CFG4 [15:0] 0–65535 0–65535

表 B-1: GTYE3_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

表 B-2: GTYE3_CHANNEL プリ ミテ ィブの DRP マップ

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト 属性のエンコード

DRP のエンコード

0002h [0] R/W CDR_SWAP_MODE_EN [0] 0–1 0–1

0003h [15:11] R/W RXBUFRESET_TIME [4:0] 0–31 0–31

0003h [9] R/W EYE_SCAN_SWAP_EN [0] 0–1 0–1

0003h [8:5] R/W RX_DATA_WIDTH [3:0]16 2

20 3

UltraScale アーキテクチャ GTY ト ランシーバー 357UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 358: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

0003h [8:5] R/W RX_DATA_WIDTH [3:0]

32 4

40 5

64 6

80 7

128 8

160 9

0003h [4:0] R/W RXCDRFREQRESET_TIME [4:0] 0–31 0–31

0004h [15:11] R/W RXCDRPHRESET_TIME [4:0] 0–31 0–31

0004h [10:8] R/W PCI3_RX_ELECIDLE_H2L_DISABLE [2:0] 0–7 0–7

0004h [7:1] R/W RXDFELPMRESET_TIME [6:0] 0–127 0–127

0004h [0] R/W RX_FABINT_USRCLK_FLOP [0] 0–1 0–1

0005h [15:11] R/W RXPMARESET_TIME [4:0] 0–31 0–31

0005h [10] R/W PCI3_RX_ELECIDLE_LP4_DISABLE [0] 0–1 0–1

0005h [8] R/W PCI3_RX_FIFO_DISABLE [0] 0–1 0–1

0005h [9] R/W PCI3_RX_ELECIDLE_EI2_ENABLE [0] 0–1 0–1

0005h [7:3] R/W RXPCSRESET_TIME [4:0] 0–31 0–31

0005h [2:0] R/W RXELECIDLE_CFG [2:0]

SIGCFG_1 0

SIGCFG_2 1

SIGCFG_3 2

SIGCFG_4 3

SIGCFG_6 4

SIGCFG_8 5

SIGCFG_12 6

SIGCFG_16 7

0006h [15:0] R/W RXDFE_HB_CFG1 [15:0] 0–65535 0–65535

0009h [15:11] R/W TXPMARESET_TIME [4:0] 0–31 0–31

0009h [7:3] R/W TXPCSRESET_TIME [4:0] 0–31 0–31

0009h [10] R/W RX_PMA_POWER_SAVE [0] 0–1 0–1

0009h [9] R/W TX_PMA_POWER_SAVE [0] 0–1 0–1

000Bh [4] R/W TX_FABINT_USRCLK_FLOP [0] 0–1 0–1

000Bh [9:8] R/W RXPMACLK_SEL [1:0]

CROSSING 2

DATA 0

EYESCAN 1

表 B-2: GTYE3_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト 属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 358UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 359: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

000Ch [11:10] R/W TX_PROGCLK_SEL [1:0]

POSTPI 0

PREPI 1

CPLL 2

000Ch [9:5] R/W RXISCANRESET_TIME [4:0] 0–31 0–31

000Eh [15:0] R/W RXCDR_CFG0 [15:0] 0–65535 0–65535

000Fh [15:0] R/W RXCDR_CFG1 [15:0] 0–65535 0–65535

0010h [15:0] R/W RXCDR_CFG2 [15:0] 0–65535 0–65535

0011h [15:0] R/W RXCDR_CFG3 [15:0] 0–65535 0–65535

0012h [15:0] R/W RXCDR_CFG4 [15:0] 0–65535 0–65535

0013h [15:0] R/W RXCDR_LOCK_CFG0 [15:0] 0–65535 0–65535

0014h [15:12] R/W CHAN_BOND_MAX_SKEW [3:0] 1–14 1–14

0014h [11:10] R/W CHAN_BOND_SEQ_LEN [1:0]

1 0

2 1

3 2

4 3

0014h [9:0] R/W CHAN_BOND_SEQ_1_1 [9:0] 0–1023 0–1023

0015h [15:10] R/W PCI3_RX_ELECIDLE_HI_COUNT [5:0] 0–63 0–63

0015h [9:0] R/W CHAN_BOND_SEQ_1_3 [9:0] 0–1023 0–1023

0016h [15:10] R/W PCI3_RX_ELECIDLE_H2L_COUNT [5:0] 0–63 0–63

0016h [9:0] R/W CHAN_BOND_SEQ_1_4 [9:0] 0–1023 0–1023

0017h [15:10] R/W RX_BUFFER_CFG [5:0] 0–63 0–63

0017h [9] R/W RX_DEFER_RESET_BUF_EN [0]FALSE 0

TRUE 1

0017h [8:7] R/W OOBDIVCTL [1:0] 0–3 0–3

0017h [6:5] R/W PCI3_AUTO_REALIGN [1:0]

FRST_SMPL 0

OVR_8_BLK 1

OVR_64_BLK 2

OVR_1K_BLK 3

0017h [4] R/W PCI3_PIPE_RX_ELECIDLE [0] 0–1 0–1

0018h [15:12] R/W CHAN_BOND_SEQ_1_ENABLE [3:0] 0–15 0–15

0018h [11:10] R/W PCI3_RX_ASYNC_EBUF_BYPASS [1:0] 0–3 0–3

0018h [9:0] R/W CHAN_BOND_SEQ_2_1 [9:0] 0–1023 0–1023

0019h [9:0] R/W CHAN_BOND_SEQ_2_2 [9:0] 0–1023 0–1023

001Ah [9:0] R/W CHAN_BOND_SEQ_2_3 [9:0] 0–1023 0–1023

001Bh [9:0] R/W CHAN_BOND_SEQ_2_4 [9:0] 0–1023 0–1023

表 B-2: GTYE3_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト 属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 359UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 360: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

001Ch [15:12] R/W CHAN_BOND_SEQ_2_ENABLE [3:0] 0–15 0–15

001Ch [11] R/W CHAN_BOND_SEQ_2_USE [0]FALSE 0

TRUE 1

001Ch [6] R/W CLK_COR_KEEP_IDLE [0]FALSE 0

TRUE 1

001Ch [5:0] R/W CLK_COR_MIN_LAT [5:0] 3–63 3–63

001Dh [15:10] R/W CLK_COR_MAX_LAT [5:0] 3–60 3–60

001Dh [9] R/W CLK_COR_PRECEDENCE [0]FALSE 0

TRUE 1

001Dh [8:4] R/W CLK_COR_REPEAT_WAIT [4:0] 0–31 0–31

001Dh [3:2] R/W CLK_COR_SEQ_LEN [1:0]

1 0

2 1

3 2

4 3

001Dh [0] R/W CHAN_BOND_KEEP_ALIGN [0]FALSE 0

TRUE 1

001Eh [9:0] R/W CLK_COR_SEQ_1_1 [9:0] 0–1023 0–1023

001Fh [9:0] R/W CLK_COR_SEQ_1_2 [9:0] 0–1023 0–1023

0020h [9:0] R/W CLK_COR_SEQ_1_3 [9:0] 0–1023 0–1023

0021h [9:0] R/W CLK_COR_SEQ_1_4 [9:0] 0–1023 0–1023

0022h [15:12] R/W CLK_COR_SEQ_1_ENABLE [3:0] 0–15 0–15

0022h [9:0] R/W CLK_COR_SEQ_2_1 [9:0] 0–1023 0–1023

0023h [9:0] R/W CLK_COR_SEQ_2_2 [9:0] 0–1023 0–1023

0024h [15:12] R/W CLK_COR_SEQ_2_ENABLE [3:0] 0–15 0–15

0024h [11] R/W CLK_COR_SEQ_2_USE [0]FALSE 0

TRUE 1

0024h [10] R/W CLK_CORRECT_USE [0]FALSE 0

TRUE 1

0024h [9:0] R/W CLK_COR_SEQ_2_3 [9:0] 0–1023 0–1023

0025h [9:0] R/W CLK_COR_SEQ_2_4 [9:0] 0–1023 0–1023

0026h [15:0] R/W RXDFE_HE_CFG0 [15:0] 0–65535 0–65535

0027h [15:13] R/W ALIGN_COMMA_WORD [2:0]

1 1

2 2

4 4

表 B-2: GTYE3_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト 属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 360UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 361: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

0027h [12] R/W ALIGN_COMMA_DOUBLE [0]FALSE 0

TRUE 1

0027h [11] R/W SHOW_REALIGN_COMMA [0]FALSE 0

TRUE 1

0027h [9:0] R/W ALIGN_COMMA_ENABLE [9:0] 0–1023 0–1023

0028h [15:8] R/W CPLL_FBDIV [7:0]

2 0

3 1

4 2

5 3

1 16

0028h [7] R/W CPLL_FBDIV_45 [0]4 0

5 1

0029h [15:0] R/W CPLL_LOCK_CFG [15:0] 0–65535 0–65535

002Ah [15:11] R/W CPLL_REFCLK_DIV [4:0]2 0

1 16

002Ah [10] R/W CPLL_IPS_EN [0] 0–1 0–1

002Ah [9:7] R/W CPLL_IPS_REFCLK_SEL [2:0] 0–7 0–7

002Ah [6:5] R/W SATA_CPLL_CFG [1:0]

VCO_3000MHZ 0

VCO_1500MHZ 1

VCO_750MHZ 2

002Ah [4:0] R/W A_TXDIFFCTRL [4:0] 0–31 0–31

002Bh [15:0] R/W CPLL_INIT_CFG0 [15:0] 0–65535 0–65535

002Ch [15] R/W DEC_PCOMMA_DETECT [0]FALSE 0

TRUE 1

002Ch [11:7] R/W TX_DIVRESET_TIME [4:0] 0–31 0–31

002Ch [6:2] R/W RX_DIVRESET_TIME [4:0] 0–31 0–31

002Ch [1] R/W A_TXPROGDIVRESET [0] 0–1 0–1

002Ch [0] R/W A_RXPROGDIVRESET [0] 0–1 0–1

002Dh [15:0] R/W RXCDR_LOCK_CFG1 [15:0] 0–65535 0–65535

002Eh [15:0] R/W RXCFOK_CFG1 [15:0] 0–65535 0–65535

002Fh [15:0] R/W RXDFE_H2_CFG0 [15:0] 0–65535 0–65535

0030h [15:0] R/W RXDFE_H2_CFG1 [15:0] 0–65535 0–65535

0031h [15:0] R/W RXCFOK_CFG2 [15:0] 0–65535 0–65535

0032h [15:0] R/W RXLPM_CFG [15:0] 0–65535 0–65535

0033h [15:0] R/W RXLPM_KH_CFG0 [15:0] 0–65535 0–65535

表 B-2: GTYE3_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト 属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 361UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 362: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

0034h [15:0] R/W RXLPM_KH_CFG1 [15:0] 0–65535 0–65535

0035h [15:0] R/W RXDFELPM_KL_CFG0 [15:0] 0–65535 0–65535

0036h [15:0] R/W RXDFELPM_KL_CFG1 [15:0] 0–65535 0–65535

0037h [15:0] R/W RXLPM_OS_CFG0 [15:0] 0–65535 0–65535

0038h [15:0] R/W RXLPM_OS_CFG1 [15:0] 0–65535 0–65535

0039h [15:0] R/W RXLPM_GC_CFG [15:0] 0–65535 0–65535

003Ah [15:8] R/W DMONITOR_CFG1 [7:0] 0–255 0–255

003Ch [15:10] R/W ES_CONTROL [5:0] 0–63 0–63

003Ch [4:0] R/W ES_PRESCALE [4:0] 0–31 0–31

003Ch [8] R/W ES_EYE_SCAN_EN [0]FALSE 0

TRUE 1

003Ch [9] R/W ES_ERRDET_EN [0]FALSE 0

TRUE 1

003Dh [15:0] R/W RXDFE_HC_CFG0 [15:0] 0–65535 0–65535

003Eh [15:0] R/W TX_PROGDIV_CFG [15:0]

0.0 32768

4.0 57744

5.0 49648

8.0 57728

10.0 57760

16.0 57730

16.5 49672

20.0 57762

32.0 57734

33.0 49800

40.0 57766

64.0 57742

66.0 50056

80.0 57743

100.0 57775

003Fh [15:0] R/W ES_QUALIFIER0 [15:0] 0–65535 0–65535

0040h [15:0] R/W ES_QUALIFIER1 [15:0] 0–65535 0–65535

0041h [15:0] R/W ES_QUALIFIER2 [15:0] 0–65535 0–65535

0042h [15:0] R/W ES_QUALIFIER3 [15:0] 0–65535 0–65535

0043h [15:0] R/W ES_QUALIFIER4 [15:0] 0–65535 0–65535

0044h [15:0] R/W ES_QUAL_MASK0 [15:0] 0–65535 0–65535

表 B-2: GTYE3_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト 属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 362UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 363: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

0045h [15:0] R/W ES_QUAL_MASK1 [15:0] 0–65535 0–65535

0046h [15:0] R/W ES_QUAL_MASK2 [15:0] 0–65535 0–65535

0047h [15:0] R/W ES_QUAL_MASK3 [15:0] 0–65535 0–65535

0048h [15:0] R/W ES_QUAL_MASK4 [15:0] 0–65535 0–65535

0049h [15:0] R/W ES_SDATA_MASK0 [15:0] 0–65535 0–65535

004Ah [15:0] R/W ES_SDATA_MASK1 [15:0] 0–65535 0–65535

004Bh [15:0] R/W ES_SDATA_MASK2 [15:0] 0–65535 0–65535

004Ch [15:0] R/W ES_SDATA_MASK3 [15:0] 0–65535 0–65535

004Dh [15:0] R/W ES_SDATA_MASK4 [15:0] 0–65535 0–65535

004Eh [4] R/W FTS_LANE_DESKEW_EN [0]FALSE 0

TRUE 1

004Eh [3:0] R/W FTS_DESKEW_SEQ_ENABLE [3:0] 0–15 0–15

004Fh [15:4] R/W ES_HORZ_OFFSET [11:0] 0–4095 0–4095

004Fh [3:0] R/W FTS_LANE_DESKEW_CFG [3:0] 0–15 0–15

0050h [15:0] R/W RXDFE_HC_CFG1 [15:0] 0–65535 0–65535

0051h [9:0] R/W ES_PMA_CFG [9:0] 0–1023 0–1023

0052h [10] R/W RX_EN_HI_LR [0] 0–1 0–1

0052h [4:2] R/W RX_DFE_AGC_CFG1 [2:0]

0 0

1 1

2 2

3 3

4 4

5 5

6 6

7 7

0052h [1:0] R/W RX_DFE_AGC_CFG0 [1:0] 0–3 0–3

0053h [15:0] R/W RXDFE_CFG0 [15:0] 0–65535 0–65535

0054h [15:0] R/W RXDFE_CFG1 [15:0] 0–65535 0–65535

0055h [13] R/W LOCAL_MASTER [0] 0–1 0–1

0055h [12] R/W PCS_PCIE_EN [0]FALSE 0

TRUE 1

0055h [10] R/W ALIGN_MCOMMA_DET [0]FALSE 0

TRUE 1

0055h [9:0] R/W ALIGN_MCOMMA_VALUE [9:0] 0–1023 0–1023

表 B-2: GTYE3_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト 属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 363UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 364: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

0056h [10] R/W ALIGN_PCOMMA_DET [0]FALSE 0

TRUE 1

0056h [9:0] R/W ALIGN_PCOMMA_VALUE [9:0] 0–1023 0–1023

0057h [15:0] R/W TXDLY_LCFG [15:0] 0–65535 0–65535

0058h [15:0] R/W RXDFE_OS_CFG0 [15:0] 0–65535 0–65535

0059h [15:0] R/W RXPHDLY_CFG [15:0] 0–65535 0–65535

005Ah [15:0] R/W RXDFE_OS_CFG1 [15:0] 0–65535 0–65535

005Bh [15:0] R/W RXDLY_CFG [15:0] 0–65535 0–65535

005Ch [15:0] R/W RXDLY_LCFG [15:0] 0–65535 0–65535

005Dh [15:0] R/W RXDFE_HF_CFG0 [15:0] 0–65535 0–65535

005Eh [15:0] R/W RXDFE_HD_CFG0 [15:0] 0–65535 0–65535

005Fh [15:0] R/W RX_BIAS_CFG0 [15:0] 0–65535 0–65535

0060h [15:0] R/W PCS_RSVD0 [15:0] 0–65535 0–65535

0061h [15:11] R/W RXPH_MONITOR_SEL [4:0] 0–31 0–31

0061h [10] R/W RX_CM_BUF_PD [0]0 0

1 1

0061h [9:6] R/W RX_CM_BUF_CFG [3:0] 0–15 0–15

0061h [5:2] R/W RX_CM_TRIM [3:0] 0–15 0–15

0061h [1:0] R/W RX_CM_SEL [1:0] 0–3 0–3

0062h [12:9] R/W RX_SUM_IREF_TUNE [3:0] 0–15 0–15

0062h [14] R/W RX_SUM_DFETAPREP_EN [0] 0–1 0–1

0062h [13] R/W RX_SUM_VCM_OVWR [0] 0–1 0–1

0062h [6:3] R/W RX_SUM_VCMTUNE [3:0] 0–15 0–15

0062h [2:0] R/W RX_SUM_VREF_TUNE [2:0] 0–7 0–7

0063h [15] R/W CBCC_DATA_SOURCE_SEL [0]ENCODED 0

DECODED 1

0063h [14] R/W OOB_PWRUP [0] 0–1 0–1

0063h [13:5] R/W RXOOB_CFG [8:0] 0–511 0–511

0063h [2:0] R/W RXOUT_DIV [2:0]

1 0

2 1

4 2

8 3

16 4

32 5

表 B-2: GTYE3_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト 属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 364UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 365: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

0064h [15:11] R/W RX_SIG_VALID_DLY [4:0]

1 0

2 1

3 2

4 3

5 4

6 5

7 6

8 7

9 8

0064h [15:11] R/W RX_SIG_VALID_DLY [4:0]

10 9

11 10

12 11

13 12

14 13

15 14

16 15

17 16

18 17

19 18

20 19

21 20

22 21

23 22

24 23

25 24

26 25

27 26

28 27

29 28

30 29

31 30

32 31

表 B-2: GTYE3_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト 属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 365UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 366: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

0064h [10:9] R/W RXSLIDE_MODE [1:0]

OFF 0

AUTO 1

PCS 2

PMA 3

0064h [8] R/W RXPRBS_ERR_LOOPBACK [0] 0–1 0–1

0064h [7:4] R/W RXSLIDE_AUTO_WAIT [3:0] 1–15 1–15

0064h [3] R/W RXBUF_EN [0]FALSE 0

TRUE 1

0064h [2:1] R/W RX_XCLK_SEL [1:0]

RXDES 0

RXUSR 1

RXPMA 2

0064h [0] R/W RXGEARBOX_EN [0]FALSE 0

TRUE 1

0065h [15:10] R/W RXBUF_THRESH_OVFLW [5:0] 0–63 0–63

0065h [9:0] R/W DMONITOR_CFG0 [9:0] 0–1023 0–1023

0066h [15] R/W RXBUF_THRESH_OVRD [0]FALSE 0

TRUE 1

0066h [14] R/W RXBUF_RESET_ON_COMMAALIGN [0]FALSE 0

TRUE 1

0066h [13] R/W RXBUF_RESET_ON_RATE_CHANGE [0]FALSE 0

TRUE 1

0066h [12] R/W RXBUF_RESET_ON_CB_CHANGE [0]FALSE 0

TRUE 1

0066h [11:6] R/W RXBUF_THRESH_UNDFLW [5:0] 0–63 0–63

0066h [5] R/W RX_CLKMUX_EN [0] 0–1 0–1

0066h [4] R/W RX_DISPERR_SEQ_MATCH [0]FALSE 0

TRUE 1

0066h [3:2] R/W RX_WIDEMODE_CDR [1:0] 0–3 0–3

0066h [1:0] R/W RX_INT_DATAWIDTH [1:0] 0–2 0–2

0067h [15:12] R/W RXBUF_EIDLE_HI_CNT [3:0] 0–15 0–15

0067h [11] R/W RXCDR_HOLD_DURING_EIDLE [0] 0–1 0–1

0067h [10] R/W RX_DFE_LPM_HOLD_DURING_EIDLE [0] 0–1 0–1

0067h [7:4] R/W RXBUF_EIDLE_LO_CNT [3:0] 0–15 0–15

0067h [3] R/W RXBUF_RESET_ON_EIDLE [0]FALSE 0

TRUE 1

表 B-2: GTYE3_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト 属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 366UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 367: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

0067h [2] R/W RXCDR_FR_RESET_ON_EIDLE [0] 0–1 0–1

0067h [1] R/W RXCDR_PH_RESET_ON_EIDLE [0] 0–1 0–1

0067h [0] R/W RXBUF_ADDR_MODE [0]FULL 0

FAST 1

0068h [15:13] R/W SATA_BURST_VAL [2:0] 0–7 0–7

0068h [7:4] R/W SATA_BURST_SEQ_LEN [3:0] 0–15 0–15

0068h [2:0] R/W SATA_EIDLE_VAL [2:0] 0–7 0–7

0069h [15:10] R/W SATA_MIN_BURST [5:0] 1–61 1–61

0069h [6:1] R/W SAS_MIN_COM [5:0] 1–63 1–63

006Ah [15:10] R/W SATA_MIN_INIT [5:0] 1–63 1–63

006Ah [6:1] R/W SATA_MIN_WAKE [5:0] 1–63 1–63

006Bh [15:10] R/W SATA_MAX_BURST [5:0] 1–63 1–63

006Bh [6:0] R/W SAS_MAX_COM [6:0] 1–127 1–127

006Ch [15:10] R/W SATA_MAX_INIT [5:0] 1–63 1–63

006Ch [6:1] R/W SATA_MAX_WAKE [5:0] 1–63 1–63

006Dh [7:3] R/W RX_CLK25_DIV [4:0]

1 0

2 1

3 2

4 3

5 4

6 5

7 6

表 B-2: GTYE3_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト 属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 367UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 368: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

006Dh [7:3] R/W RX_CLK25_DIV [4:0]

8 7

9 8

10 9

11 10

12 11

13 12

14 13

15 14

16 15

17 16

18 17

19 18

20 19

21 20

22 21

23 22

24 23

25 24

26 25

27 26

28 27

29 28

30 29

31 30

32 31

006Eh [15:0] R/W TXPHDLY_CFG0 [15:0] 0–65535 0–65535

006Fh [15:0] R/W TXPHDLY_CFG1 [15:0] 0–65535 0–65535

0070h [15:0] R/W TXDLY_CFG [15:0] 0–65535 0–65535

0071h [6:2] R/W TXPH_MONITOR_SEL [4:0] 0–31 0–31

0071h [1:0] R/W TAPDLY_SET_TX [1:0] 0–3 0–3

0072h [15:0] R/W RXCDR_LOCK_CFG2 [15:0] 0–65535 0–65535

0073h [15:0] R/W TXPH_CFG [15:0] 0–65535 0–65535

0074h [14:0] R/W TERM_RCAL_CFG [14:0] 0–32767 0–32767

0075h [15:0] R/W RXDFE_HF_CFG1 [15:0] 0–65535 0–65535

0076h [15:4] R/W PD_TRANS_TIME_FROM_P2 [11:0] 0–4095 0–4095

表 B-2: GTYE3_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト 属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 368UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 369: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

0076h [3:1] R/W TERM_RCAL_OVRD [2:0] 0–7 0–7

0077h [15:8] R/W PD_TRANS_TIME_NONE_P2 [7:0] 0–255 0–255

0077h [7:0] R/W PD_TRANS_TIME_TO_P2 [7:0] 0–255 0–255

0078h [15:8] R/W TRANS_TIME_RATE [7:0] 0–255 0–255

0079h [15:8] R/W TST_RSV0 [7:0] 0–255 0–255

0079h [7:0] R/W TST_RSV1 [7:0] 0–255 0–255

007Ah [15:11] R/W TX_CLK25_DIV [4:0]

1 0

2 1

3 2

4 3

5 4

6 5

7 6

8 7

9 8

10 9

11 10

12 11

13 12

14 13

15 14

16 15

17 16

18 17

19 18

20 19

21 20

22 21

23 22

24 23

25 24

26 25

27 26

表 B-2: GTYE3_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト 属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 369UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 370: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

007Ah [15:11] R/W TX_CLK25_DIV [4:0]

28 27

29 28

30 29

31 30

32 31

007Ah [10] R/W TX_XCLK_SEL [0]TXOUT 0

TXUSR 1

007Ah [3:0] R/W TX_DATA_WIDTH [3:0]

16 2

20 3

32 4

40 5

64 6

80 7

128 8

160 9

007Bh [15:10] R/W TX_DEEMPH0 [5:0] 0–63 0–63

007Bh [7:2] R/W TX_DEEMPH1 [5:0] 0–63 0–63

007Ch [14] R/W TX_MAINCURSOR_SEL [0] 0–1 0–1

007Ch [13] R/W TXGEARBOX_EN [0]FALSE 0

TRUE 1

007Ch [10:8] R/W TXOUT_DIV [2:0]

1 0

2 1

4 2

8 3

16 4

32 5

007Ch [7] R/W TXBUF_EN [0]FALSE 0

TRUE 1

007Ch [6] R/W TXBUF_RESET_ON_RATE_CHANGE [0]FALSE 0

TRUE 1

007Ch [5:3] R/W TX_RXDETECT_REF [2:0] 0–7 0–7

007Ch [2] R/W TXFIFO_ADDR_CFG [0]LOW 0

HIGH 1

007Dh [15:2] R/W TX_RXDETECT_CFG [13:0] 0–16383 0–16383

表 B-2: GTYE3_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト 属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 370UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 371: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

007Eh [15] R/W TX_CLKMUX_EN [0]0 0

1 1

007Eh [14] R/W TX_LOOPBACK_DRIVE_HIZ [0]FALSE 0

TRUE 1

007Eh [12:8] R/W TX_DRIVE_MODE [4:0]

DIRECT 0

PIPE 1

PIPEGEN3 2

007Eh [7:5] R/W TX_EIDLE_ASSERT_DELAY [2:0] 0–7 0–7

007Eh [4:2] R/W TX_EIDLE_DEASSERT_DELAY [2:0] 0–7 0–7

007Fh [15:9] R/W TX_MARGIN_FULL_0 [6:0] 0–127 0–127

007Fh [7:1] R/W TX_MARGIN_FULL_1 [6:0] 0–127 0–127

0080h [15:9] R/W TX_MARGIN_FULL_2 [6:0] 0–127 0–127

0080h [7:1] R/W TX_MARGIN_FULL_3 [6:0] 0–127 0–127

0081h [15:9] R/W TX_MARGIN_FULL_4 [6:0] 0–127 0–127

0081h [7:1] R/W TX_MARGIN_LOW_0 [6:0] 0–127 0–127

0082h [15:9] R/W TX_MARGIN_LOW_1 [6:0] 0–127 0–127

0082h [7:1] R/W TX_MARGIN_LOW_2 [6:0] 0–127 0–127

0083h [15:9] R/W TX_MARGIN_LOW_3 [6:0] 0–127 0–127

0083h [7:1] R/W TX_MARGIN_LOW_4 [6:0] 0–127 0–127

0084h [15:0] R/W RXDFE_HD_CFG1 [15:0] 0–65535 0–65535

0085h [11:10] R/W TX_INT_DATAWIDTH [1:0] 0–2 0–2

0089h [7:0] R/W RXPRBS_LINKACQ_CNT [7:0] 15–255 15–255

008Ah [15] R/W TX_PMADATA_OPT [0] 0–1 0–1

008Ah [14] R/W RXSYNC_OVRD [0] 0–1 0–1

008Ah [13] R/W TXSYNC_OVRD [0] 0–1 0–1

008Ah [12] R/W TX_IDLE_DATA_ZERO [0] 0–1 0–1

008Ah [11] R/W A_RXOSCALRESET [0] 0–1 0–1

008Ah [10] R/W RXOOB_CLK_CFG [0]PMA 0

FABRIC 1

008Ah [9] R/W TXSYNC_SKIP_DA [0] 0–1 0–1

008Ah [8] R/W RXSYNC_SKIP_DA [0] 0–1 0–1

008Ah [6:5] R/W RXCFOKDONE_SRC [1:0] 0–3 0–3

008Ah [4:0] R/W RXOSCALRESET_TIME [4:0] 0–31 0–31

008Bh [10] R/W TXSYNC_MULTILANE [0] 0–1 0–1

008Bh [9] R/W RXSYNC_MULTILANE [0] 0–1 0–1

表 B-2: GTYE3_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト 属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 371UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 372: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

008Ch [15] R/W ACJTAG_MODE [0] 0–1 0–1

008Ch [14] R/W ACJTAG_DEBUG_MODE [0] 0–1 0–1

008Ch [13] R/W ACJTAG_RESET [0] 0–1 0–1

008Ch [12] R/W RESET_POWERSAVE_DISABLE [0] 0–1 0–1

008Ch [11:10] R/W RX_TUNE_AFE_OS [1:0] 0–3 0–3

008Ch [9:8] R/W RX_DFE_KL_LPM_KL_CFG0 [1:0] 0–3 0–3

008Ch [7:5] R/W RX_DFE_KL_LPM_KL_CFG1 [2:0] 0–7 0–7

008Dh [15:0] R/W RXDFELPM_KL_CFG2 [15:0] 0–65535 0–65535

008Eh [15:0] R/W RXDFE_VP_CFG0 [15:0] 0–65535 0–65535

008Fh [15:0] R/W RXDFE_VP_CFG1 [15:0] 0–65535 0–65535

0090h [15:0] R/W RXDFE_UT_CFG1 [15:0] 0–65535 0–65535

0091h [15:0] R/W ADAPT_CFG0 [15:0] 0–65535 0–65535

0092h [15:0] R/W ADAPT_CFG1 [15:0] 0–65535 0–65535

0093h [15:0] R/W RXCFOK_CFG0 [15:0] 0–65535 0–65535

0094h [11] R/W ES_CLK_PHASE_SEL [0] 0–1 0–1

0094h [10] R/W USE_PCS_CLK_PHASE_SEL [0] 0–1 0–1

0095h [15:0] R/W PMA_RSV1 [15:0] 0–65535 0–65535

0097h [12] R/W RX_AFE_CM_EN [0] 0–1 0–1

0097h [11] R/W RX_CAPFF_SARC_ENB [0] 0–1 0–1

0097h [10] R/W RX_EYESCAN_VS_NEG_DIR [0] 0–1 0–1

0097h [9] R/W RX_EYESCAN_VS_UT_SIGN [0] 0–1 0–1

0097h [8:2] R/W RX_EYESCAN_VS_CODE [6:0] 0–127 0–127

0097h [1:0] R/W RX_EYESCAN_VS_RANGE [1:0] 0–3 0–3

0098h [15:0] R/W RXDFE_HE_CFG1 [15:0] 0–65535 0–65535

0099h [15:11] R/W GEARBOX_MODE [4:0] 0–31 0–31

0099h [10:8] R/W TXPI_SYNFREQ_PPM [2:0] 0–7 0–7

0099h [7] R/W TXPI_PPMCLK_SEL [0]TXUSRCLK 0

TXUSRCLK2 1

0099h [6] R/W TXPI_INVSTROBE_SEL [0] 0–1 0–1

0099h [5] R/W TXPI_GRAY_SEL [0] 0–1 0–1

0099h [3] R/W TXPI_LPM [0] 0–1 0–1

0099h [2] R/W TXPI_VREFSEL [0] 0–1 0–1

009Ah [7:0] R/W TXPI_PPM_CFG [7:0] 0–255 0–255

009Bh [15] R/W RX_DFELPM_KLKH_AGC_STUP_EN [0] 0–1 0–1

009Bh [14:11] R/W RX_DFELPM_CFG0 [3:0] 0–7 0–7

表 B-2: GTYE3_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト 属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 372UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 373: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

009Bh [10] R/W RX_DFELPM_CFG1 [0] 0–1 0–1

009Bh [9:8] R/W RX_DFE_KL_LPM_KH_CFG0 [1:0] 0–3 0–3

009Bh [7:5] R/W RX_DFE_KL_LPM_KH_CFG1 [2:0] 1–7 1–7

009Ch [12:11] R/W TXPI_CFG0 [1:0] 0–3 0–3

009Ch [10:9] R/W TXPI_CFG1 [1:0] 0–3 0–3

009Ch [8:7] R/W TXPI_CFG2 [1:0] 0–3 0–3

009Ch [6] R/W TXPI_CFG3 [0] 0–1 0–1

009Ch [5] R/W TXPI_CFG4 [0] 0–1 0–1

009Ch [4:2] R/W TXPI_CFG5 [2:0] 0–7 0–7

009Dh [15:0] R/W RXPI_CFG [15:0] 0–65535 0–65535

009Eh [15:0] R/W RXDFE_UT_CFG0 [15:0] 0–65535 0–65535

009Fh [15:0] R/W RXDFE_GC_CFG0 [15:0] 0–65535 0–65535

00A0h [15:0] R/W RXDFE_GC_CFG1 [15:0] 0–65535 0–65535

00A1h [15:0] R/W RXDFE_GC_CFG2 [15:0] 0–65535 0–65535

00A2h [15:0] R/W RXCDR_CFG0_GEN3 [15:0] 0–65535 0–65535

00A3h [15:0] R/W RXCDR_CFG1_GEN3 [15:0] 0–65535 0–65535

00A4h [15:0] R/W RXCDR_CFG2_GEN3 [15:0] 0–65535 0–65535

00A5h [15:0] R/W RXCDR_CFG3_GEN3 [15:0] 0–65535 0–65535

00A6h [15:0] R/W RXCDR_CFG4_GEN3 [15:0] 0–65535 0–65535

00A7h [15:0] R/W RXCDR_CFG5_GEN3 [15:0] 0–65535 0–65535

00A8h [15:0] R/W RXCDR_CFG5 [15:0] 0–65535 0–65535

00A9h [15:0] R/W PCIE_RXPMA_CFG [15:0] 0–65535 0–65535

00AAh [15:0] R/W PCIE_TXPCS_CFG_GEN3 [15:0] 0–65535 0–65535

00ABh [15:0] R/W PCIE_TXPMA_CFG [15:0] 0–65535 0–65535

00ACh [7:3] R/W RX_CLK_SLIP_OVRD [4:0] 0–31 0–31

00ACh [2:0] R/W PCS_RSVD1 [2:0] 0–7 0–7

00ADh [12:11] R/W PLL_SEL_MODE_GEN3 [1:0] 0–3 0–3

00ADh [10:9] R/W PLL_SEL_MODE_GEN12 [1:0] 0–3 0–3

00ADh [8] R/W RATE_SW_USE_DRP [0] 0–1 0–1

00ADh [3] R/W RXPI_LPM [0] 0–1 0–1

00ADh [2] R/W RXPI_VREFSEL [0] 0–1 0–1

00ADh [1:0] R/W RXPI_SEL_LC [1:0] 0–3 0–3

00AEh [15:0] R/W RXDFE_H3_CFG0 [15:0] 0–65535 0–65535

00AFh [15] R/W DFE_D_X_REL_POS [0] 0–1 0–1

00AFh [14] R/W DFE_VCM_COMP_EN [0] 0–1 0–1

表 B-2: GTYE3_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト 属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 373UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 374: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

00AFh [13] R/W GM_BIAS_SELECT [0] 0–1 0–1

00AFh [10:0] R/W EVODD_PHI_CFG [10:0] 0–2047 0–2047

00B0h [15:0] R/W RXDFE_H3_CFG1 [15:0] 0–65535 0–65535

00B1h [15:0] R/W RXDFE_H4_CFG0 [15:0] 0–65535 0–65535

00B2h [15:0] R/W RXDFE_H4_CFG1 [15:0] 0–65535 0–65535

00B3h [15:0] R/W RXDFE_H5_CFG0 [15:0] 0–65535 0–65535

00B4h [15:13] R/W PROCESS_PAR [2:0] 0–7 0–7

00B4h [11:8] R/W TEMPERATURE_PAR [3:0] 0–15 0–15

00B4h [7:5] R/W TX_MODE_SEL [2:0] 0–7 0–7

00B4h [4] R/W TX_SARC_LPBK_ENB [0] 0–1 0–1

00B5h [15:0] R/W RXDFE_H5_CFG1 [15:0] 0–65535 0–65535

00B6h [15:10] R/W TX_DCD_CFG [5:0] 0–63 0–63

00B6h [9] R/W TX_DCD_EN [0] 0–1 0–1

00B6h [8] R/W TX_EML_PHI_TUNE [0] 0–1 0–1

00B6h [5:0] R/W CPLL_CFG3 [5:0] 0–63 0–63

00B7h [15:0] R/W RXDFE_H6_CFG0 [15:0] 0–65535 0–65535

00B8h [15:0] R/W RXDFE_H6_CFG1 [15:0] 0–65535 0–65535

00B9h [15:0] R/W RXDFE_H7_CFG0 [15:0] 0–65535 0–65535

00BAh [6:2] R/W DDI_REALIGN_WAIT [4:0] 0–31 0–31

00BAh [1:0] R/W DDI_CTRL [1:0] 0–3 0–3

00BBh [11:9] R/W TXGBOX_FIFO_INIT_RD_ADDR [2:0] 2–6 2–6

00BBh [8:6] R/W TX_SAMPLE_PERIOD [2:0] 0–7 0–7

00BBh [5:3] R/W RXGBOX_FIFO_INIT_RD_ADDR [2:0] 2–5 2–5

00BBh [2:0] R/W RX_SAMPLE_PERIOD [2:0] 0–7 0–7

00BCh [15:0] R/W CPLL_CFG2 [15:0] 0–65535 0–65535

00BDh [15:0] R/W RXPHSAMP_CFG [15:0] 0–65535 0–65535

00BEh [15:0] R/W RXPHSLIP_CFG [15:0] 0–65535 0–65535

00BFh [15:0] R/W RXPHBEACON_CFG [15:0] 0–65535 0–65535

00C0h [15:0] R/W RXDFE_H7_CFG1 [15:0] 0–65535 0–65535

00C1h [15:0] R/W RXDFE_H8_CFG0 [15:0] 0–65535 0–65535

00C2h [15:0] R/W RXDFE_H8_CFG1 [15:0] 0–65535 0–65535

00C3h [15:0] R/W PCIE_BUFG_DIV_CTRL [15:0] 0–65535 0–65535

00C4h [15:0] R/W PCIE_RXPCS_CFG_GEN3 [15:0] 0–65535 0–65535

00C5h [15:0] R/W RXDFE_H9_CFG0 [15:0] 0–65535 0–65535

表 B-2: GTYE3_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト 属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 374UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 375: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

00C6h [15:0] R/W RX_PROGDIV_CFG [15:0]

0.0 32768

4.0 57744

5.0 49648

8.0 57728

10.0 57760

16.0 57730

16.5 49672

20.0 57762

32.0 57734

33.0 49800

40.0 57766

64.0 57742

66.0 50056

80.0 57743

100.0 57775

00C7h [15:0] R/W RXDFE_H9_CFG1 [15:0] 0–65535 0–65535

00C8h [15:0] R/W RXDFE_HA_CFG0 [15:0] 0–65535 0–65535

00CAh [9:0] R/W CHAN_BOND_SEQ_1_2 [9:0] 0–1023 0–1023

00CBh [15:0] R/W CPLL_CFG0 [15:0] 0–65535 0–65535

00CCh [15:0] R/W CPLL_CFG1 [15:0] 0–65535 0–65535

00CDh [15:8] R/W CPLL_INIT_CFG1 [7:0] 0–255 0–255

00CDh [7:2] R/W RX_DDI_SEL [5:0] 0–63 0–63

00CDh [1] R/W DEC_VALID_COMMA_ONLY [0]FALSE 0

TRUE 1

00CDh [0] R/W DEC_MCOMMA_DETECT [0]FALSE 0

TRUE 1

00CEh [15:0] R/W RXDFE_HA_CFG1 [15:0] 0–65535 0–65535

00CFh [15:0] R/W RXDFE_HB_CFG0 [15:0] 0–65535 0–65535

00D0h [6:4] R/W RX_DEGEN_CTRL [2:0] 0–7 0–7

00D0h [3:0] R/W RX_RESLOAD_CTRL [3:0] 0–15 0–15

00D0h [8] R/W RX_RESLOAD_OVRD [0] 0–1 0–1

00D1h [12] R/W RX_EN_CTLE_RCAL_B [0] 0–1 0–1

表 B-2: GTYE3_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト 属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 375UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 376: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

00D1h [11:3] R/W RX_EXT_RL_CTRL [8:0]

0 0

1 1

3 3

7 7

15 15

31 31

00D1h [11:3] R/W RX_EXT_RL_CTRL [8:0]

63 63

112 112

113 113

115 115

119 119

127 127

00D1h [2] R/W RX_CTLE1_KHKL [0] 0–1 0–1

00D1h [1] R/W RX_CTLE2_KHKL [0] 0–1 0–1

00D1h [0] R/W RX_CTLE3_AGC [0] 0–1 0–1

00D3h [13] R/W LPBK_EN_RCAL_B [0] 0–1 0–1

00D3h [4:2] R/W LPBK_BIAS_CTRL [2:0] 0–7 0–7

00D3h [1] R/W RX_XMODE_SEL [0] 0–1 0–1

00D3h [0] R/W ISCAN_CK_PH_SEL2 [0] 0–1 0–1

00D4h [10:7] R/W LPBK_RG_CTRL [3:0] 0–15 0–15

00D4h [6] R/W TX_PI_SEL_QPLL1 [0] 0–1 0–1

00D4h [5] R/W TX_PI_SEL_QPLL0 [0] 0–1 0–1

00D5h [15:0] R/W CKCAL1_CFG_0 [15:0] 0–65535 0–65535

00D6h [15:0] R/W CKCAL1_CFG_1 [15:0] 0–65535 0–65535

00D7h [15:0] R/W CKCAL2_CFG_0 [15:0] 0–65535 0–65535

00D8h [15:0] R/W CKCAL2_CFG_1 [15:0] 0–65535 0–65535

00D9h [15:0] R/W CKCAL2_CFG_2 [15:0] 0–65535 0–65535

00DAh [15:0] R/W ADAPT_CFG2 [15:0] 0–65535 0–65535

00DBh [15:0] R/W RXCDR_LOCK_CFG3 [15:0] 0–65535 0–65535

00DEh [15:0] R/W TXPH_CFG2 [15:0] 0–65535 0–65535

00DFh [0] R/W AUTO_BW_SEL_BYPASS [0] 0–1 0–1

00E0h [9] R/W RXDFE_PWR_SAVING [0] 0–1 0–1

00E0h [8:6] R/W CTLE3_OCAP_EXT_CTRL [2:0] 0–7 0–7

00E0h [5] R/W CTLE3_OCAP_EXT_EN [0] 0–1 0–1

00E0h [1:0] R/W RXPI_STARTCODE [1:0] 0–3 0–3

表 B-2: GTYE3_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト 属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 376UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 377: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

00E1h [0] R/W CAPBYPASS_FORCE [0] 0–1 0–1

00E2h [7:6] R/W TX_PREDRV_CTRL [1:0] 0–3 0–3

00E2h [5:4] R/W TX_DRVMUX_CTRL [1:0] 0–3 0–3

00E2h [3:1] R/W TX_CLKREG_SET [2:0] 0–7 0–7

00E2h [0] R/W TX_CLKREG_PDB [0] 0–1 0–1

00E7h [15:0] R/W ES_QUALIFIER5 [15:0] 0–65535 0–65535

00E8h [15:0] R/W ES_QUALIFIER6 [15:0] 0–65535 0–65535

00E9h [15:0] R/W ES_QUALIFIER7 [15:0] 0–65535 0–65535

00EAh [15:0] R/W ES_QUALIFIER8 [15:0] 0–65535 0–65535

00EBh [15:0] R/W ES_QUALIFIER9 [15:0] 0–65535 0–65535

00ECh [15:0] R/W ES_QUAL_MASK5 [15:0] 0–65535 0–65535

00EDh [15:0] R/W ES_QUAL_MASK6 [15:0] 0–65535 0–65535

00EEh [15:0] R/W ES_QUAL_MASK7 [15:0] 0–65535 0–65535

00EFh [15:0] R/W ES_QUAL_MASK8 [15:0] 0–65535 0–65535

00F0h [15:0] R/W ES_QUAL_MASK9 [15:0] 0–65535 0–65535

00F1h [15:0] R/W ES_SDATA_MASK5 [15:0] 0–65535 0–65535

00F2h [15:0] R/W ES_SDATA_MASK6 [15:0] 0–65535 0–65535

00F3h [15:0] R/W ES_SDATA_MASK7 [15:0] 0–65535 0–65535

00F4h [15:0] R/W ES_SDATA_MASK8 [15:0] 0–65535 0–65535

00F5h [15:0] R/W ES_SDATA_MASK9 [15:0] 0–65535 0–65535

00F7h [15:0] R/W CKCAL1_CFG_3 [15:0] 0–65535 0–65535

00F8h [15:0] R/W CKCAL2_CFG_3 [15:0] 0–65535 0–65535

00F9h [15:0] R/W CKCAL2_CFG_4 [15:0] 0–65535 0–65535

00FAh [0] R/W RX_VREG_PDB [0] 0–1 0–1

00FAh [5] R/W RX_DIV2_MODE_B [0] 0–1 0–1

00FAh [4] R/W RXPI_AUTO_BW_SEL_BYPASS [0] 0–1 0–1

00FAh [3:1] R/W RX_VREG_CTRL [2:0] 0–7 0–7

00FAh [0] R/W RX_VREG_PDB [0] 0–1 0–1

00FBh [9:6] R/W LPBK_EXT_RCAL [3:0] 0–15 0–15

00FBh [5:4] R/W PREIQ_FREQ_BST [1:0] 0–3 0–3

00FBh [3] R/W TX_FIFO_BYP_EN [0] 0–1 0–1

00FBh [2:1] R/W TX_PI_BIASSET [1:0] 0–3 0–3

00FBh [0] R/W TX_PI_DIV2_MODE_B [0] 0–1 0–1

00FCh [15:0] R/W TX_PHICAL_CFG0 [15:0] 0–65535 0–65535

00FDh [15:0] R/W TX_PHICAL_CFG1 [15:0] 0–65535 0–65535

表 B-2: GTYE3_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト 属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 377UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 378: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

00FEh [15:0] R/W TX_PHICAL_CFG2 [15:0] 0–65535 0–65535

00FFh [15:0] R/W TX_PI_CFG0 [15:0] 0–65535 0–65535

0100h [15:0] R/W TX_PI_CFG1 [15:0] 0–65535 0–65535

0101h [15:0] R/W PMA_RSV0 [15:0] 0–65535 0–65535

0102h [15:0] R/W RXPI_RSV0 [15:0] 0–65535 0–65535

0103h [15:0] R/W RX_PROGDIV_RATE [15:0] 0–65535 0–65535

0104h [15:0] R/W TXPI_RSV0 [15:0] 0–65535 0–65535

0105h [15:0] R/W TX_PROGDIV_RATE [15:0] 0–65535 0–65535

0106h [15:0] R/W LOOP0_CFG [15:0] 0–65535 0–65535

0107h [15:0] R/W LOOP1_CFG [15:0] 0–65535 0–65535

0108h [15:0] R/W LOOP2_CFG [15:0] 0–65535 0–65535

0109h [15:0] R/W LOOP3_CFG [15:0] 0–65535 0–65535

010Ah [15:0] R/W LOOP4_CFG [15:0] 0–65535 0–65535

010Bh [15:0] R/W LOOP5_CFG [15:0] 0–65535 0–65535

010Ch [15:0] R/W LOOP6_CFG [15:0] 0–65535 0–65535

010Dh [15:0] R/W LOOP7_CFG [15:0] 0–65535 0–65535

010Eh [15:0] R/W LOOP8_CFG [15:0] 0–65535 0–65535

010Fh [15:0] R/W LOOP9_CFG [15:0] 0–65535 0–65535

0110h [15:0] R/W LOOP10_CFG [15:0] 0–65535 0–65535

0111h [15:0] R/W LOOP11_CFG [15:0] 0–65535 0–65535

0112h [15:0] R/W LOOP12_CFG [15:0] 0–65535 0–65535

0113h [15:0] R/W LOOP13_CFG [15:0] 0–65535 0–65535

0114h [15:0] R/W CKCAL_RSVD0 [15:0] 0–65535 0–65535

0115h [15:0] R/W CKCAL_RSVD1 [15:0] 0–65535 0–65535

0116h [15:0] R/W CH_HSPMUX [15:0] 0–65535 0–65535

0250h [6:0] R COMMA_ALIGN_LATENCY [6:0] 0–127 0–127

0251h [15:0] R es_error_count [15:0] 0–65535 0–65535

0252h [15:0] R es_sample_count [15:0] 0–65535 0–65535

0253h [3:0] R es_control_status [3:0] 0–15 0–15

0254h [15:0] R es_rdata_byte4 [79:64] 0–65535 0–65535

0255h [15:0] R es_rdata_byte3 [63:48] 0–65535 0–65535

0256h [15:0] R es_rdata_byte2 [47:32] 0–65535 0–65535

0257h [15:0] R es_rdata_byte1 [31:16] 0–65535 0–65535

0258h [15:0] R es_rdata_byte0 [15:0] 0–65535 0–65535

0259h [15:0] R es_sdata_byte4 [79:64] 0–65535 0–65535

表 B-2: GTYE3_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト 属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 378UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 379: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 B: UltraScale FPGA の GTY ト ランシーバーの DRP アドレス マップ

025Ah [15:0] R es_sdata_byte3 [63:48] 0–65535 0–65535

025Bh [15:0] R es_sdata_byte2 [47:32] 0–65535 0–65535

025Ch [15:0] R es_sdata_byte1 [31:16] 0–65535 0–65535

025Dh [15:0] R es_sdata_byte0 [15:0] 0–65535 0–65535

025Eh [15:0] R RX_PRBS_ERR_CNT [15:0] 0–65535 0–65535

025Fh [15:0] R RX_PRBS_ERR_CNT [31:16] 0–65535 0–65535

0263h [15:0] R TXGBOX_FIFO_LATENCY [15:0] 0–65535 0–65535

0269h [15:0] R RXGBOX_FIFO_LATENCY [15:0] 0–65535 0–65535

0283h [15:0] R es_sdata_byte5 [95:80] 0–65535 0–65535

0284h [15:0] R es_sdata_byte6 [111:96] 0–65535 0–65535

0285h [15:0] R es_sdata_byte7 [127:112] 0–65535 0–65535

0286h [15:0] R es_sdata_byte8 [143:128] 0–65535 0–65535

0287h [15:0] R es_sdata_byte9 [159:144] 0–65535 0–65535

0288h [15:0] R es_rdata_byte5 [95:80] 0–65535 0–65535

0289h [15:0] R es_rdata_byte6 [111:96] 0–65535 0–65535

028Ah [15:0] R es_rdata_byte7 [127:112] 0–65535 0–65535

028Bh [15:0] R es_rdata_byte8 [143:128] 0–65535 0–65535

028Ch [15:0] R es_rdata_byte9 [159:144] 0–65535 0–65535

表 B-2: GTYE3_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト 属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 379UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 380: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C

UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

GTYE4_COMMON プリ ミテ ィブの DRP アドレス マップ

表 C-1 に、 GTYE4_COMMON プリ ミ ティブの DRP マップをアドレス順に並べて示します。

注記: 予約済みビッ トは変更しないでください。 明記されていない属性は、 UltraScale FPGAs Transceivers Wizard に

よって自動的に設定されます。 これらの属性は、 異なる値を明示的に要求する場合を除いてデフォルトのまま と し

ます。

表 C-1: GTYE4_COMMON プリ ミテ ィブの DRP マップ

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

0008h [15:0] R/W QPLL0_CFG0 [15:0] 0–65535 0–65535

0009h [15:0] R/W COMMON_CFG0 [15:0] 0–65535 0–65535

000Bh [15:0] R/W RSVD_ATTR0 [15:0] 0–65535 0–65535

000Dh [15:0] R/W PPF0_CFG [15:0] 0–65535 0–65535

000Eh [0] R/W QPLL0CLKOUT_RATE [0] HALF 0

000Eh [0] R/W QPLL0CLKOUT_RATE [0] FULL 1

0010h [15:0] R/W QPLL0_CFG1 [15:0] 0–65535 0–65535

0011h [15:0] R/W QPLL0_CFG2 [15:0] 0–65535 0–65535

0012h [15:0] R/W QPLL0_LOCK_CFG [15:0] 0–65535 0–65535

0013h [15:0] R/W QPLL0_INIT_CFG0 [15:0] 0–65535 0–65535

0014h [15:8] R/W QPLL0_INIT_CFG1 [7:0] 0–255 0–255

UltraScale アーキテクチャ GTY ト ランシーバー 380UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 381: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

0014h [7:0] R/W QPLL0_FBDIV [7:0]

16 14

17 15

18 16

19 17

20 18

21 19

22 20

23 21

24 22

表 C-1: GTYE4_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 381UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 382: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

0014h [7:0] R/W QPLL0_FBDIV [7:0]

25 23

26 24

27 25

28 26

29 27

30 28

31 29

32 30

33 31

34 32

35 33

36 34

37 35

38 36

39 37

40 38

41 39

42 40

43 41

44 42

45 43

46 44

47 45

48 46

49 47

50 48

51 49

52 50

53 51

54 52

55 53

56 54

57 55

58 56

表 C-1: GTYE4_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 382UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 383: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

0014h [7:0] R/W QPLL0_FBDIV [7:0]

59 57

60 58

61 59

62 60

63 61

64 62

65 63

66 64

67 65

68 66

69 67

70 68

71 69

72 70

73 71

74 72

75 73

76 74

77 75

78 76

79 77

80 78

81 79

82 80

83 81

84 82

85 83

86 84

87 85

88 86

89 87

90 88

91 89

92 90

表 C-1: GTYE4_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 383UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 384: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

0014h [7:0] R/W QPLL0_FBDIV [7:0]

93 91

94 92

95 93

96 94

97 95

98 96

99 97

100 98

101 99

102 100

103 101

104 102

105 103

106 104

107 105

108 106

109 107

110 108

111 109

112 110

113 111

114 112

115 113

116 114

117 115

118 116

119 117

120 118

121 119

122 120

123 121

124 122

125 123

126 124

表 C-1: GTYE4_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 384UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 385: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

0014h [7:0] R/W QPLL0_FBDIV [7:0]

127 125

128 126

129 127

130 128

131 129

132 130

133 131

134 132

135 133

136 134

137 135

138 136

139 137

140 138

141 139

142 140

143 141

144 142

145 143

146 144

147 145

148 146

149 147

150 148

151 149

152 150

153 151

154 152

155 153

156 154

157 155

158 156

159 157

160 158

表 C-1: GTYE4_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 385UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 386: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

0015h [15:0] R/W QPLL0_CFG3 [15:0] 0–65535 0–65535

0016h [9:0] R/W QPLL0_CP [9:0] 0–1023 0–1023

0018h [11:7] R/W QPLL0_REFCLK_DIV [4:0]

2 0

3 1

4 2

5 3

6 5

8 6

10 7

12 13

16 14

20 15

1 16

0018h [5:3] R/W QPLL0_IPS_REFCLK_SEL [2:0] 0–7 0–7

0018h [0] R/W QPLL0_IPS_EN [0] 0–1 0–1

0019h [9:0] R/W QPLL0_LPF [9:0] 0–1023 0–1023

001Ah [15:0] R/W QPLL0_CFG1_G3 [15:0] 0–65535 0–65535

001Bh [15:0] R/W QPLL0_CFG2_G3 [15:0] 0–65535 0–65535

001Ch [9:0] R/W QPLL0_LPF_G3 [9:0] 0–1023 0–1023

001Dh [15:0] R/W QPLL0_LOCK_CFG_G3 [15:0] 0–65535 0–65535

001Eh [15:0] R/W RSVD_ATTR0 [15:0] 0–65535 0–65535

001Fh [15:8] R/W QPLL0_FBDIV_G3 [7:0]

16 14

17 15

18 16

19 17

20 18

21 19

表 C-1: GTYE4_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 386UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 387: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

001Fh [15:8] R/W QPLL0_FBDIV_G3 [7:0]

22 20

23 21

24 22

25 23

26 24

27 25

28 26

29 27

30 28

31 29

32 30

33 31

34 32

35 33

36 34

37 35

38 36

39 37

40 38

41 39

42 40

43 41

44 42

45 43

46 44

47 45

48 46

49 47

50 48

51 49

52 50

53 51

54 52

55 53

表 C-1: GTYE4_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 387UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 388: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

001Fh [15:8] R/W QPLL0_FBDIV_G3 [7:0]

56 54

57 55

58 56

59 57

60 58

61 59

62 60

63 61

64 62

65 63

66 64

67 65

68 66

69 67

70 68

71 69

72 70

73 71

74 72

75 73

76 74

77 75

78 76

79 77

80 78

81 79

82 80

83 81

84 82

85 83

86 84

87 85

88 86

89 87

表 C-1: GTYE4_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 388UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 389: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

001Fh [15:8] R/W QPLL0_FBDIV_G3 [7:0]

90 88

91 89

92 90

93 91

94 92

95 93

96 94

97 95

98 96

99 97

100 98

101 99

102 100

103 101

104 102

105 103

106 104

107 105

108 106

109 107

110 108

111 109

112 110

113 111

114 112

115 113

116 114

117 115

118 116

119 117

120 118

121 119

122 120

123 121

表 C-1: GTYE4_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 389UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 390: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

001Fh [15:8] R/W QPLL0_FBDIV_G3 [7:0]

124 122

125 123

126 124

127 125

128 126

129 127

130 128

131 129

132 130

133 131

134 132

135 133

136 134

137 135

138 136

139 137

140 138

141 139

142 140

143 141

144 142

145 143

146 144

147 145

148 146

149 147

150 148

151 149

152 150

153 151

154 152

155 153

156 154

157 155

表 C-1: GTYE4_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 390UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 391: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

001Fh [15:8] R/W QPLL0_FBDIV_G3 [7:0]

158 156

159 157

160 158

001Fh [3] R/W QPLL0_RATE_SW_USE_DRP [0] 0–1 0–1

001Fh [2] R/W QPLL0_PCIE_EN [0] 0–1 0–1

001Fh [1:0] R/W RXRECCLKOUT0_SEL [1:0] 0–3 0–3

0020h [15:0] R/W QPLL0_SDM_CFG0 [15:0] 0–65535 0–65535

0021h [15:0] R/W QPLL0_SDM_CFG1 [15:0] 0–65535 0–65535

0022h [15:0] R/W SDM0INITSEED0_0 [15:0] 0–65535 0–65535

0023h [8:0] R/W SDM0INITSEED0_1 [8:0] 0–511 0–511

0024h [15:0] R/W QPLL0_SDM_CFG2 [15:0] 0–65535 0–65535

0025h [9:0] R/W QPLL0_CP_G3 [9:0] 0–1023 0–1023

002Dh [15:0] R/W RSVD_ATTR1 [15:0] 0–65535 0–65535

0030h [15:0] R/W QPLL0_CFG4 [15:0] 0–65535 0–65535

0048h [15:0] R/W UB_CFG0 [15:0] 0–65535 0–65535

004Ch [15:0] R/W UB_CFG1 [15:0] 0–65535 0–65535

0050h [15:0] R/W UB_CFG2 [15:0] 0–65535 0–65535

0054h [15:0] R/W UB_CFG3 [15:0] 0–65535 0–65535

0058h [15:0] R/W UB_CFG4 [15:0] 0–65535 0–65535

005Ch [15:0] R/W UB_CFG5 [15:0] 0–65535 0–65535

005Fh [15:0] R/W UB_CFG6 [15:0] 0–65535 0–65535

0081h [15:0] R/W BIAS_CFG0 [15:0] 0–65535 0–65535

0082h [15:0] R/W BIAS_CFG1 [15:0] 0–65535 0–65535

0083h [15:0] R/W BIAS_CFG2 [15:0] 0–65535 0–65535

0084h [15:0] R/W BIAS_CFG3 [15:0] 0–65535 0–65535

0086h [15:0] R/W BIAS_CFG4 [15:0] 0–65535 0–65535

0088h [15:0] R/W QPLL1_CFG0 [15:0] 0–65535 0–65535

0089h [15:0] R/W COMMON_CFG1 [15:0] 0–65535 0–65535

008Bh [15:0] R/W POR_CFG [15:0] 0–65535 0–65535

008Dh [15:0] R/W PPF1_CFG [15:0] 0–65535 0–65535

008Eh [0] R/W QPLL1CLKOUT_RATE [0]HALF 0

FULL 1

008Fh [15:0] R/W BIAS_CFG_RSVD [15:0] 0–65535 0–65535

0090h [15:0] R/W QPLL1_CFG1 [15:0] 0–65535 0–65535

表 C-1: GTYE4_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 391UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 392: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

0091h [15:0] R/W QPLL1_CFG2 [15:0] 0–65535 0–65535

0092h [15:0] R/W QPLL1_LOCK_CFG [15:0] 0–65535 0–65535

0093h [15:0] R/W QPLL1_INIT_CFG0 [15:0] 0–65535 0–65535

0094h [15:8] R/W QPLL1_INIT_CFG1 [7:0] 0–255 0–255

0094h [7:0] R/W QPLL1_FBDIV [7:0]

16 14

17 15

18 16

19 17

20 18

21 19

22 20

表 C-1: GTYE4_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 392UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 393: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

0094h [7:0] R/W QPLL1_FBDIV [7:0]

23 21

24 22

25 23

26 24

27 25

28 26

29 27

30 28

31 29

32 30

33 31

34 32

35 33

36 34

37 35

38 36

39 37

40 38

41 39

42 40

43 41

44 42

45 43

46 44

47 45

48 46

49 47

50 48

51 49

52 50

53 51

54 52

55 53

56 54

表 C-1: GTYE4_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 393UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 394: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

0094h [7:0] R/W QPLL1_FBDIV [7:0]

57 55

58 56

59 57

60 58

61 59

62 60

63 61

64 62

65 63

66 64

67 65

68 66

69 67

70 68

71 69

72 70

73 71

74 72

75 73

76 74

77 75

78 76

79 77

80 78

81 79

82 80

83 81

84 82

85 83

86 84

87 85

88 86

89 87

90 88

表 C-1: GTYE4_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 394UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 395: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

0094h [7:0] R/W QPLL1_FBDIV [7:0]

91 89

92 90

93 91

94 92

95 93

96 94

97 95

98 96

99 97

100 98

101 99

102 100

103 101

104 102

105 103

106 104

107 105

108 106

109 107

110 108

111 109

112 110

113 111

114 112

115 113

116 114

117 115

118 116

119 117

120 118

121 119

122 120

123 121

124 122

表 C-1: GTYE4_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 395UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 396: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

0094h [7:0] R/W QPLL1_FBDIV [7:0]

125 123

126 124

127 125

128 126

129 127

130 128

131 129

132 130

133 131

134 132

135 133

136 134

137 135

138 136

139 137

140 138

141 139

142 140

143 141

144 142

145 143

146 144

147 145

148 146

149 147

150 148

151 149

152 150

153 151

154 152

155 153

156 154

157 155

158 156

表 C-1: GTYE4_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 396UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 397: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

0094h [7:0] R/W QPLL1_FBDIV [7:0]159 157

160 158

0095h [15:0] R/W QPLL1_CFG3 [15:0] 0–65535 0–65535

0096h [9:0] R/W QPLL1_CP [9:0] 0–1023 0–1023

0098h [11:7] R/W QPLL1_REFCLK_DIV [4:0]

2 0

3 1

4 2

5 3

6 5

8 6

10 7

12 13

16 14

20 15

1 16

0098h [5:3] R/W QPLL1_IPS_REFCLK_SEL [2:0] 0–7 0–7

0098h [12] R/W SARC_EN [0] 0–1 0–1

0098h [6] R/W QPLL1_IPS_EN [0] 0–1 0–1

0098h [13] R/W SARC_SEL [0] 0–1 0–1

0099h [15:0] R/W QPLL1_LPF [9:0] 0–1024 0–1024

009Ah [15:0] R/W QPLL1_CFG1_G3 [15:0] 0–65535 0–65535

009Bh [15:0] R/W QPLL1_CFG2_G3 [15:0] 0–65535 0–65535

009Ch [9:0] R/W QPLL1_LPF_G3 [9:0] 0–1023 0–1023

009Dh [15:0] R/W QPLL1_LOCK_CFG_G3 [15:0] 0–65535 0–65535

009Eh [15:0] R/W RSVD_ATTR2 [15:0] 0–65535 0–65535

009Fh [15:8] R/W QPLL1_FBDIV_G3 [7:0]16 14

17 15

表 C-1: GTYE4_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 397UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 398: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

009Fh [15:8] R/W QPLL1_FBDIV_G3 [7:0]

18 16

19 17

20 18

21 19

22 20

23 21

24 22

25 23

26 24

27 25

28 26

29 27

30 28

31 29

32 30

33 31

34 32

35 33

36 34

37 35

38 36

39 37

40 38

41 39

42 40

43 41

44 42

45 43

46 44

47 45

48 46

49 47

50 48

51 49

表 C-1: GTYE4_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 398UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 399: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

009Fh [15:8] R/W QPLL1_FBDIV_G3 [7:0]

52 50

53 51

54 52

55 53

56 54

57 55

58 56

59 57

60 58

61 59

62 60

63 61

64 62

65 63

66 64

67 65

68 66

69 67

70 68

71 69

72 70

73 71

74 72

75 73

76 74

77 75

78 76

79 77

80 78

81 79

82 80

83 81

84 82

85 83

表 C-1: GTYE4_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 399UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 400: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

009Fh [15:8] R/W QPLL1_FBDIV_G3 [7:0]

86 84

87 85

88 86

89 87

90 88

91 89

92 90

93 91

94 92

95 93

96 94

97 95

98 96

99 97

100 98

101 99

102 100

103 101

104 102

105 103

106 104

107 105

108 106

109 107

110 108

111 109

112 110

113 111

114 112

115 113

116 114

117 115

118 116

119 117

表 C-1: GTYE4_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 400UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 401: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

009Fh [15:8] R/W QPLL1_FBDIV_G3 [7:0]

120 118

121 119

122 120

123 121

124 122

125 123

126 124

127 125

128 126

129 127

130 128

131 129

132 130

133 131

134 132

135 133

136 134

137 135

138 136

139 137

140 138

141 139

142 140

143 141

144 142

145 143

146 144

147 145

148 146

149 147

150 148

151 149

152 150

153 151

表 C-1: GTYE4_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

UltraScale アーキテクチャ GTY ト ランシーバー 401UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 402: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

GTYE4_CHANNEL プリ ミテ ィブの DRP アドレス マップ

表 C-2 に、 GTYE4_CHANNEL プリ ミ ティブの DRP マップをアドレス順に並べて示します。

注記: 予約済みビッ トは変更しないでください。 明記されていない属性は、 UltraScale FPGAs Transceivers Wizard によっ

て自動的に設定されます。 これらの属性は、 異なる値を明示的に要求する場合を除いてデフォルトのままと します。

009Fh [15:8] R/W QPLL1_FBDIV_G3 [7:0]

154 152

155 153

156 154

157 155

158 156

159 157

160 158

009Fh [3] R/W QPLL1_RATE_SW_USE_DRP [0] 0–1 0–1

009Fh [2] R/W QPLL1_PCI_EN [0] 0–1 0–1

009Fh [1:0] R/W RXRECCLKOUT1_SEL [1:0] 0–3 0–3

00A0h [15:0] R/W QPLL1_SDM_CFG0 [15:0] 0–65535 0–65535

00A1h [15:0] R/W QPLL1_SDM_CFG1 [15:0] 0–65535 0–65535

00A2h [15:0] R/W SDM1INITSEED0_0 [15:0] 0–65535 0–65535

00A3h [8:0] R/W SDM1INITSEED0_1 [8:0] 0–511 0–511

00A4h [15:0] R/W QPLL1_SDM_CFG2 [15:0] 0–65535 0–65535

00A5h [9:0] R/W QPLL1_CP_G3 [9:0] 0–1023 0–1023

00ADh [15:0] R/W RSVD_ATTR3 [15:0] 0–65535 0–65535

00B0h [15:0] R/W QPLL1_CFG4 [15:0] 0–65535 0–65535

表 C-1: GTYE4_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコードDRP の

エンコード

表 C-2: GTYE4_CHANNEL プリ ミテ ィブの DRP マップ

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト

属性のエンコード

DRP のエンコード

0002h [0] R/W CDR_SWAP_MODE_EN [0] 0–1 0–1

0003h [15:11] R/W RXBUFRESET_TIME [4:0] 0–31 0–31

0003h [10] R/W CFOK_PWRSVE_EN [0] 0–1 0–1

0003h [9] R/W EYE_SCAN_SWAP_EN [0] 0–1 0–1

UltraScale アーキテクチャ GTY ト ランシーバー 402UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 403: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

0003h [8:5] R/W RX_DATA_WIDTH [3:0]16 2

20 3

0003h [8:5] R/W RX_DATA_WIDTH [3:0]

32 4

40 5

64 6

80 7

128 8

160 9

0003h [4:0] R/W RXCDRFREQRESET_TIME [4:0] 0–31 0–31

0004h [15:11] R/W RXCDRPHRESET_TIME [4:0] 0–31 0–31

0004h [10:8] R/W PCI3_RX_ELECIDLE_H2L_DISABLE [2:0] 0–7 0–7

0004h [7:1] R/W RXDFELPMRESET_TIME [6:0] 0–127 0–127

0004h [0] R/W RX_FABINT_USRCLK_FLOP [0] 0–1 0–1

0005h [15:11] R/W RXPMARESET_TIME [4:0] 0–31 0–31

0005h [10] R/W PCI3_RX_ELECIDLE_LP4_DISABLE [0] 0–1 0–1

0005h [8] R/W PCI3_RX_FIFO_DISABLE [0] 0–1 0–1

0005h [9] R/W PCI3_RX_ELECIDLE_EI2_ENABLE [0] 0–1 0–1

0005h [7:3] R/W RXPCSRESET_TIME [4:0] 0–31 0–31

0005h [2:0] R/W RXELECIDLE_CFG [2:0]

SIGCFG_1 0

SIGCFG_2 1

SIGCFG_3 2

SIGCFG_4 3

SIGCFG_6 4

SIGCFG_8 5

SIGCFG_12 6

SIGCFG_16 7

0006h [15:0] R/W RXDFE_HB_CFG1 [15:0] 0–65535 0–65535

0009h [15:11] R/W TXPMARESET_TIME [4:0] 0–31 0–31

0009h [7:3] R/W TXPCSRESET_TIME [4:0] 0–31 0–31

0009h [10] R/W RX_PMA_POWER_SAVE [0] 0–1 0–1

0009h [9] R/W TX_PMA_POWER_SAVE [0] 0–1 0–1

0009h [8] R/W SRSTMODE [0] 0–1 0–1

000Ah [3] R/W TX_FIFO_BYP_EN [0] 0–1 0–1

000Bh [4] R/W TX_FABINT_USRCLK_FLOP [0] 0–1 0–1

表 C-2: GTYE4_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト

属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 403UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 404: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

000Bh [9:8] R/W RXPMACLK_SEL [1:0]

CROSSING 2

DATA 0

EYESCAN 1

000Ch [11:10] R/W TX_PROGCLK_SEL [1:0]

POSTPI 0

PREPI 1

CPLL 2

000Ch [9:5] R/W RXISCANRESET_TIME [4:0] 0–31 0–31

000Dh [7:2] R/W TXAMONSEL [5:0] 0–63 0–63

000Dh [1:0] R/W BLOCKSEL [1:0] 0–3 0–3

000Eh [15:0] R/W RXCDR_CFG0 [15:0] 0–65535 0–65535

000Fh [15:0] R/W RXCDR_CFG1 [15:0] 0–65535 0–65535

0010h [15:0] R/W RXCDR_CFG2 [15:0] 0–65535 0–65535

0011h [15:0] R/W RXCDR_CFG3 [15:0] 0–65535 0–65535

0011h [7] R/W SELCKOK [0] 0–1 0–1

0012h [15:0] R/W RXCDR_CFG4 [15:0] 0–65535 0–65535

0013h [15:0] R/W CPLL_LOCK_CFG [15:0] 0–65535 0–65535

0014h [15:12] R/W CHAN_BOND_MAX_SKEW [3:0] 1–14 1–14

0014h [11:10] R/W CHAN_BOND_SEQ_LEN [1:0]

1 0

2 1

3 2

4 3

0014h [9:0] R/W CHAN_BOND_SEQ_1_1 [9:0] 0–1023 0–1023

0015h [15:10] R/W PCI3_RX_ELECIDLE_HI_COUNT [5:0] 0–63 0–63

0015h [9:0] R/W CHAN_BOND_SEQ_1_3 [9:0] 0–1023 0–1023

0016h [15:10] R/W PCI3_RX_ELECIDLE_H2L_COUNT [5:0] 0–63 0–63

0016h [9:0] R/W CHAN_BOND_SEQ_1_4 [9:0] 0–1023 0–1023

0017h [15:10] R/W RX_BUFFER_CFG [5:0] 0–63 0–63

0017h [9] R/W RX_DEFER_RESET_BUF_EN [0]FALSE 0

TRUE 1

0017h [8:7] R/W OOBDIVCTL [1:0] 0–3 0–3

0017h [6:5] R/W PCI3_AUTO_REALIGN [1:0]

FRST_SMPL 0

OVR_8_BLK 1

OVR_64_BLK 2

OVR_1K_BLK 3

表 C-2: GTYE4_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト

属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 404UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 405: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

0017h [4] R/W PCI3_PIPE_RX_ELECIDLE [0] 0–1 0–1

0018h [15:12] R/W CHAN_BOND_SEQ_1_ENABLE [3:0] 0–15 0–15

0018h [11:10] R/W PCI3_RX_ASYNC_EBUF_BYPASS [1:0] 0–3 0–3

0018h [9:0] R/W CHAN_BOND_SEQ_2_1 [9:0] 0–1023 0–1023

0019h [9:0] R/W CHAN_BOND_SEQ_2_2 [9:0] 0–1023 0–1023

001Ah [9:0] R/W CHAN_BOND_SEQ_2_3 [9:0] 0–1023 0–1023

001Bh [9:0] R/W CHAN_BOND_SEQ_2_4 [9:0] 0–1023 0–1023

001Ch [15:12] R/W CHAN_BOND_SEQ_2_ENABLE [3:0] 0–15 0–15

001Ch [11] R/W CHAN_BOND_SEQ_2_USE [0]FALSE 0

TRUE 1

001Ch [6] R/W CLK_COR_KEEP_IDLE [0]FALSE 0

TRUE 1

001Ch [5:0] R/W CLK_COR_MIN_LAT [5:0] 3–63 3–63

001Dh [15:10] R/W CLK_COR_MAX_LAT [5:0] 3–60 3–60

001Dh [9] R/W CLK_COR_PRECEDENCE [0]FALSE 0

TRUE 1

001Dh [8:4] R/W CLK_COR_REPEAT_WAIT [4:0] 0–31 0–31

001Dh [3:2] R/W CLK_COR_SEQ_LEN [1:0]

1 0

2 1

3 2

4 3

001Dh [0] R/W CHAN_BOND_KEEP_ALIGN [0]FALSE 0

TRUE 1

001Eh [9:0] R/W CLK_COR_SEQ_1_1 [9:0] 0–1023 0–1023

001Fh [9:0] R/W CLK_COR_SEQ_1_2 [9:0] 0–1023 0–1023

0020h [9:0] R/W CLK_COR_SEQ_1_3 [9:0] 0–1023 0–1023

0021h [9:0] R/W CLK_COR_SEQ_1_4 [9:0] 0–1023 0–1023

0022h [15:12] R/W CLK_COR_SEQ_1_ENABLE [3:0] 0–15 0–15

0022h [9:0] R/W CLK_COR_SEQ_2_1 [9:0] 0–1023 0–1023

0023h [9:0] R/W CLK_COR_SEQ_2_2 [9:0] 0–1023 0–1023

0024h [15:12] R/W CLK_COR_SEQ_2_ENABLE [3:0] 0–15 0–15

0024h [11] R/W CLK_COR_SEQ_2_USE [0]FALSE 0

TRUE 1

表 C-2: GTYE4_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト

属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 405UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 406: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

0024h [10] R/W CLK_CORRECT_USE [0]FALSE 0

TRUE 1

0024h [9:0] R/W CLK_COR_SEQ_2_3 [9:0] 0–1023 0–1023

0025h [9:0] R/W CLK_COR_SEQ_2_4 [9:0] 0–1023 0–1023

0026h [15:0] R/W RXDFE_HE_CFG0 [15:0] 0–65535 0–65535

0027h [15:13] R/W ALIGN_COMMA_WORD [2:0]

1 1

2 2

4 4

0027h [12] R/W ALIGN_COMMA_DOUBLE [0]FALSE 0

TRUE 1

0027h [11] R/W SHOW_REALIGN_COMMA [0]FALSE 0

TRUE 1

0027h [9:0] R/W ALIGN_COMMA_ENABLE [9:0] 0–1023 0–1023

0028h [15:8] R/W CPLL_FBDIV [7:0]

2 0

3 1

4 2

5 3

1 16

0028h [7] R/W CPLL_FBDIV_45 [0]4 0

5 1

0029h [15:0] R/W RXCDR_LOCK_CFG0 [15:0] 0–65535 0–65535

002Ah [15:11] R/W CPLL_REFCLK_DIV [4:0]2 0

1 16

002Ah [10] R/W CPLL_IPS_EN [0] 0–1 0–1

002Ah [9:7] R/W CPLL_IPS_REFCLK_SEL [2:0] 0–7 0–7

002Ah [6:5] R/W SATA_CPLL_CFG [1:0]

VCO_

3000MHZ0

VCO_

1500MHZ1

VCO_

750MHZ2

002Ah [4:0] R/W A_TXDIFFCTRL [4:0] 0–31 0–31

002Bh [15:0] R/W CPLL_INIT_CFG0 [15:0] 0–65535 0–65535

002Ch [15] R/W DEC_PCOMMA_DETECT [0]FALSE 0

TRUE 1

表 C-2: GTYE4_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト

属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 406UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 407: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

002Ch [11:7] R/W TX_DIVRESET_TIME [4:0] 0–31 0–31

002Ch [6:2] R/W RX_DIVRESET_TIME [4:0] 0–31 0–31

002Ch [1] R/W A_TXPROGDIVRESET [0] 0–1 0–1

002Ch [0] R/W A_RXPROGDIVRESET [0] 0–1 0–1

002Dh [15:0] R/W RXCDR_LOCK_CFG1 [15:0] 0–65535 0–65535

002Eh [15:0] R/W RXCFOK_CFG1 [15:0] 0–65535 0–65535

002Fh [15:0] R/W RXDFE_H2_CFG0 [15:0] 0–65535 0–65535

0030h [15:0] R/W RXDFE_H2_CFG1 [15:0] 0–65535 0–65535

0031h [15:0] R/W RXCFOK_CFG2 [15:0] 0–65535 0–65535

0032h [15:0] R/W RXLPM_CFG [15:0] 0–65535 0–65535

0033h [15:0] R/W RXLPM_KH_CFG0 [15:0] 0–65535 0–65535

0034h [15:0] R/W RXLPM_KH_CFG1 [15:0] 0–65535 0–65535

0035h [15:0] R/W RXDFELPM_KL_CFG0 [15:0] 0–65535 0–65535

0036h [15:0] R/W RXDFELPM_KL_CFG1 [15:0] 0–65535 0–65535

0037h [15:0] R/W RXLPM_OS_CFG0 [15:0] 0–65535 0–65535

0038h [15:0] R/W RXLPM_OS_CFG1 [15:0] 0–65535 0–65535

0039h [15:0] R/W RXLPM_GC_CFG [15:0] 0–65535 0–65535

003Ah [15:8] R/W DMONITOR_CFG1 [7:0] 0–255 0–255

003Ch [15:10] R/W ES_CONTROL [5:0] 0–63 0–63

003Ch [4:0] R/W ES_PRESCALE [4:0] 0–31 0–31

003Ch [8] R/W ES_EYE_SCAN_EN [0]FALSE 0

TRUE 1

003Ch [9] R/W ES_ERRDET_EN [0]FALSE 0

TRUE 1

003Dh [15:0] R/W RXDFE_GC_CFG2 [15:0] 0–65535 0–65535

003Eh [15:0] R/W TXDLY_LCFG [15:0] 0–65535 0–65535

003Fh [15:0] R/W ES_QUALIFIER0 [15:0] 0–65535 0–65535

0040h [15:0] R/W ES_QUALIFIER1 [15:0] 0–65535 0–65535

0041h [15:0] R/W ES_QUALIFIER2 [15:0] 0–65535 0–65535

0042h [15:0] R/W ES_QUALIFIER3 [15:0] 0–65535 0–65535

0043h [15:0] R/W ES_QUALIFIER4 [15:0] 0–65535 0–65535

0044h [15:0] R/W ES_QUAL_MASK0 [15:0] 0–65535 0–65535

0045h [15:0] R/W ES_QUAL_MASK1 [15:0] 0–65535 0–65535

0046h [15:0] R/W ES_QUAL_MASK2 [15:0] 0–65535 0–65535

表 C-2: GTYE4_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト

属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 407UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 408: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

0047h [15:0] R/W ES_QUAL_MASK3 [15:0] 0–65535 0–65535

0048h [15:0] R/W ES_QUAL_MASK4 [15:0] 0–65535 0–65535

0049h [15:0] R/W ES_SDATA_MASK0 [15:0] 0–65535 0–65535

004Ah [15:0] R/W ES_SDATA_MASK1 [15:0] 0–65535 0–65535

004Bh [15:0] R/W ES_SDATA_MASK2 [15:0] 0–65535 0–65535

004Ch [15:0] R/W ES_SDATA_MASK3 [15:0] 0–65535 0–65535

004Dh [15:0] R/W ES_SDATA_MASK4 [15:0] 0–65535 0–65535

004Eh [4] R/W FTS_LANE_DESKEW_EN [0]FALSE 0

TRUE 1

004Eh [3:0] R/W FTS_DESKEW_SEQ_ENABLE [3:0] 0–15 0–15

004Fh [15:4] R/W ES_HORZ_OFFSET [11:0] 0–4095 0–4095

004Fh [3:0] R/W FTS_LANE_DESKEW_CFG [3:0] 0–15 0–15

0050h [15:0] R/W RXDFE_HC_CFG1 [15:0] 0–65535 0–65535

0051h [9:0] R/W ES_PMA_CFG [9:0] 0–1023 0–1023

0052h [4:2] R/W RX_DFE_AGC_CFG1 [2:0] 0–7 0–7

0053h [15:0] R/W TXFE_CFG2 [15:0] 0–65535 0–65535

0054h [15:0] R/W TXFE_CFG3 [15:0] 0–65535 0–65535

0055h [14] R/W PCIE_64B_DYN_CLKSW_DIS [0]FALSE 0

TRUE 1

0055h [13] R/W LOCAL_MASTER [0] 0–1 0–1

0055h [12] R/W PCS_PCIE_EN [0]FALSE 0

TRUE 1

0055h [11] R/W PCIE_GEN4_64BIT_INT_EN [0]FALSE 0

TRUE 1

0055h [10] R/W ALIGN_MCOMMA_DET [0]FALSE 0

TRUE 1

0055h [9:0] R/W ALIGN_MCOMMA_VALUE [9:0] 0–1023 0–1023

0056h [10] R/W ALIGN_PCOMMA_DET [0]FALSE 0

TRUE 1

0056h [9:0] R/W ALIGN_PCOMMA_VALUE [9:0] 0–1023 0–1023

表 C-2: GTYE4_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト

属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 408UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 409: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

0057h [15:0] R/W TX_PROGDIV_CFG [15:0]

0 32768

10 57440

100 57455

128 24654

132 57862

16 57410

16.5 57880

20 57442

32 57414

33 57856

4 57432

40 57415

5 57464

64 57422

66 57858

8 57408

80 57423

0058h [15:0] R/W RXDFE_OS_CFG0 [15:0] 0–65535 0–65535

0059h [15:0] R/W RXPHDLY_CFG [15:0] 0–65535 0–65535

005Ah [15:0] R/W RXDFE_OS_CFG1 [15:0] 0–65535 0–65535

005Bh [15:0] R/W RXDLY_CFG [15:0] 0–65535 0–65535

005Ch [15:0] R/W RXDLY_LCFG [15:0] 0–65535 0–65535

005Dh [15:0] R/W RXDFE_HF_CFG0 [15:0] 0–65535 0–65535

005Eh [15:0] R/W RXDFE_HD_CFG0 [15:0] 0–65535 0–65535

005Fh [15:0] R/W RX_BIAS_CFG0 [15:0] 0–65535 0–65535

0060h [15:0] R/W PCS_RSVD0 [15:0] 0–65535 0–65535

0060h [8:4] R/W PCIE_GEN4_NEW_EIEOS_DET_EN [4:0] 0–31 0–31

0060h [1:0] R/W USB3_RXTERMINATION_CTRL [1:0] 0–3 0–3

0061h [15:11] R/W RXPH_MONITOR_SEL [4:0] 0–31 0–31

0061h [10] R/W RX_CM_BUF_PD [0] 0–1 0–1

0061h [9:6] R/W RX_CM_BUF_CFG [3:0] 0–15 0–15

0061h [5:2] R/W RX_CM_TRIM [3:0] 0–15 0–15

0061h [1:0] R/W RX_CM_SEL [1:0] 0–3 0–3

0062h [15] R/W RX_I2V_FILTER_EN [0] 0–1 0–1

表 C-2: GTYE4_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト

属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 409UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 410: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

0062h [14] R/W RX_SUM_DFETAPREP_EN [0] 0–1 0–1

0062h [13] R/W RX_SUM_VCM_OVWR [0] 0–1 0–1

0062h [12:9] R/W RX_SUM_IREF_TUNE [3:0] 0–15 0–15

0062h [8:7] R/W EYESCAN_VP_RANGE [1:0] 0–3 0–3

0062h [6:3] R/W RX_SUM_VCMTUNE [3:0] 0–15 0–15

0062h [2:0] R/W RX_SUM_VREF_TUNE [2:0] 0–7 0–7

0063h [15] R/W CBCC_DATA_SOURCE_SEL [0]DECODED 1

ENCODED 0

0063h [14] R/W OOB_PWRUP [0] 0–1 0–1

0063h [13:5] R/W RXOOB_CFG [8:0] 0–511 0–511

0063h [2:0] R/W RXOUT_DIV [2:0]

1 0

2 1

4 2

8 3

16 4

32 5

表 C-2: GTYE4_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト

属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 410UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 411: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

0064h [15:11] R/W RX_SIG_VALID_DLY [4:0]

1 0

2 1

3 2

4 3

5 4

6 5

7 6

8 7

9 8

10 9

11 10

12 11

13 12

14 13

15 14

16 15

17 16

18 17

19 18

20 19

21 20

22 21

23 22

24 23

25 24

26 25

27 26

28 27

29 28

30 29

31 30

32 31

表 C-2: GTYE4_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト

属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 411UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 412: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

0064h [10:9] R/W RXSLIDE_MODE [1:0]

OFF 0

AUTO 1

PCS 2

PMA 3

0064h [8] R/W RXPRBS_ERR_LOOPBACK [0] 0–1 0–1

0064h [7:4] R/W RXSLIDE_AUTO_WAIT [3:0] 1–15 1–15

0064h [3] R/W RXBUF_EN [0]FALSE 0

TRUE 1

0064h [2:1] R/W RX_XCLK_SEL [1:0]

RXDES 0

RXUSR 1

RXPMA 2

0064h [0] R/W RXGEARBOX_EN [0]FALSE 0

TRUE 1

0065h [15:10] R/W RXBUF_THRESH_OVFLW [5:0] 0–63 0–63

0065h [9:0] R/W DMONITOR_CFG0 [9:0] 0–1023 0–1023

0066h [15] R/W RXBUF_THRESH_OVRD [0]FALSE 0

TRUE 1

0066h [14] R/W RXBUF_RESET_ON_COMMAALIGN [0]FALSE 0

TRUE 1

0066h [13] R/W RXBUF_RESET_ON_RATE_CHANGE [0]FALSE 0

TRUE 1

0066h [12] R/W RXBUF_RESET_ON_CB_CHANGE [0]FALSE 0

TRUE 1

0066h [11:6] R/W RXBUF_THRESH_UNDFLW [5:0] 0–63 0–63

0066h [5] R/W RX_CLKMUX_EN [0] 0–1 0–1

0066h [4] R/W RX_DISPERR_SEQ_MATCH [0]FALSE 0

TRUE 1

0066h [3:2] R/W RX_WIDEMODE_CDR [1:0] 0–3 0–3

0066h [1:0] R/W RX_INT_DATAWIDTH [1:0] 0–2 0–2

0067h [15:12] R/W RXBUF_EIDLE_HI_CNT [3:0] 0–15 0–15

0067h [11] R/W RXCDR_HOLD_DURING_EIDLE [0] 0–1 0–1

0067h [10] R/W RX_DFE_LPM_HOLD_DURING_EIDLE [0] 0–1 0–1

0067h [9:8] R/W RX_WIDEMODE_CDR_GEN3 [1:0] 0–3 0–3

0067h [7:4] R/W RXBUF_EIDLE_LO_CNT [3:0] 0–15 0–15

表 C-2: GTYE4_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト

属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 412UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 413: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

0067h [3] R/W RXBUF_RESET_ON_EIDLE [0]FALSE 0

TRUE 1

0067h [2] R/W RXCDR_FR_RESET_ON_EIDLE [0] 0–1 0–1

0067h [1] R/W RXCDR_PH_RESET_ON_EIDLE [0] 0–1 0–1

0067h [0] R/W RXBUF_ADDR_MODE [0]FAST 1

FULL 0

0068h [15:13] R/W SATA_BURST_VAL [2:0] 0–7 0–7

0068h [10] R/W SAS_12G_MODE [0] 0–1 0–1

0068h [9] R/W USB_TXIDLE_TUNE_ENABLE [0] 0–1 0–1

0068h [8] R/W USB_RXIDLE_P0_CTRL [0] 0–1 0–1

0068h [7:4] R/W SATA_BURST_SEQ_LEN [3:0] 0–15 0–15

0068h [2:0] R/W SATA_EIDLE_VAL [2:0] 0–7 0–7

0069h [15:9] R/W USB_POLL_SATA_MIN_BURST [6:0] 1–61 1–61

0069h [8:7] R/W RX_WIDEMODE_CDR_GEN4 [1:0] 0–3 0–3

0069h [6:0] R/W USB_U2_SAS_MIN_COM [6:0] 1–63 1–63

006Ah [15:9] R/W USB_PING_SATA_MIN_INIT [6:0] 1–63 1–63

006Ah [6:0] R/W USB_U1_SATA_MIN_WAKE [6:0] 1–63 1–63

006Bh [15:9] R/W USB_POLL_SATA_MAX_BURST [6:0] 1–63 1–63

006Bh [6:0] R/W USB_U2_SAS_MAX_COM [6:0] 1–127 1–127

006Ch [15:9] R/W USB_PING_SATA_MAX_INIT [6:0] 1–63 1–63

006Ch [6:0] R/W USB_U1_SATA_MAX_WAKE [6:0] 1–63 1–63

表 C-2: GTYE4_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト

属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 413UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 414: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

006Dh [7:3] R/W RX_CLK25_DIV [4:0]

1 0

2 1

3 2

4 3

5 4

6 5

7 6

8 7

9 8

10 9

11 10

12 11

13 12

14 13

15 14

16 15

17 16

18 17

19 18

20 19

21 20

22 21

23 22

24 23

25 24

26 25

27 26

28 27

29 28

30 29

31 30

32 31

006Eh [15:0] R/W RXDFE_UT_CFG1 [15:0] 0–65535 0–65535

006Fh [15:0] R/W TXPHDLY_CFG1 [15:0] 0–65535 0–65535

表 C-2: GTYE4_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト

属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 414UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 415: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

0070h [15:0] R/W RXDFE_VP_CFG0 [15:0] 0–65535 0–65535

0071h [6:2] R/W TXPH_MONITOR_SEL [4:0] 0–31 0–31

0071h [1:0] R/W TAPDLY_SET_TX [1:0] 0–3 0–3

0072h [15:0] R/W ADAPT_CFG2 [15:0] 0–65535 0–65535

0073h [15:0] R/W RXDFE_VP_CFG1 [15:0] 0–1 0–1

0074h [14:0] R/W TERM_RCAL_CFG [14:0] 0–32767 0–32767

0075h [15:0] R/W RXPI_CFG0 [15:0] 0–3 0–3

0076h [15:4] R/W PD_TRANS_TIME_FROM_P2 [11:0] 0–4095 0–4095

0076h [3:1] R/W TERM_RCAL_OVRD [2:0] 0–7 0–7

0077h [15:8] R/W PD_TRANS_TIME_NONE_P2 [7:0] 0–255 0–255

0077h [7:0] R/W PD_TRANS_TIME_TO_P2 [7:0] 0–255 0–255

0078h [15:8] R/W TRANS_TIME_RATE [7:0] 0–255 0–255

0079h [15:8] R/W TST_RSV0 [7:0] 0–255 0–255

0079h [7:0] R/W TST_RSV1 [7:0] 0–255 0–255

表 C-2: GTYE4_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト

属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 415UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 416: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

007Ah [15:11] R/W TX_CLK25_DIV [4:0]

1 0

2 1

3 2

4 3

5 4

6 5

7 6

8 7

9 8

10 9

11 10

12 11

13 12

14 13

15 14

16 15

17 16

18 17

19 18

20 19

21 20

22 21

23 22

24 23

25 24

26 25

27 26

28 27

29 28

30 29

31 30

32 31

007Ah [10] R/W TX_XCLK_SEL [0]TXOUT 0

TXUSR 1

表 C-2: GTYE4_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト

属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 416UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 417: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

007Ah [3:0] R/W TX_DATA_WIDTH [3:0]

16 2

20 3

32 4

40 5

64 6

80 7

128 8

160 9

007Bh [15:10] R/W TX_DEEMPH0 [5:0] 0–63 0–63

007Bh [7:2] R/W TX_DEEMPH1 [5:0] 0–63 0–63

007Ch [14] R/W TX_MAINCURSOR_SEL [0] 0–1 0–1

007Ch [13] R/W TXGEARBOX_EN [0]FALSE 0

TRUE 1

007Ch [10:8] R/W TXOUT_DIV [2:0]

1 0

2 1

4 2

8 3

16 4

32 5

007Ch [7] R/W TXBUF_EN [0]FALSE 0

TRUE 1

007Ch [6] R/W TXBUF_RESET_ON_RATE_CHANGE [0]FALSE 0

TRUE 1

007Ch [5:3] R/W TX_RXDETECT_REF [2:0] 0–7 0–7

007Ch [2] R/W TXFIFO_ADDR_CFG [0]HIGH 1

LOW 0

007Ch [1:0] R/W TX_SW_MEAS [1:0] 0–3 0–3

007Dh [15:2] R/W TX_RXDETECT_CFG [13:0] 0–16383 0–16383

007Eh [15] R/W TX_CLKMUX_EN [0] 0–1 0–1

007Eh [14] R/W TX_LOOPBACK_DRIVE_HIZ [0]FALSE 0

TRUE 1

007Eh [12:8] R/W TX_DRIVE_MODE [4:0]

DIRECT 0

PIPE 1

PIPEGEN3 2

表 C-2: GTYE4_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト

属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 417UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 418: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

007Eh [7:5] R/W TX_EIDLE_ASSERT_DELAY [2:0] 0–7 0–7

007Eh [4:2] R/W TX_EIDLE_DEASSERT_DELAY [2:0] 0–7 0–7

007Fh [15:9] R/W TX_MARGIN_FULL_0 [6:0] 0–127 0–127

007Fh [7:1] R/W TX_MARGIN_FULL_1 [6:0] 0–127 0–127

0080h [15:9] R/W TX_MARGIN_FULL_2 [6:0] 0–127 0–127

0080h [7:1] R/W TX_MARGIN_FULL_3 [6:0] 0–127 0–127

0081h [15:9] R/W TX_MARGIN_FULL_4 [6:0] 0–127 0–127

0081h [7:1] R/W TX_MARGIN_LOW_0 [6:0] 0–127 0–127

0082h [15:9] R/W TX_MARGIN_LOW_1 [6:0] 0–127 0–127

0082h [7:1] R/W TX_MARGIN_LOW_2 [6:0] 0–127 0–127

0083h [15:9] R/W TX_MARGIN_LOW_3 [6:0] 0–127 0–127

0083h [7:1] R/W TX_MARGIN_LOW_4 [6:0] 0–127 0–127

0084h [15:0] R/W RXDFE_H3_CFG0 [15:0] 0–65535 0–65535

0085h [11:10] R/W TX_INT_DATAWIDTH [1:0] 0–2 0–2

0089h [7:0] R/W RXPRBS_LINKACQ_CNT [7:0] 15–255 15–255

008Ah [15] R/W TX_PMADATA_OPT [0] 0–1 0–1

008Ah [14] R/W RXSYNC_OVRD [0] 0–1 0–1

008Ah [13] R/W TXSYNC_OVRD [0] 0–1 0–1

008Ah [12] R/W TX_IDLE_DATA_ZERO [0] 0–1 0–1

008Ah [11] R/W A_RXOSCALRESET [0] 0–1 0–1

008Ah [10] R/W RXOOB_CLK_CFG [0]FABRIC 1

PMA 0

008Ah [9] R/W TXSYNC_SKIP_DA [0] 0–1 0–1

008Ah [8] R/W RXSYNC_SKIP_DA [0] 0–1 0–1

008Ah [4:0] R/W RXOSCALRESET_TIME [4:0] 0–31 0–31

008Bh [10] R/W TXSYNC_MULTILANE [0] 0–1 0–1

008Bh [9] R/W RXSYNC_MULTILANE [0] 0–1 0–1

008Ch [15] R/W ACJTAG_MODE [0] 0–1 0–1

008Ch [14] R/W ACJTAG_DEBUG_MODE [0] 0–1 0–1

008Ch [13] R/W ACJTAG_RESET [0] 0–1 0–1

008Ch [12] R/W RX_SUM_VCM_BIAS_TUNE_EN [0] 0–1 0–1

008Ch [11:10] R/W RX_TUNE_AFE_OS [1:0] 0–3 0–3

008Ch [9:8] R/W RX_DFE_KL_LPM_KL_CFG0 [1:0] 0–3 0–3

008Ch [7:5] R/W RX_DFE_KL_LPM_KL_CFG1 [2:0] 0–7 0–7

表 C-2: GTYE4_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト

属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 418UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 419: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

008Ch [4] R/W RX_SUM_DEGEN_AVTT_OVERITE [0] 0–1 0–1

008Ch [3] R/W RX_SUM_PWR_SAVING [0] 0–1 0–1

008Ch [2] R/W RX_EN_SUM_RCAL_B [0] 0–1 0–1

008Dh [15:0] R/W RXDFELPM_KL_CFG2 [15:0] 0–65535 0–65535

008Eh [15:0] R/W TXDLY_CFG [15:0] 0–65535 0–65535

008Fh [15:0] R/W TXPH_CFG [15:0] 0–65535 0–65535

0090h [15:0] R/W TXPHDLY_CFG0 [15:0] 0–65535 0–65535

0091h [15:0] R/W ADAPT_CFG0 [15:0] 0–65535 0–65535

0092h [15:0] R/W ADAPT_CFG1 [15:0] 0–65535 0–65535

0093h [15:0] R/W RXCFOK_CFG0 [15:0] 0–65535 0–65535

0094h [11] R/W ES_CLK_PHASE_SEL [0] 0–1 0–1

0094h [10] R/W USE_PCS_CLK_PHASE_SEL [0] 0–1 0–1

0094h [9] R/W SAMPLE_CLK_PHASE [0] 0–1 0–1

0095h [15:0] R/W TX_PMA_RSV0 [15:0] 0–65535 0–65535

0097h [12] R/W RX_AFE_CM_EN [0] 0–1 0–1

0097h [11] R/W RX_CAPFF_SARC_ENB [0] 0–1 0–1

0097h [10] R/W RX_EYESCAN_VS_NEG_DIR [0] 0–1 0–1

0097h [9] R/W RX_EYESCAN_VS_UT_SIGN [0] 0–1 0–1

0097h [8:2] R/W RX_EYESCAN_VS_CODE [6:0] 0–127 0–127

0097h [1:0] R/W RX_EYESCAN_VS_RANGE [1:0] 0–3 0–3

0098h [15:0] R/W RXDFE_H5_CFG1 [15:0] 0–65535 0–65535

0099h [15:11] R/W GEARBOX_MODE [4:0] 0–31 0–31

0099h [10:8] R/W TXPI_SYNFREQ_PPM [2:0] 0–7 0–7

0099h [6] R/W TXPI_INVSTROBE_SEL [0] 0–1 0–1

0099h [5] R/W TXPI_GRAY_SEL [0] 0–1 0–1

009Ah [7:0] R/W TXPI_PPM_CFG [7:0] 0–255 0–255

009Bh [15] R/W RX_DFELPM_KLKH_AGC_STUP_EN [0] 0–1 0–1

009Bh [14:11] R/W RX_DFELPM_CFG0 [3:0] 0–15 0–15

009Bh [10] R/W RX_DFELPM_CFG1 [0] 0–1 0–1

009Bh [9:8] R/W RX_DFE_KL_LPM_KH_CFG0 [1:0] 0–3 0–3

009Bh [7:5] R/W RX_DFE_KL_LPM_KH_CFG1 [2:0] 0–7 0–7

009Dh [15:0] R/W TXFE_CFG0 [15:0] 0–65535 0–65535

009Eh [15:0] R/W RXDFE_UT_CFG0 [15:0] 0–65535 0–65535

009Fh [15:0] R/W CPLL_CFG0 [15:0] 0–65535 0–65535

表 C-2: GTYE4_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト

属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 419UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 420: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

00A0h [15:0] R/W CPLL_CFG1 [15:0] 0–65535 0–65535

00A1h [15:0] R/W TXFE_CFG1 [15:0] 0–65535 0–65535

00A2h [15:0] R/W RXCDR_CFG0_GEN3 [15:0] 0–65535 0–65535

00A3h [15:0] R/W RXCDR_CFG1_GEN3 [15:0] 0–65535 0–65535

00A4h [15:0] R/W RXCDR_CFG2_GEN3 [15:0] 0–65535 0–65535

00A5h [15:0] R/W RXCDR_CFG3_GEN3 [15:0] 0–65535 0–65535

00A6h [15:0] R/W RXCDR_CFG4_GEN3 [15:0] 0–65535 0–65535

00A7h [15:0] R/W TXPI_CFG0 [15:0] 0–65535 0–65535

00A8h [15:0] R/W TXPI_CFG1 [15:0] 0–65535 0–65535

00A9h [15:0] R/W PCIE_RXPMA_CFG [15:0] 0–65535 0–65535

00AAh [15:0] R/W PCIE_TXPCS_CFG_GEN3 [15:0] 0–65535 0–65535

00ABh [15:0] R/W PCIE_TXPMA_CFG [15:0] 0–65535 0–65535

00ACh [7:3] R/W RX_CLK_SLIP_OVRD [4:0] 0–31 0–31

00ACh [0] R/W TXPI_PPM [0] 0–1 0–1

00ADh [14:13] R/W PCIE_PLL_SEL_MODE_GEN4 [1:0] 0–3 0–3

00ADh [12:11] R/W PCIE_PLL_SEL_MODE_GEN3 [1:0] 0–3 0–3

00ADh [10:9] R/W PCIE_PLL_SEL_MODE_GEN12 [1:0] 0–3 0–3

00ADh [8] R/W RATE_SW_USE_DRP [0] 0–1 0–1

00AEh [15:0] R/W RXDFE_HD_CFG1 [15:0] 0–65535 0–65535

00AFh [15:0] R/W RXCDR_CFG5_GEN3 [15:0] 0–65535 0–65535

00B0h [15:0] R/W RXDFE_KH_CFG3 [15:0] 0–65535 0–65535

00B1h [15:0] R/W RXDFE_KH_CFG2 [15:0] 0–65535 0–65535

00B2h [15:0] R/W RXDFE_KH_CFG1 [15:0] 0–65535 0–65535

00B3h [15:0] R/W RXDFE_H5_CFG0 [15:0] 0–65535 0–65535

00B4h [15:0] R/W RXCDR_CFG5 [15:0] 0–65535 0–65535

00B5h [15:0] R/W RXDFE_HE_CFG1 [15:0] 0–65535 0–65535

00B6h [15:0] R/W CPLL_CFG3 [15:0] 0–65535 0–65535

00B7h [15:0] R/W RXDFE_H6_CFG0 [15:0] 0–65535 0–65535

00B8h [15:0] R/W RXDFE_H6_CFG1 [15:0] 0–65535 0–65535

00B9h [15:0] R/W RXDFE_H7_CFG0 [15:0] 0–65535 0–65535

00BAh [6:2] R/W DDI_REALIGN_WAIT [4:0] 0–31 0–31

00BAh [1:0] R/W DDI_CTRL [1:0] 0–3 0–3

00BBh [11:9] R/W TXGBOX_FIFO_INIT_RD_ADDR [2:0] 2–6 2–6

00BBh [8:6] R/W TX_SAMPLE_PERIOD [2:0] 0–7 0–7

表 C-2: GTYE4_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト

属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 420UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 421: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

00BBh [5:3] R/W RXGBOX_FIFO_INIT_RD_ADDR [2:0] 2–5 2–5

00BBh [2:0] R/W RX_SAMPLE_PERIOD [2:0] 0–7 0–7

00BCh [15:0] R/W CPLL_CFG2 [15:0] 0–65535 0–65535

00BDh [15:0] R/W RXPHSAMP_CFG [15:0] 0–65535 0–65535

00BEh [15:0] R/W RXPHSLIP_CFG [15:0] 0–65535 0–65535

00BFh [15:0] R/W RXPHBEACON_CFG [15:0] 0–65535 0–65535

00C0h [15:0] R/W RXDFE_H7_CFG1 [15:0] 0–65535 0–65535

00C1h [15:0] R/W RXDFE_H8_CFG0 [15:0] 0–65535 0–65535

00C2h [15:0] R/W RXDFE_H8_CFG1 [15:0] 0–65535 0–65535

00C3h [15:0] R/W PCIE_BUFG_DIV_CTRL [15:0] 0–65535 0–65535

00C4h [15:0] R/W PCIE_RXPCS_CFG_GEN3 [15:0] 0–65535 0–65535

00C5h [15:0] R/W RXDFE_H9_CFG0 [15:0] 0–65535 0–65535

00C6h [15:0] R/W RX_PROGDIV_CFG [15:0]

0 32768

10 57440

100 57455

128 24654

132 57862

16 57410

16.5 57880

20 57442

32 57414

33 57856

4 57432

40 57415

5 57464

64 57422

66 57858

8 57408

80 57423

00C7h [15:0] R/W RXDFE_H9_CFG1 [15:0] 0–65535 0–65535

00C8h [15:0] R/W RXDFE_HA_CFG0 [15:0] 0–65535 0–65535

00CAh [9:0] R/W CHAN_BOND_SEQ_1_2 [9:0] 0–1023 0–1023

00CBh [15:0] R/W RXDFE_GC_CFG0 [15:0] 0–65535 0–65535

00CCh [15:0] R/W RXDFE_GC_CFG1 [15:0] 0–65535 0–65535

表 C-2: GTYE4_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト

属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 421UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 422: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

00CDh [7:2] R/W RX_DDI_SEL [5:0] 0–63 0–63

00CDh [1] R/W DEC_VALID_COMMA_ONLY [0]FALSE 0

TRUE 1

00CDh [0] R/W DEC_MCOMMA_DETECT [0]FALSE 0

TRUE 1

00CEh [15:0] R/W RXDFE_CFG0 [15:0] 0–65535 0–65535

00CFh [15:0] R/W RXDFE_CFG1 [15:0] 0–65535 0–65535

00D0h [8] R/W RX_RESLOAD_OVRD [0] 0–1 0–1

00D0h [7] R/W RX_CTLE_PWR_SAVING [0] 0–1 0–1

00D0h [6:4] R/W RX_DEGEN_CTRL [2:0] 0–7 0–7

00D0h [3:0] R/W RX_RESLOAD_CTRL [3:0] 0–15 0–15

00D1h [12] R/W RX_EN_CTLE_RCAL_B [0] 0–1 0–1

00D1h [11:8] R/W RX_CTLE_RES_CTRL [3:0] 0–15 0–15

00D1h [3:0] R/W RX_SUM_RES_CTRL [3:0] 0–15 0–15

00D2h [15:0] R/W RXPI_CFG1 [15:0] 0–65535 0–65535

00D3h [13] R/W LPBK_EN_RCAL_B [0] 0–1 0–1

00D3h [7:5] R/W LPBK_IND_CTRL2 [2:0] 0–7 0–7

00D3h [4:2] R/W LPBK_BIAS_CTRL [2:0] 0–7 0–7

00D3h [1] R/W RX_XMODE_SEL [0] 0–1 0–1

00D3h [0] R/W ISCAN_CK_PH_SEL2 [0] 0–1 0–1

00D4h [13:11] R/W LPBK_IND_CTRL1 [2:0] 0–7 0–7

00D4h [10:7] R/W LPBK_RG_CTRL [3:0] 0–3 0–3

00D4h [2:0] R/W LPBK_IND_CTRL0 [2:0] 0–7 0–7

00D5h [15:0] R/W CKCAL1_CFG_3 [15:0] 0–65535 0–65535

00D5h [2:1] R/W CKCAL1_DCC_PWRDN [1:0] 0–1 0–1

00D5h [0] R/W CKCAL1_IQ_PWRDN [0] 0–1 0–1

00D6h [15:0] R/W CKCAL2_CFG_3 [15:0] 0–65535 0–65535

00D7h [15:0] R/W CKCAL2_CFG_4 [15:0] 0–65535 0–65535

00D8h [15:0] R/W RX_PMA_RSV0 [15:0] 0–65535 0–65535

00D9h [15:0] R/W CKCAL2_CFG_2 [15:0] 0–65535 0–65535

00DAh [15:0] R/W RXCDR_LOCK_CFG2 [15:0] 0–65535 0–65535

00DBh [15:0] R/W CKCAL1_CFG_2 [15:0] 0–65535 0–65535

00DDh [4:3] R/W RTX_BUF_TERM_CTRL [1:0] 0–3 0–3

00DDh [2:0] R/W RTX_BUF_CML_CTRL [2:0] 0–7 0–7

表 C-2: GTYE4_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト

属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 422UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 423: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

00DEh [15:0] R/W TXPH_CFG2 [15:0] 0–65535 0–65535

00DFh [15:0] R/W RXCDR_LOCK_CFG4 [15:0] 0–65535 0–65535

00E0h [8:6] R/W CTLE3_OCAP_EXT_CTRL [2:0] 0–7 0–7

00E0h [5] R/W CTLE3_OCAP_EXT_EN [0] 0–1 0–1

00E2h [13:12] R/W TX_VREG_VREFSEL [1:0] 0–3 0–3

00E2h [11:9] R/W TX_VREG_CTRL [2:0] 0–7 0–7

00E2h [8] R/W TX_VREG_PDB [0] 0–1 0–1

00E7h [15:0] R/W ES_QUALIFIER5 [15:0] 0–65535 0–65535

00E8h [15:0] R/W ES_QUALIFIER6 [15:0] 0–65535 0–65535

00E9h [15:0] R/W ES_QUALIFIER7 [15:0] 0–65535 0–65535

00EAh [15:0] R/W ES_QUALIFIER8 [15:0] 0–65535 0–65535

00EBh [15:0] R/W ES_QUALIFIER9 [15:0] 0–65535 0–65535

00ECh [15:0] R/W ES_QUAL_MASK5 [15:0] 0–65535 0–65535

00EDh [15:0] R/W ES_QUAL_MASK6 [15:0] 0–65535 0–65535

00EEh [15:0] R/W ES_QUAL_MASK7 [15:0] 0–65535 0–65535

00EFh [15:0] R/W ES_QUAL_MASK8 [15:0] 0–65535 0–65535

00F0h [15:0] R/W ES_QUAL_MASK9 [15:0] 0–65535 0–65535

00F1h [15:0] R/W ES_SDATA_MASK5 [15:0] 0–65535 0–65535

00F2h [15:0] R/W ES_SDATA_MASK6 [15:0] 0–65535 0–65535

00F3h [15:0] R/W ES_SDATA_MASK7 [15:0] 0–65535 0–65535

00F4h [15:0] R/W ES_SDATA_MASK8 [15:0] 0–65535 0–65535

00F5h [15:0] R/W ES_SDATA_MASK9 [15:0] 0–65535 0–65535

00F6h [15:0] R/W TX_PMA_RSV1 [15:0] 0–65535 0–65535

00F7h [15:0] R/W CKCAL1_CFG_0 [15:0] 0–65535 0–65535

00F8h [15:0] R/W CKCAL1_CFG_1 [15:0] 0–65535 0–65535

00F9h [15:0] R/W CKCAL2_CFG_0 [15:0] 0–65535 0–65535

00FAh [15:13] R/W TXSWBST_MAG [2:0] 0–7 0–7

00FAh [10:9] R/W TXDRV_FREQBAND [1:0] 0–3 0–3

00FAh [8:7] R/W TXSWBST_BST [1:0] 0–3 0–3

00FAh [6] R/W TXSWBST_EN [0] 0–1 0–1

00FAh [3:1] R/W RX_VREG_CTRL [2:0] 0–7 0–7

00FAh [0] R/W RX_VREG_PDB [0] 0–1 0–1

00FBh [9:6] R/W LPBK_EXT_RCAL [3:0] 0–15 0–15

00FBh [5:4] R/W PREIQ_FREQ_BST [1:0] 0–3 0–3

表 C-2: GTYE4_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト

属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 423UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 424: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

00FBh [2:1] R/W TX_PI_BIASSET [1:0] 0–3 0–3

00FCh [15:0] R/W TX_PHICAL_CFG0 [15:0] 0–65535 0–65535

00FDh [15:0] R/W TX_PHICAL_CFG1 [15:0] 0–65535 0–65535

00FEh [15:0] R/W RXCDR_LOCK_CFG3 [15:0] 0–65535 0–65535

0100h [15:0] R/W RXDFE_UT_CFG2 [15:0] 0–65535 0–65535

0101h [15:0] R/W CKCAL2_CFG_1 [15:0] 0–65535 0–65535

0102h [2] R/W Y_ALL_MODE [0] 0–1 0–1

0102h [1] R/W RCLK_SIPO_DLY_ENB [0] 0–1 0–1

0102h [0] R/W RCLK_SIPO_INV_EN [0] 0–1 0–1

0103h [15:0] R/W RX_PROGDIV_RATE [15:0] 0–65535 0–65535

0104h [15:0] R/W RXDFE_HF_CFG1 [15:0] 0–65535 0–65535

0105h [15:0] R/W TX_PROGDIV_RATE [15:0] 0–65535 0–65535

0106h [15:0] R/W TX_DCC_LOOP_RST_CFG [15:0] 0–65535 0–65535

0107h [15:0] R/W RXDFE_HC_CFG0 [15:0] 0–65535 0–65535

0108h [15:0] R/W RXCKCAL1_I_LOOP_RST_CFG [15:0] 0–65535 0–65535

0109h [15:0] R/W RXCKCAL1_Q_LOOP_RST_CFG [15:0] 0–65535 0–65535

010Ah [15:0] R/W RXCKCAL1_IQ_LOOP_RST_CFG [15:0] 0–65535 0–65535

010Bh [15:0] R/W RXCKCAL2_D_LOOP_RST_CFG [15:0] 0–65535 0–65535

010Ch [15:0] R/W RXCKCAL2_X_LOOP_RST_CFG [15:0] 0–65535 0–65535

010Dh [15:0] R/W RXCKCAL2_S_LOOP_RST_CFG [15:0] 0–65535 0–65535

010Eh [15:0] R/W RXCKCAL2_DX_LOOP_RST_CFG [15:0] 0–65535 0–65535

0110h [15:0] R/W RXDFE_KH_CFG0 [15:0] 0–65535 0–65535

0111h [15:0] R/W RXDFE_H4_CFG1 [15:0] 0–65535 0–65535

0112h [15:0] R/W RXDFE_H4_CFG0 [15:0] 0–65535 0–65535

0113h [15:0] R/W RXDFE_H3_CFG1 [15:0] 0–65535 0–65535

0116h [15:0] R/W CH_HSPMUX [15:0] 0–65535 0–65535

0117h [15:11] R/W PCIE3_CLK_COR_MIN_LAT [4:0] 0–31 0–31

0117h [10:6] R/W PCIE3_CLK_COR_MAX_LAT [4:0] 0–31 0–31

0117h [5:0] R/W PCIE3_CLK_COR_THRSH_TIMER [5:0] 0–63 0–63

0118h [15] R/W USB_MODE [0] 0–1 0–1

0118h [14] R/W USB_EXT_CNTL [0] 0–1 0–1

0118h [13] R/W USB_CLK_COR_EQ_EN [0] 0–1 0–1

0118h [12] R/W USB_PCIE_ERR_REP_DIS [0] 0–1 0–1

0118h [11:6] R/W PCIE3_CLK_COR_FULL_THRSH [5:0] 0–63 0–63

表 C-2: GTYE4_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト

属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 424UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 425: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

0118h [4:0] R/W PCIE3_CLK_COR_EMPTY_THRSH [4:0] 0–31 0–31

0119h [15] R/W USB_RAW_ELEC [0] 0–1 0–1

0119h [14] R/W DELAY_ELEC [0] 0–1 0–1

0119h [13] R/W USB_BOTH_BURST_IDLE [0] 0–1 0–1

0119h [12] R/W TXREFCLKDIV2_SEL [0] 0–1 0–1

0119h [11:6] R/W TX_DEEMPH2 [5:0] 0–63 0–63

0119h [5:0] R/W TX_DEEMPH3 [5:0] 0–63 0–63

011Ah [6] R/W RXREFCLKDIV2_SEL [0] 0–1 0–1

011Ah [5] R/W A_RXTERMINATION [0] 0–1 0–1

011Ah [4:1] R/W USB_LFPS_TPERIOD [3:0] 0–15 0–15

011Ah [0] R/W USB_LFPS_TPERIOD_ACCURATE [0] 0–1 0–1

011Bh [15:0] R/W RXCDR_CFG2_GEN4 [15:0] 0–65535 0–65535

011Ch [15:0] R/W RXCDR_CFG3_GEN4 [15:0] 0–65535 0–65535

011Dh [14:8] R/W USB_BURSTMIN_U3WAKE [6:0] 0–127 0–127

011Dh [6:0] R/W USB_BURSTMAX_U3WAKE [6:0] 0–127 0–127

011Eh [9:0] R/W USB_IDLEMIN_POLLING [9:0] 0–1023 0–1023

011Fh [9:0] R/W USB_IDLEMAX_POLLING [9:0] 0–1023 0–1023

0120h [8:0] R/W USB_LFPSPOLLING_BURST [8:0] 0–511 0–511

0121h [8:0] R/W USB_LFPSPING_BURST [8:0] 0–511 0–511

0122h [8:0] R/W USB_LFPSU1EXIT_BURST [8:0] 0–511 0–511

0123h [8:0] R/W USB_LFPSU2LPEXIT_BURST_MS [8:0] 0–511 0–511

0124h [8:0] R/W USB_LFPSU3WAKE_BURST_MS [8:0] 0–511 0–511

0125h [8:0] R/W USB_LFPSPOLLING_IDLE_MS [8:0] 0–511 0–511

0126h [15:0] R/W RXDFE_HA_CFG1 [15:0] 0–65535 0–65535

0127h [15:0] R/W RXDFE_HB_CFG0 [15:0] 0–65535 0–65535

0135h [15:10] R/W RXCDR_CFG3_GEN2 [5:0] 0–63 0–63

0135h [9:0] R/W RXCDR_CFG2_GEN2 [9:0] 0–1023 0–1023

0250h [6:0] R COMMA_ALIGN_LATENCY [6:0] 0–127 0–127

0251h [15:0] R es_error_count [15:0] 0–65535 0–65535

0252h [15:0] R es_sample_count [15:0] 0–65535 0–65535

0253h [3:0] R es_control_status [3:0] 0–15 0–15

0254h [15:0] R es_rdata_byte4 [15:0] 0–65535 0–65535

0255h [15:0] R es_rdata_byte3 [15:0] 0–65535 0–65535

0256h [15:0] R es_rdata_byte2 [15:0] 0–65535 0–65535

表 C-2: GTYE4_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト

属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 425UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 426: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 C: UltraScale+ FPGA の GTY ト ランシーバーの DRP アドレス マップ

0257h [15:0] R es_rdata_byte1 [15:0] 0–65535 0–65535

0258h [15:0] R es_rdata_byte0 [15:0] 0–65535 0–65535

0259h [15:0] R es_sdata_byte4 [15:0] 0–65535 0–65535

025Ah [15:0] R es_sdata_byte3 [15:0] 0–65535 0–65535

025Bh [15:0] R es_sdata_byte2 [15:0] 0–65535 0–65535

025Ch [15:0] R es_sdata_byte1 [15:0] 0–65535 0–65535

025Dh [15:0] R es_sdata_byte0 [15:0] 0–65535 0–65535

025Eh [15:0] R RX_PRBS_ERR_CNT [15:0] 0–65535 0–65535

025Fh [15:0] R RX_PRBS_ERR_CNT [31:16] 0–65535 0–65535

0263h [15:0] R TXGBOX_FIFO_LATENCY [15:0] 0–65535 0–65535

0269h [15:0] R RXGBOX_FIFO_LATENCY [15:0] 0–65535 0–65535

0283h [15:0] R es_sdata_byte5 [15:0] 0–65535 0–65535

0284h [15:0] R es_sdata_byte6 [15:0] 0–65535 0–65535

0285h [15:0] R es_sdata_byte7 [15:0] 0–65535 0–65535

0286h [15:0] R es_sdata_byte8 [15:0] 0–65535 0–65535

0287h [15:0] R es_sdata_byte9 [15:0] 0–65535 0–65535

0288h [15:0] R es_rdata_byte5 [15:0] 0–65535 0–65535

0289h [15:0] R es_rdata_byte6 [15:0] 0–65535 0–65535

028Ah [15:0] R es_rdata_byte7 [15:0] 0–65535 0–65535

028Bh [15:0] R es_rdata_byte8 [15:0] 0–65535 0–65535

028Ch [15:0] R es_rdata_byte9 [15:0] 0–65535 0–65535

表 C-2: GTYE4_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP アドレスDRP

ビッ トR/W 属性名 属性ビッ ト

属性のエンコード

DRP のエンコード

UltraScale アーキテクチャ GTY ト ランシーバー 426UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 427: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 D

その他のリソースおよび法的通知

ザイリンクス リソース

アンサー、 資料、 ダウンロード、 フォーラムなどのサポート リ ソースは、 ザイ リ ンクス サポート サイ ト を参照して

ください。

ソリューシ ョ ン センター

デバイス、 ツール、 IP のサポートについては、 ザイ リ ンクス ソ リ ューシ ョ ン センターを参照して ください。 デザイ

ン アシスタン ト 、 デザイン アドバイザリ、 ト ラブルシュートのヒ ン ト などが含まれます。

Xilinx Documentation Navigator およびデザイン ハブ

Xilinx Documentation Navigator (DocNav) では、 ザイ リ ンクスの資料、 ビデオ、 サポート リ ソースへアクセスでき、 特

定の情報を取得するためにフ ィルター機能や検索機能を利用できます。Xilinx Documentation Navigator を開くには、次

のいずれかを実行します。

• Vivado IDE で [Help] → [Documentation and Tutorials] をク リ ッ ク します。

• Windows で [スタート ] → [すべてのプログラム] → [Xilinx Design Tools] → [DocNav] をク リ ッ ク します。

• Linux コマンド プロンプ トに 「docnav」 と入力します。

ザイ リ ンクスのデザイン ハブでは、 資料へのリ ンクがデザイン タスクおよびト ピッ クごとにま とめられており、 こ

れらを参照するこ とで重要なコンセプ トに関する知識を得たり、 よ くある質問 (FAQ) を参考に問題を解決できます。

デザイン ハブにアクセスするには、 次のいずれかを実行します。

• Xilinx Documentation Navigator で [Design Hubs View] タブをク リ ッ ク します。

• ザイ リ ンクスのウェブサイ トでデザイン ハブのページを参照します。

注記: Xilinx Documentation Navigator の詳細は、ザイ リ ンクス ウェブサイ トの Documentation Navigator ページを参照し

てください。

注意: Xilinx Documentation Navigator からは日本語版は参照できません。 ウェブサイ トのデザイン ハブ ページの一部

は翻訳されており、 日本語版が提供されている場合はそのリ ンク も追加されています。

UltraScale アーキテクチャ GTY ト ランシーバー 427UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 428: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 D: その他のリソースおよび法的通知

参考資料

注記: 日本語版のバージ ョ ンは、 英語版よ り古い場合があ り ます。

1. 『UltraScale アーキテクチャ コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG570: 英語版、 日本語版)

2. 『UltraScale アーキテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571: 英語版、 日本語版)

3. 『UltraScale アーキテクチャ ク ロ ッキング リ ソース ユーザー ガイ ド』 (UG572: 英語版、 日本語版)

4. 『UltraScale FPGA Transceivers Wizard 製品ガイ ド』 (PG182: 英語版、 日本語版)

5. 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900: 英語版、 日本語版)

6. 『UltraScale および UltraScale+ デバイス データシート 』

° 『UltraScale アーキテクチャおよび製品概要』 (DS890: 英語版、 日本語版)

° 『Kintex UltraScale FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS892: 英語版、 日本語版)

° 『Virtex UltraScale FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS893: 英語版、 日本語版)

° 『Zynq UltraScale+ MPSoC データシート : DC 特性および AC スイ ッチ特性』 (DS925: 英語版、 日本語版)

° 『Kintex UltraScale+ FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS922: 英語版、 日本語版)

7. 『UltraScale および UltraScale+ FPGA パッケージおよびピン配置ユーザー ガイ ド』 (UG575: 英語版、 日本語版)

8. 『UltraScale+ Device Integrated Block for PCI Express LogiCORE IP 製品ガイ ド』 (PG213: 英語版、 日本語版)

UltraScale アーキテクチャ GTY ト ランシーバー 428UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com

Page 429: UltraScale アーキテクチャ GTY トഊランシーバー …...UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイド UG578 (v1.3) 2017 年 9 月 20

付録 D: その他のリソースおよび法的通知

法的通知本通知に基づいて貴殿または貴社 (本通知の被通知者が個人の場合には 「貴殿」、 法人その他の団体の場合には 「貴社」。 以下同じ ) に開示される情報 (以下 「本情報」 といいます) は、 ザイ リ ンクスの製品を選択および使用するこ とのためにのみ提供されます。 適

用される法律が許容する 大限の範囲で、 (1) 本情報は 「現状有姿」、 およびすべて受領者の責任で (with all faults) という状態で提

供され、 ザイ リ ンクスは、 本通知をもって、 明示、 黙示、 法定を問わず (商品性、 非侵害、 特定目的適合性の保証を含みますがこ

れらに限られません)、 すべての保証および条件を負わない (否認する ) ものと します。 また、 (2) ザイ リ ンクスは、 本情報 (貴殿ま

たは貴社による本情報の使用を含む) に関係し、 起因し、 関連する、 いかなる種類 ・ 性質の損失または損害についても、 責任を負

わない (契約上、 不法行為上 (過失の場合を含む)、 その他のいかなる責任の法理によるかを問わない) ものと し、 当該損失または損

害には、 直接、 間接、 特別、 付随的、 結果的な損失または損害 (第三者が起こした行為の結果被った、 データ、 利益、 業務上の信

用の損失、 その他あらゆる種類の損失や損害を含みます) が含まれるものと し、 それは、 たとえ当該損害や損失が合理的に予見可

能であったり、 ザイ リ ンクスがそれらの可能性について助言を受けていた場合であったと しても同様です。 ザイ リ ンクスは、 本情

報に含まれるいかなる誤り も訂正する義務を負わず、 本情報または製品仕様のアップデート を貴殿または貴社に知らせる義務も負

いません。 事前の書面による同意のない限り、 貴殿または貴社は本情報を再生産、 変更、 頒布、 または公に展示してはなり ませ

ん。 一定の製品は、 ザイ リ ンクスの限定的保証の諸条件に従う こ と となるので、 https://japan.xilinx.com/legal.htm#tos で見られるザ

イ リ ンクスの販売条件を参照して ください。 IP コアは、 ザイ リ ンクスが貴殿または貴社に付与したライセンスに含まれる保証と補

助的条件に従う こ とにな り ます。 ザイ リ ンクスの製品は、 フェイルセーフと して、 または、 フェイルセーフの動作を要求するアプ

リ ケーシ ョ ンに使用するために、 設計されたり意図されたり していません。 そのよ う な重大なアプリ ケーシ ョ ンにザイ リ ンクスの

製品を使用する場合のリ スク と責任は、 貴殿または貴社が単独で負う ものです。 https://japan.xilinx.com/legal.htm#tos で見られるザ

イ リ ンクスの販売条件を参照して ください。

自動車用のアプリケーシ ョ ンの免責条項

オートモーティブ製品 (製品番号に 「XA」 が含まれる ) は、 ISO 26262 自動車用機能安全規格に従った安全コンセプ ト または余剰性

の機能 ( 「セーフティ設計」 ) がない限り、 エアバッグの展開における使用または車両の制御に影響するアプリ ケーシ ョ ン ( 「セー

フティ アプリ ケーシ ョ ン」 ) における使用は保証されていません。 顧客は、 製品を組み込むすべてのシステムについて、 その使用

前または提供前に安全を目的と して十分なテス ト を行う ものと します。 セーフティ設計なしにセーフティ アプリ ケーシ ョ ンで製品

を使用する リ スクはすべて顧客が負い、 製品の責任の制限を規定する適用法令および規則にのみ従う ものと します。

© Copyright 2014-2017 Xilinx, Inc. Xilinx、 Xilinx のロゴ、 Artix、 ISE、 Kintex、 Spartan、 Virtex、 Vivado、 Zynq、 およびこの文書に含

まれるその他の指定されたブランドは、 米国およびその他各国のザイ リ ンクス社の商標です。 すべてのその他の商標は、 それぞれ

の保有者に帰属します。

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、 [email protected] まで、 または各ページ

の右下にある [フ ィードバッ ク送信] ボタンをク リ ッ クする と表示されるフォームからお知らせください。 フ ィードバッ クは日本語

で入力可能です。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは

受け付けており ません。 あらかじめご了承ください。

UltraScale アーキテクチャ GTY ト ランシーバー 429UG578 (v1.3) 2017 年 9 月 20 日 japan.xilinx.com