TRACE32 Online Help TRACE32 Index TRACE32 Directory 1 · PDF fileTRACE32 Directory 1...
-
Upload
vuongkhanh -
Category
Documents
-
view
282 -
download
12
Transcript of TRACE32 Online Help TRACE32 Index TRACE32 Directory 1 · PDF fileTRACE32 Directory 1...
TRACE32 Directory
TRACE32 Online Help
TRACE32 Index
TRACE32 Directory .......................................................................................................................... 1
TRACE32 Directory 1 ©1989-2017 Lauterbach GmbH
TRACE32 Directory
Version 06-Nov-2017
Directory and Index
TRACE32 Directory 2 ©1989-2017 Lauterbach GmbH
About the TRACE32 Online Help
About the TRACE32 Online Help .................................................................................. (main.pdf) 1
TRACE32 Directory 3 ©1989-2017 Lauterbach GmbH
TRACE32 Glossary
TRACE32 Glossary ................................................................................................... (glossary.pdf) 1
Terms, Abbreviations, and Definitions ........................................................................................ 2
Terms with Explanations and Examples ..................................................................................... 4
Access Classes 4
Build Path 10
Chip Timestamp 11
Cycle-accurate Tracing 11
Logical Address 11
Multicore Debugging 11
Multiprocessor Debugging 11
OS-aware Debugging 12
OS-aware Tracing 13
Run-time Memory Access 22
Sample-based Profiling 30
StopAndGo Mode 30
Symmetrical Multi-Processing (SMP) 31
TRACE32 Virtual Memory 31
Trace Errors 35
Trace Sources 38
Tool Timestamp 39
TRACE32 Directory 4 ©1989-2017 Lauterbach GmbH
TRACE32 Debugger Getting Started
ICD Quick Installation
ICD Quick Installation .................................................................... (icd_quick_installation.pdf) 1
Warning ....................................................................................................................................... 3
Introduction ................................................................................................................................ 4
How This Manual is Organized 4
Contacting Support 4
Brief Overview of Documents for New Users .......................................................................... 6
System Concept ......................................................................................................................... 7
On-chip Debug Interface 7
On-chip Debug Interface plus Trace Port 11
NEXUS 16
µTrace for Cortex-M 19
CombiProbe 20
Power Integrator and Power Trace / Ethernet ......................................................................... 23
Software Installation .................................................................................................................. 24
MS Windows 24
PC_LINUX 27
POWERDEBUG/TRACE ETHERNET on SunOS, Solaris (SUN) 35
POWERDEBUG/TRACE ETHERNET on HP-UX 10.X (HP-9000) 39
Mac OS 42
Troubleshooting ......................................................................................................................... 45
FAQ .............................................................................................................................................. 49
T32Start
T32Start ........................................................................................................... (app_t32start.pdf) 1
Abstract ....................................................................................................................................... 3
Quick Start .................................................................................................................................. 6
First Steps 6
Debug Environment for a Single Core 7
Debug Environment for a Single Core with Power Debug II / Power Trace II 14
Debug Environment for Multicore Debugging (Single Device Solution) 22
Debug Environment for Multiprocessor Debugging 34
Software-only Debugging (Host MCI) ....................................................................................... 36
TRACE32 Directory 5 ©1989-2017 Lauterbach GmbH
Debug Environment for Setup 1 (Single Instance) 37
Debug Environment for Setup 2 (Integrated Server) 39
Debug Environment for Setup 3 (Dedicated Server) 41
Modification of Configuration Tree Items ................................................................................ 43
Configuration Tree Item Types ................................................................................................. 46
Complex Tree Items 46
Advanced Actions on Tree Items and Subtrees ...................................................................... 61
Mouse Actions 61
Copy and Paste with the Clipboard 62
Load and Store based on Files 62
References to Environment Variables and Tree Items 63
Command Line Interpretation ................................................................................................... 64
Error Messages .......................................................................................................................... 65
Establish Your Debug Session
Establish Your Debug Session ....................................................................... (tutor_setup.pdf) 1
Establish your Debug Session .................................................................................................. 4
Key TRACE32 Setup Commands 4
Debug Scenarios 10
Establish the Debug Communication ....................................................................................... 12
Debug Scenario 1 ....................................................................................................................... 18
Onchip/NOR Flash Programming 19
Configure the TRACE32 OS-Awareness 36
Debug Scenario 2 ....................................................................................................................... 37
Typical Boot Sequence 37
Flash Programming (NAND/Serial/eMMC) 42
Establish the Communication 56
Load the Debug Symbols 56
Debug Scenario 3 ....................................................................................................................... 57
Run the Boot Loader 58
Load Application (and/or OS) Code and Debug Symbols 59
Load Debug Symbols only 59
Configure the TRACE32 OS-Awareness 59
Complete Setup Example 59
Debug Scenario 4 ....................................................................................................................... 60
Write a Script to Configure the Target 61
Load Application (and/or OS) Code and Debug Symbols 61
Configure the TRACE32 OS-Awareness 61
TRACE32 Directory 6 ©1989-2017 Lauterbach GmbH
Generate a Start-Up Script ........................................................................................................ 62
Write a Start-Up Script 62
Run a Start-up Script 63
Automated Start-up Scripts 64
ICD Tutorial
ICD Tutorial ....................................................................................................... (icd_tutorial.pdf) 1
About the Tutorial ...................................................................................................................... 2
Working with the Debugger ....................................................................................................... 3
Set up the Program Environment 3
Start TRACE32-ICD 4
Main Window of TRACE32 ......................................................................................................... 5
About TRACE32 6
Getting Online Help 7
Set up the Debug Environment 9
PRACTICE Scripts 14
The User Interface 16
Display and Modify Memory 18
Debug the Program 20
How to Set Breakpoints 26
Display and Modify HLL Variables 36
Format HLL-Variables 39
Exit TRACE32 41
TRACE32 Directory 7 ©1989-2017 Lauterbach GmbH
TRACE32 Documents
IDE User Interface
IDE User's Guide
IDE User's Guide ................................................................................................ (ide_user.pdf) 1
Structure and Contents of the Documentation .................................................................... 5
Online Documentation 5
In-Circuit Debugger TRACE32-ICD 7
In-Circuit Emulator TRACE32-ICE 8
Fully Integrated RISC Emulator TRACE32-FIRE 10
Program Start .......................................................................................................................... 12
In-Circuit Debugger TRACE32-ICD 12
In-Circuit Emulator TRACE32-ICE 12
Fully Integrated RISC Emulator TRACE32-FIRE 13
Program End ............................................................................................................................ 14
Screen Display ......................................................................................................................... 15
Concept 15
Graphical User Interface 15
Main Menu Bar 18
Accelerators 18
Main Toolbar 19
Work Area 19
Message Line 20
Softkeys 21
State Line 22
Window Pages 26
Colors 27
How the TRACE32 PowerView GUI Assists You in Scripting 28
Commands ............................................................................................................................... 30
Command Structure 30
Long Form and Short Form of Commands and Functions 31
Entering Commands 32
Command History 34
Command and Function Parameters 35
General Command Parameter Parser - Behavior in the Different Radix Modes .............. 46
Parser Changes in Version V2.00 and Higher 46
Operands 51
TRACE32 Directory 8 ©1989-2017 Lauterbach GmbH
Operators 54
Window System ....................................................................................................................... 58
Windows 58
Window Operations 62
Text-based Functions 71
Selection Service 71
Message Windows .................................................................................................................. 72
Window Tracking ..................................................................................................................... 73
File and Folder Operations ..................................................................................................... 75
File Contents ............................................................................................................................ 76
Encrypt/Execute Encrypted Files .......................................................................................... 77
Host Commands ...................................................................................................................... 78
Printer Operations ................................................................................................................... 79
System Setup and Configuration ........................................................................................... 81
Command Logging .................................................................................................................. 82
Dialog Programming ............................................................................................................... 83
Dialog Syntax and File Types 83
Comments in Dialogs 85
Dialog Commands 86
Dialog Elements 87
Return Values and Labels 89
PRACTICE Macros inside Dialog Definitions 90
HELP System ........................................................................................................................... 91
Ways to Get Help 91
Context-Sensitive Help 92
Structure of the Help System 93
Configure the Help System 94
Recommendations for Choosing a PDF Viewer 95
Bookmarks for Help Topics 96
Troubleshooting the Help System 98
Change the Installation Path of the PDF Files 99
Winhelp Compatibility 99
Previous Releases - HELP System ........................................................................................ 100
Previous Releases - HELP Installation and Setup 100
Previous Releases - Configuring an Alternate PDF Viewer 100
Previous Releases - HELP Installation Problems 104
INTERCOM ............................................................................................................................... 106
Version Management and Licensing ..................................................................................... 107
TRACE32 Directory 9 ©1989-2017 Lauterbach GmbH
Editing ...................................................................................................................................... 108
Icons ......................................................................................................................................... 109
Built-in Icons and Icon Library 110
Inserting a Placeholder for User-Defined Icons 111
Drawing Icons 112
Interface ................................................................................................................................... 114
Shortcuts .................................................................................................................................. 115
IDE Reference Guide
IDE Reference Guide ............................................................................................. (ide_ref.pdf) 1
ABORT ...................................................................................................................................... 12
ABORT Abort driver program 12
AREA ........................................................................................................................................ 13
AREA Message windows 13
AREA.CLEAR Clear area 14
AREA.CLOSE Close output file 15
AREA.Create Create or modify message area 16
AREA.Delete Delete message area 16
AREA.List Display a detailed list off all message areas 17
AREA.OPEN Open output file 19
AREA.RESet Reset areas 20
AREA.SAVE Save AREA window contents to file 20
AREA.Select Select area 21
AREA.view Display message area in AREA window 22
AutoSTOre ............................................................................................................................... 23
AutoSTOre Store settings (history, GUI, etc.) automatically 23
BITMAPEDIT ............................................................................................................................ 25
BITMAPEDIT Bitmap editor for user-defined icons 25
ChDir ......................................................................................................................................... 26
ChDir Change directory 26
ClipSTOre ................................................................................................................................. 27
ClipSTOre Store settings to clipboard 27
CmdPOS ................................................................................................................................... 28
CmdPOS Controls the position of TRACE32 in MWI window mode 28
ComPare ................................................................................................................................... 30
ComPare Compare files 30
COPY ........................................................................................................................................ 31
COPY Copy files 31
TRACE32 Directory 10 ©1989-2017 Lauterbach GmbH
DATE ......................................................................................................................................... 32
DATE Display date and time 32
DEL ........................................................................................................................................... 33
DEL Delete file 33
DIALOG .................................................................................................................................... 34
DIALOG Custom dialogs 34
Dialog Definition Programming Commands 34
DIALOG.AREA Adds an output area to a custom dialog 73
DIALOG.DIR Display a folder picker dialog 74
DIALOG.Disable Disable dialog elements 75
DIALOG.Enable Enable dialog elements 76
DIALOG.END Close the dialog window 76
DIALOG.EXecute Execute a dialog button 76
DIALOG.File Pass file name from OS file dialog to PRACTICE script 77
DIALOG.File.open Display an OS file-open dialog 78
DIALOG.File.SAVE Display an OS file-save dialog 79
DIALOG.File.SELECT Display an OS file-select dialog 80
DIALOG.MESSAGE Create dialog box with an information icon 81
DIALOG.OK Create dialog box with an exclamation mark 82
DIALOG.Program Interactive programming 83
DIALOG.ReProgram Dialog programming 84
DIALOG.SELect Programmatically focus on this dialog 84
DIALOG.Set Modify the value of a dialog element 85
DIALOG.SetDIR Browse for folder 87
DIALOG.SetFile Pass file name from OS file dialog to custom dialog 88
DIALOG.SetFile.open OS file-open dialog > file name > EDIT element 88
DIALOG.SetFile.SAVE OS file-save dialog > file name > EDIT element 90
DIALOG.SetFile.SELECT OS file-select dialog > file name > EDIT element 90
DIALOG.view Show dialog window 91
DIALOG.YESNO Create dialog box with YES and NO buttons 92
DIR ............................................................................................................................................ 93
DIR List subdirectories and files 93
DUMP ........................................................................................................................................ 95
DUMP Binary file dump 95
EDIT .......................................................................................................................................... 97
EDIT TRACE32 editor 97
EDIT.CLOSE Close a text file 97
EDIT.EXTern Use specified external ASCII editor to edit file 98
EDIT.file Edit file 99
EDIT.List List editor files 100
EDIT.LOAD Load text files 100
EDIT.OPEN Use internal TRACE32 editor to edit file 101
TRACE32 Directory 11 ©1989-2017 Lauterbach GmbH
EDIT.QUIT Discard modifications 102
EDIT.SAVE Store a text file 103
ERROR ..................................................................................................................................... 104
ERROR.RESet Reset PRACTICE error 104
EVAL ......................................................................................................................................... 105
Eval Evaluates expression 105
FIND .......................................................................................................................................... 107
FIND Search in file 107
Frame ........................................................................................................................................ 108
FramePOS Controls the position of TRACE32 in MDI window mode 108
HELP ......................................................................................................................................... 111
HELP Online help 111
HELP.Bookmark Show help bookmark list 112
HELP.Bookmark.ADD Files on bookmark list 113
HELP.Bookmark.ADD.file Add file to bookmark list 113
HELP.Bookmark.ADD.Find Add file to bookmark list 114
HELP.Bookmark.ADD.Index Add file to bookmark list 115
HELP.Bookmark.DELete Delete from bookmark list 115
HELP.Bookmark.show Show help bookmark list 116
HELP.checkUPDATE Automatic update check for new help-files 116
HELP.command Command related support 116
HELP.FILTER Filters for online help 117
HELP.FILTER.Add Add a filter to the filter list 117
HELP.FILTER.Delete Delete filter from filter list 118
HELP.FILTER.RESet Remove all help filters 118
HELP.FILTER.set Enable filters for online help 119
HELP.Find Perform a full-text search 120
HELP.Index Search in indexed terms, commands, and functions 122
HELP.PDF Open PDF file 124
HELP.PICK Context-sensitive help 124
HELP.PRinT Print help files 125
HELP.PRinT.PRinTSel Print selected files 125
HELP.PRinT.SELect Select files to print 125
HELP.PRinT.show Show print help files 126
HELP.PRinT.UNSELect Unselect all print files 126
HELP.Topics Help content list - document tree 127
HELP.TREE Display command tree 129
HELP.WINHELP Open a winhelp file 130
HISTory ..................................................................................................................................... 131
HISTory Command history 131
HISTory.eXecute Execute command history 131
TRACE32 Directory 12 ©1989-2017 Lauterbach GmbH
HISTory.SAVE Store command history log 132
HISTory.Set History settings 133
HISTory.SIZE Command history and file history 134
HISTory.SIZE.cmd Define log size of command history 134
HISTory.SIZE.FILE Define number of recently used files in “File” menu 135
HISTory.type Display command history log 135
IFCONFIG ................................................................................................................................. 136
IFCONFIG Ethernet or USB communication 136
IFCONFIG.PROfile Display operation profiles 136
IFCONFIG.TEST Test interface function and speed 138
IFCONFIG.state Interface configuration 139
INTERCOM ............................................................................................................................... 141
INTERCOM Data exchange between different TRACE32 systems 141
INTERCOM.Evaluate Evaluate function via INTERCOM 142
INTERCOM.execute Execute command via INTERCOM 143
INTERCOM.executeNoWait Execute command via INTERCOM 143
INTERCOM.PING Test INTERCOM system 144
INTERCOM.PipeCLOSE Close named pipe 144
INTERCOM.PipeOPEN Open named pipe 145
INTERCOM.PipeREAD Read from named pipe 145
INTERCOM.PipeWRITE Write to named pipe 145
INTERCOM.WAIT Wait for remote INTERCOM system 146
LICENSE ................................................................................................................................... 147
LICENSE Manage TRACE32 licenses 147
LICENSE.List Display all license information 147
LICENSE.REQuest Request a license 148
LICENSE.state Display the currently used maintenance contract 150
LICENSE.UPDATE Update the maintenance contract 151
LOG ........................................................................................................................................... 152
LOG Log TRACE32 commands 152
LOG.CLOSE Close command log 152
LOG.OFF Switch off command log 153
LOG.ON Switch on command log 153
LOG.OPEN Open command log file 154
LOG.toAREA Log commands by writing them to an AREA window 155
LOG.type Display command log 159
LS .............................................................................................................................................. 159
LS Display directory 159
MENU ........................................................................................................................................ 160
MENU Customize the user interface TRACE32 PowerView 160
MENU.AddMenu Add one standard menu item 160
TRACE32 Directory 13 ©1989-2017 Lauterbach GmbH
MENU.AddTool Add a button to the main toolbar 161
MENU.Delete Delete nested menu 162
MENU.Program Interactive programming 162
MENU.ReProgram Menu programming 163
MENU.RESet Default configuration 165
Programming Commands 166
MKDIR ....................................................................................................................................... 179
MKDIR Create new directory 179
MV ............................................................................................................................................. 180
MV Rename file 180
OS ............................................................................................................................................. 181
OS Execute host commands 181
OS.Area Re-route host command output to AREA window 182
OS.Command Execute a host command 183
OS.Hidden Execute a host command in silent mode 185
OS.screen Call up the shell or execute host command 186
OS.Window Re-route host command output to the OS.Window 188
PACK ........................................................................................................................................ 189
PACK Compress files 189
PATCH ...................................................................................................................................... 190
PATCH Binary file patching 190
PATH ......................................................................................................................................... 191
PATH Define searchpath 191
PRinTer ..................................................................................................................................... 192
PRinTer Print and export window contents 192
PRinTer.Area Re-route printer output to AREA window in specified format 193
PRinTer.ClipBoard Re-route printer output to clipboard in specified format 194
PRinTer.CLOSE Close file after multiple printer outputs 194
PRinTer.EXPORT Export formatted printer output to file 195
PRinTer.FILE Re-route printer output to a file in specified file format 199
PRinTer.FileType Select file format 202
PRinTer.HardCopy Make a hardcopy of the screen 203
PRinTer.OFFSET Specify print-out borders 204
PRinTer.OPEN Re-route multiple printer outputs to the same file 205
PRinTer.PRINT Print to opened printer file 207
PRinTer.select Select printer 208
PRinTer.SIZE Specify print-out size 209
PWD .......................................................................................................................................... 210
PWD Change directory 210
QUIT .......................................................................................................................................... 211
TRACE32 Directory 14 ©1989-2017 Lauterbach GmbH
QUIT Return to operating system 211
REN ........................................................................................................................................... 212
REN Rename file 212
RM, RMDIR ............................................................................................................................... 213
RM Delete file 213
RMDIR Remove directory 213
SCreenShot .............................................................................................................................. 214
SCreenShot Save a screenshot of a window to a file 214
SETUP ...................................................................................................................................... 216
SETUP Setup commands (part 1 of 2) 216
SETUP.ASCIITEXT Configure ASCII text display 217
SETUP.BAKfile Set backup file mode 218
SETUP.CClear Erase caches 218
SETUP.COLOR Change colors 219
SETUP.DEVNAME Set logical device name 220
SETUP.DRV Send command to driver 221
SETUP.EDITEXT Define an external editor 222
SETUP.EXTension Set default file name extensions 224
SETUP.HOLDDIR Configure working directory 225
SETUP.ICONS Display icons in popup menus 226
SETUP.INTERCOMACKTIMEOUT Sets the intercom acknowledge timeout 226
SETUP.MEMory Display free and used SCU memory 227
SETUP.PDFViewer Context-sensitive help via your favorite PDF viewer 228
SETUP.PDFViewer.EXEcutable Path and executable of your PDF viewer 229
SETUP.PDFViewer.OPEN Open a PDF of the help system 229
SETUP.PDFViewer.PRinT Print PDF via HELP window 229
SETUP.PDFViewer.RESet Reset the settings in SETUP.PDFViewer dialog 230
SETUP.PDFViewer.TEMPorary Help configuration for demo purposes 231
SETUP.PDFViewer.TEMPorary.EXEcutable PDF viewer for demo purposes 231
SETUP.PDFViewer.TEMPorary.OPEN Open a PDF of the help system 231
SETUP.PDFViewer.TEMPorary.PRinT Print PDF via HELP window 231
SETUP.PDFViewer.TEMPorary.RESet Reset demo-help configuration 232
SETUP.QUITDO Define quit PRACTICE script file 233
SETUP.RADIX Radix mode 234
SETUP.RANDOM Set seed for RANDOM() function 235
SETUP.ReDraw Update whole screen 235
SETUP.RESTARTDO Define restart PRACTICE script file 235
SETUP.SOUND Set sound generator mode 236
SETUP.STATistic Cache and memory usage 236
SETUP.STOre Configure output of the STOre commands 237
SETUP.TabSize Configure tab width 238
SETUP.TIMEFORM Select scientific time format 239
TRACE32 Directory 15 ©1989-2017 Lauterbach GmbH
SETUP.UpdateRATE Update rate for windows 240
SETUP.WARNSTOP Configure PRACTICE stops 241
SETUP.XSLTSTYLESHEET Reference to XSLT stylesheet for XML files 242
SILENT ...................................................................................................................................... 243
SILENT Suppress informational messages in AREA window 243
SOFTKEYS ............................................................................................................................... 244
SOFTKEYS Toggle the buttons on the softkey bar 244
STATUSBAR ............................................................................................................................ 245
STATUSBAR Toggle state line 245
STOre ........................................................................................................................................ 246
STOre Store a setting 246
SUBTITLE ................................................................................................................................. 247
SUBTITLE Define a window subtitle for AMP debugging 247
TIMEOUT .................................................................................................................................. 249
TIMEOUT Specify time-out for TRACE32 command 249
TITLE ........................................................................................................................................ 250
TITLE Define a screen title for TRACE32 PowerView GUI 250
TOOLBAR ................................................................................................................................. 251
TOOLBAR Toggle toolbar 251
TYPE ......................................................................................................................................... 252
TYPE Display text file 252
UNARchive ............................................................................................................................... 253
UNARchive.extract Extract files from Linux library and Microsoft library 253
UNARchive.Show Extract files from library 253
UNARchive.Table Display table of contents of library 253
UNPACK ................................................................................................................................... 254
UNPACK Expand files 254
UNZIP ........................................................................................................................................ 255
UNZIP Expand files 255
VERSION .................................................................................................................................. 256
VERSION TRACE32 version information 256
VERSION.ENVironment Display environment settings 256
VERSION.HARDWARE Display hardware versions 257
VERSION.SOFTWARE Display software versions 257
VERSION.view Display window with version info 258
WELCOME ................................................................................................................................ 259
WELCOME Welcome to TRACE32 259
WELCOME.CONFIG Configure search paths for PRACTICE demo scripts 259
WELCOME.CONFIG.ADDDIR Add a new script search path 260
TRACE32 Directory 16 ©1989-2017 Lauterbach GmbH
WELCOME.CONFIG.FILTER Set the script search filter 260
WELCOME.CONFIG.ReMoveDIR Remove a script search path 260
WELCOME.CONFIG.RESet Reset the script search configuration 260
WELCOME.CONFIG.state Open the welcome config window 261
WELCOME.SCRIPTS Open the script search window 262
WELCOME.STARTUP Open the welcome window if not disabled 262
WELCOME.view Open the welcome window 263
Window ..................................................................................................................................... 264
Win Window handling (size, position, font size, etc.) 264
WinBack Generate background window 265
WinCLEAR Erase windows 265
WinDEFaultSIZE Apply a user-defined default size to windows 267
WinDuplicate Duplicate window 268
WinExt Generate external window 268
WinFIND Search for text in window 269
WinFreeze Generate frozen window 271
WinLarge Generate window with large font 272
WinMid Generate window with regular font 272
WinOverlay Pile up windows on top of each other 273
WinPAGE Window pages 274
WinPAGE.Create Create and select page 275
WinPAGE.Delete Delete page 275
WinPAGE.List Display an overview of all pages and their windows 276
WinPAGE.REName Rename page 277
WinPAGE.RESet Reset window system 277
WinPAGE.select Select page 277
WinPAN Specify a window cut-out 278
WinPOS Define window dimensions 279
WinPrint Print window 282
WinPRT Hardcopy of window 282
WinResist Generate a resistant window 283
WinRESIZE New size for window 284
WinSmall Generate window with small font 285
WinTABS Specify size of re-sizable columns 285
WinTOP Bring window to top 286
WinTrans Generate transparent window 287
ZERO ........................................................................................................................................ 288
ZERO.offset Set time reference 288
ZERO.RESet Reset to original value 288
ZIP ............................................................................................................................................. 289
ZIP Compress files 289
Appendix A - Help Filters ........................................................................................................ 290
TRACE32 Directory 17 ©1989-2017 Lauterbach GmbH
PRACTICE Script Language
PRACTICE Script Language User's Guide
PRACTICE Script Language User's Guide .............................................. (practice_user.pdf) 1
History ...................................................................................................................................... 2
Why Use PRACTICE Scripts ................................................................................................... 3
Related Documents ................................................................................................................. 3
PRACTICE Script Structure .................................................................................................... 4
Function 4
Difference between Variables and PRACTICE Macros 4
PRACTICE Script Elements 5
Script Flow 6
Conditional Script Flow 7
Script Nesting 7
Block Structures 8
PRACTICE Macros (Variables) 9
Parameter Passing 11
Input and Output 12
File Operations ........................................................................................................................ 13
Automatic Start-up Scripts ..................................................................................................... 14
Debugging PRACTICE Scripts ............................................................................................... 15
External Editors and Syntax Highlighting ............................................................................. 17
Configuring an External Editor 17
Working with TRACE32 and the External Editor 18
Appendix A .............................................................................................................................. 19
How to Run Demo Scripts Copied from the PDF Manuals 19
Demo Scripts in the TRACE32 Demo Folder 22
PRACTICE Script Language Reference Guide
PRACTICE Script Language Reference Guide .......................................... (practice_ref.pdf) 1
History ...................................................................................................................................... 4
Related Documents ................................................................................................................. 5
A ... D ........................................................................................................................................ 6
APPEND Append to file 6
BEEP Acoustic signal 6
CLOSE Close file 7
CONTinue Continue PRACTICE script 7
TRACE32 Directory 18 ©1989-2017 Lauterbach GmbH
DECRYPT Decrypts a text or binary file 8
DO Start PRACTICE script 9
DODECRYPT Execute encrypted PRACTICE script (*.cmm) 10
E ... F ......................................................................................................................................... 11
ECHO Write text and data to an AREA window (with format decoration) 11
ELSE Conditional script execution 13
ENCRYPT Encrypt a text or binary file 14
ENCRYPTDO Encrypt a PRACTICE script (*.cmm) 15
ENCRYPTPER Encrypt a PER file (*.per) 16
END Terminate PRACTICE scripts, etc. 17
ENDDO Return from a PRACTICE script 18
ENTER Window-based input 19
ENTRY Parameter passing 21
G ... H ........................................................................................................................................ 22
GLOBAL Create global PRACTICE macro 22
GLOBALON Global event-controlled PRACTICE script execution 23
GOSUB Subroutine call 28
GOTO Local script jump 29
I ... L .......................................................................................................................................... 30
IF Conditional script execution 30
INKEY Character input 31
JUMPTO Global script jump 31
LOCAL Create local PRACTICE macro 32
M ... O ........................................................................................................................................ 34
ON Event-controlled PRACTICE script execution 34
OPEN Open data file 38
P ... ............................................................................................................................................ 39
PARAMETERS Parameter fetching 39
PBREAK Breakpoints in PRACTICE script files (*.cmm) 40
PBREAK.at Deprecated 40
PBREAK.Delete Delete breakpoint 41
PBREAK.DISable Disable breakpoint 41
PBREAK.ENable Enable breakpoint 42
PBREAK.List Display breakpoint list 43
PBREAK.OFF TRACE32 disables breakpoint handling 44
PBREAK.ON TRACE32 re-enables breakpoint handling 45
PBREAK.RESet Clear all breakpoints 45
PBREAK.Set Add breakpoint 46
PEDIT Edit PRACTICE script 49
PLIST List PRACTICE script 50
PMACRO PRACTICE macros 51
PMACRO.EXPLICIT Enforce explicit PRACTICE macro declaration 51
TRACE32 Directory 19 ©1989-2017 Lauterbach GmbH
PMACRO.IMPLICIT Implicit PRACTICE macro declaration 53
PMACRO.list Display PRACTICE macros 54
PMACRO.LOCK Lock PRACTICE macros 54
PMACRO.RESet Clear current PRACTICE macros 55
PMACRO.UNLOCK Unlock PRACTICE macros 55
PRINT Write text and data to an AREA window (without format decoration) 56
PRINTF Write formatted data to an AREA window 60
PRIVATE Create private PRACTICE macro 68
PSKIP Skip command or block in PRACTICE script 70
PSTEP Execute single line 71
PSTEPOUT Back to caller 72
PSTEPOVER Step over callee and stop at the next script line 73
Q ... R ........................................................................................................................................ 74
READ Read from data file 74
RePeaT Loop with check at end of loop 75
RETURN Return from subroutine 77
RETURNVALUES Take return values 78
RUN Start PRACTICE script 79
S ... T ......................................................................................................................................... 80
SCREEN Screen updating 80
SCREEN.ALways Refresh always 80
SCREEN.display Refresh screen 81
SCREEN.OFF No refresh 81
SCREEN.ON Refresh when printing 81
SCREEN.WAIT Update screen while waiting 82
SPRINTF Write formatted data to a PRACTICE macro 84
STOP Interrupt PRACTICE script 85
W ... Z ........................................................................................................................................ 86
WAIT Wait until a condition is true or a period has elapsed 86
WHILE Loop with check at start of loop 88
WRITE Write to data file 89
WRITEB Write binary data to file 90
TRACE32 Functions
IDE Functions
IDE Functions .................................................................................................. (ide_func.pdf) 1
In This Document ................................................................................................................. 9
AREA Functions ................................................................................................................... 10
In This Section 10
AREA.COUNT() Number of existing message areas 10
TRACE32 Directory 20 ©1989-2017 Lauterbach GmbH
AREA.EXIST() Check if message area exists 10
AREA.LINE() Extract line from message area 11
AREA.MAXCOUNT() Maximal number of message areas 11
AREA.NAME() Names of existing message areas 12
AREA.SELECTed() Name of active message area 12
AREA.SIZE.COLUMNS() Columns of a message area 13
AREA.SIZE.LINES() Lines of a message area 13
CLOCK Functions ................................................................................................................ 13
CONFIG Function ................................................................................................................. 13
CONFIG.SCREEN() Check if screen output is switched on 13
CONVert Functions .............................................................................................................. 14
In This Section 14
CONVert.ADDRESSTODUALPORT() Dualport access class 14
CONVert.ADDRESSTONONSECURE() Non-secure access class 15
CONVert.ADDRESSTOSECURE() Secure accesss class 15
CONvert.BOOLTOINT() Boolean to integer 16
CONVert.CHAR() Integer to ASCII character 16
CONVert.FLOATTOINT() Float to integer 17
CONVert.HEXTOINT() Hex to integer 17
CONVert.INTTOBOOL() Integer to boolean 17
CONVert.INTTOFLOAT() Integer to floating point value 18
CONVert.INTTOHEX() Integer to hex 19
CONVert.INTTOMASK() Compose bit-mask from integer value and mask 19
CONVert.MASKMTOINT() Bits set to don't-care in given bit-mask 20
CONVert.MASKTOINT() Bits set to 1 in given bit-mask 20
CONVert.OCTaltoint() Octal to decimal 21
CONVert.SignedByte() 1 byte to 8 bytes 21
CONVert.SignedLong() 4 bytes to 8 bytes 22
CONVert.SignedWord() 2 bytes to 8 bytes 22
CONVert.TIMEMSTOINT() Time to milliseconds 23
CONVert.TIMENSTOINT() Time to nanoseconds 23
CONVert.TIMESTOINT() Time to seconds 24
CONVert.TIMEUSTOINT() Time to microseconds 24
CONVert.TOLOWER() String to lower case 25
CONVert.TOUPPER() String to upper case 25
DATE Functions ................................................................................................................... 26
In This Section 26
DATE.DATE() Current date 26
DATE.DAY() Today’s date 26
DATE.MakeUnixTime() Date to Unix timestamp 27
DATE.MONTH() Number of current month 27
DATE.SECONDS() Seconds since midnight 28
TRACE32 Directory 21 ©1989-2017 Lauterbach GmbH
DATE.TIME() Current time 28
DATE.TimeZone() Timezone identifier and hh:mm:ss 28
DATE.UnixTime() Seconds since Jan 1970 29
DATE.utcOffset() Offset of current local time to UTC 29
DATE.YEAR() Current year 29
DIALOG Functions ............................................................................................................... 30
In This Section 30
DIALOG.BOOLEAN() Current boolean value of checkbox 30
DIALOG.EXIST() Existence of dialog element 31
DIALOG.STRing() Current string value of dialog element, e.g. EDIT box 32
DIALOG.STRing2() Comma-separated list of values, e.g. from LISTBOX 33
ERROR Functions ................................................................................................................ 34
In This Section 34
ERROR.ID() ID of last error message 34
ERROR.OCCURRED() Error status 35
EVAL Functions .................................................................................................................... 36
In This Section 36
EVAL() Value of expression evaluated with EVAL command 36
EVAL.STRing() String composed by expression evaluated with EVAL cmd. 36
EVAL.TYPE() Type of expression evaluated with EVAL command 36
FALSE Function ................................................................................................................... 37
FALSE() Boolean expression 37
FILE Functions ..................................................................................................................... 38
In This Section 38
__FILE__() Directory of current PRACTICE script 38
__LINE__() Number of script line to be executed next 38
FILE.EOF() Check if end of read-in file has been reached 38
FILE.EOFLASTREAD() Check if last read from file reached the end of the file 39
FILE.EXIST() Check if file exists 39
FILE.OPEN() Check if file is open 40
FILE.TYPE() File type of loaded file 40
FORMAT Functions .............................................................................................................. 41
In This Section 41
FORMAT.BINary() Numeric to binary value (leading spaces) 41
FORMAT.CHAR() Numeric to ASCII character (fixed length) 41
FORMAT.Decimal() Numeric to string (leading spaces) 42
FORMAT.DecimalU() Numeric to unsigned decimal as string (leading spaces) 43
FORMAT.DecimalUZ() Numeric to unsigned decimal as string (leading zeros) 45
FORMAT.FLOAT() Floating point value to string 46
FORMAT.HEX() Numeric to hex (leading zeros) 46
FORMAT.STRing() Output string with fixed length 47
TRACE32 Directory 22 ©1989-2017 Lauterbach GmbH
FORMAT.TIME() Time to string (leading spaces) 48
FORMAT.UDECIMAL() Refer to FORMAT.DecimalU() 48
FORMAT.UDECIMALZ() Refer to FORMAT.DecimalUZ() 49
FORMAT.UnixTime() Format Unix timestamps 49
FOUND Functions ................................................................................................................ 53
In This Section 53
FOUND() TRUE() if search item was found 53
FOUND.COUNT() Number of occurrences found 54
GDB Function (TRACE32 as GDB Back-End) .................................................................... 55
GDB.PORT() Port number for communication via GDB interface 55
HELP Function ...................................................................................................................... 56
HELP.MESSAGE() tbd. 56
HOST Functions ................................................................................................................... 57
HOSTID() Host ID 57
HOSTIP() Host IP address 57
IFCONFIG and IFTEST Functions ....................................................................................... 58
In This Section 58
IFCONFIG.COLLISIONS() Collisions since start-up 58
IFCONFIG.CONFIGURATION() Connection type 59
IFCONFIG.DEVICENAME() Name of TRACE32 device 59
IFCONFIG.ERRORS() Errors since start-up 59
IFCONFIG.ETHernetADDRESS() MAC address of TRACE32 device 59
IFCONFIG.IPADDRESS() IP address of TRACE32 device 60
IFCONFIG.RESYNCS() Resyncs since start-up 60
IFCONFIG.RETRIES() Retries since start-up 60
IFTEST.DOWNLOAD() Download in KByte/sec 60
IFTEST.LATENCY() Latency in microseconds 61
IFTEST.UPLOAD() Upload in KByte/sec 61
INTERCOM Functions .......................................................................................................... 62
In This Section 62
INTERCOM.PING() Check if ping is successful 62
INTERCOM.PODPORT() Port of a TRACE32 PowerView instance 62
INTERCOM.PODPORTNUMBER() Number of PowerView instances 63
INTERCOM.PORT() Port number for communication via intercom interface 63
LICENSE Functions .............................................................................................................. 64
In This Section 64
LICENSE.DATE() Expiration date of maintenance contract 64
LICENSE.FAMILY() Name of the CPU family license 64
LICENSE.FEATURES() List of features licensed 65
LICENSE.getINDEX() Index of maintenance contract 65
LICENSE.GRANTED() License state 65
TRACE32 Directory 23 ©1989-2017 Lauterbach GmbH
LICENSE.HAVEFEATURE() Checks if license is stored in debugger hardware 66
LICENSE.MSERIAL() Serial number of the maintenance contract 67
LICENSE.MULTICORE() Check if multicore debugging is licensed 67
LICENSE.SERIAL() Serial number of debug cable 67
Mathematical Functions ...................................................................................................... 68
In This Section 68
math.ABS() Absolute value of decimal value 68
math.FABS() Absolute value of floating point number 68
math.FCOS() Cosine of an angle given in radian 69
math.FEXP() Exponentiation with base e (Euler's number) 69
math.FEXP10() Exponentiation with base 10 69
math.FINF() Positive infinity 69
math.FLOG() Natural logarithm of given value 70
math.FLOG10() Logarithm to base 10 of given value 70
math.FMAX() Return the larger one of two floating point values 70
math.FMIN() Return the smaller one of two floating point values 71
math.FNAN() Not a number value 71
math.FPOW() Y-th power of base x 71
math.FSIN() Sine of an angle given in radian 72
math.FSQRT() Square-root of given value 72
math.MAX() Return the larger one of two decimal values 72
math.MIN() Return the smaller one of two decimal values 73
math.SIGN() Return -1 or +1 depending on argument 73
math.SIGNUM() Return -1 or 0 or +1 depending on argument 73
math.TimeMAX() Return the larger one of two time values 74
math.TimeMIN() Return the smaller one of two time values 74
NODENAME Function .......................................................................................................... 75
NODENAME() Node name of connected TRACE32 device 75
OS Functions ........................................................................................................................ 76
In This Section 76
OS.DIR() Check if directory exists 76
OS.DIR.ACCESS() Access rights to directory 77
OS.ENV() Value of OS environment variable 77
OS.FILE() Check if file exists 78
OS.FILE.ABSPATH() Absolute path to file or directory 79
OS.FILE.ACCESS() Access rights to file 79
OS.FILE.DATE() Modification date and timestamp of file 80
OS.FILE.DATE2() Modification date of file 80
OS.FILE.EXTENSION() File name extension 80
OS.FILE.LINK() Real file name of file link 80
OS.FILE.NAME() Extract file name from path 81
OS.FILE.PATH() Return path of file 82
TRACE32 Directory 24 ©1989-2017 Lauterbach GmbH
OS.FILE.REALPATH() Canonical absolute path to file or directory 82
OS.FILE.SIZE() File size in bytes 83
OS.FILE.TIME() Modification timestamp of file 83
OS.FILE.UnixTime() Unix timestamp of file 83
OS.FIRSTFILE() First file name matching a pattern 84
OS.ID() User ID of TRACE32 instance 85
OS.NAME() Basic name of operating system 85
OS.NEXTFILE() Next file name matching a pattern 86
OS.PCF() Name of used TRACE32 configuration file 86
OS.PDD() Demo directory for the current architecture 86
OS.PED() Directory of current TRACE32 executable 86
OS.PEF() Path and file name of current TRACE32 executable 87
OS.PHD() Path of the home directory 87
OS.PHELPD() Path of the TRACE32 online help directory 87
OS.PLF() Current TRACE32 license file 87
OS.PORTAVAILABLE.TCP() Check if TCP port is used 88
OS.PORTAVAILABLE.UDP() Check if UDP port is used 88
OS.PPD() Directory of current PRACTICE script 89
OS.PPF() Path and file name of current PRACTICE script file 89
OS.PSD() TRACE32 system directory 89
OS.PTD() TRACE32 temporary directory 89
OS.PWD() Current working directory 90
OS.RETURN() Return code of the last executed operating system command 90
OS.TIMER() OS timer in milliseconds 90
OS.TMPFILE() Name for a temporary file 91
OS.VERSION() Type of the host operating system 92
PID Function ......................................................................................................................... 95
PID() Process identifier of a TRACE32 PowerView instance 95
PRACTICE Functions ........................................................................................................... 96
In This Section 96
PRACTICE.ARG() Return value of GOSUB, DO, RETURN, and ENDDO 96
PRACTICE.ARG.SIZE() Number of passed or returned arguments 97
PRACTICE.CALLER.FILE() File name of the script/subscript caller 98
PRACTICE.CALLER.LINE() Line number in caller script 98
PRACTICE.CoMmanD.AVAILable() Check if command is available 99
PRACTICE.FUNCtion.AVAILable() Check if function is available 99
PRINTER Function ............................................................................................................... 101
PRINTER.FILENAME() Path and file name of next print operation 101
RADIX Function .................................................................................................................... 102
RADIX() Current radix setting 102
RANDOM Functions ............................................................................................................. 103
RANDOM() Pseudo random number 103
TRACE32 Directory 25 ©1989-2017 Lauterbach GmbH
RANDOM.RANGE() Pseudo random number from specified range 103
RCL Function ........................................................................................................................ 104
RCL.PORT() Port number of remote API interface 104
SOFTWARE Functions ......................................................................................................... 105
In This Section 105
SOFTWARE.64BIT() Check if TRACE32 executable is 64-bit 105
SOFTWARE.BUILD() Upper build number 105
SOFTWARE.BUILD.BASE() Lower build number 105
SOFTWARE.SCUBASED() Controller-based TRACE32 software 106
SOFTWARE.VERSION() Release build or nightly build, etc. 107
STRing Functions ................................................................................................................. 108
In This Section 108
STRing.CHAR() Extract a character 108
STRing.ComPare() Check if string matches pattern 109
STRing.COUNT() Substring occurrences 109
STRing.CUT() Cut string from left or right 110
STRing.FIND() Check if search characters are found within string 110
STRing.LENgth() Length of string 111
STRing.LoWeR() String to lowercase 111
STRing.MID() Extract part of string 111
STRing.Replace() Modified string after search operation 112
STRing.SCAN() Offset of the found string 113
STRing.SCANAndExtract() Extract remaining string after search string 114
STRing.SPLIT() Return element from string list 115
STRing.TRIM() String without leading and trailing whitespaces 115
STRing.UPpeR() String to uppercase 116
TCF Functions (TRACE32 as TCF Agent) .......................................................................... 117
TCF.PORT() Port number of TCF interface 117
TCF.DISCOVERY() Check if TCF discovery is enabled 117
TEST Function ...................................................................................................................... 118
TEST.TIMEISVALID() Check if time value is valid 118
TIMEOUT Function ............................................................................................................... 119
TIMEOUT() Check if command was fully executed 119
TITLE Function ..................................................................................................................... 120
TITLE() Caption of the TRACE32 main window 120
TRUE Function ..................................................................................................................... 120
TRUE() Boolean expression 120
WARNINGS Function ........................................................................................................... 121
WARNINGS() Check if warning occurred during command execution 121
WINDOW Functions ............................................................................................................. 122
TRACE32 Directory 26 ©1989-2017 Lauterbach GmbH
In This Section 122
WINdow.COMMAND() Command string displayed in window 122
WINdow.EXIST() Check if window name exists 123
WINdow.POSition() Information on window position and dimension 123
WINPAGE.EXIST() Check if window page exists 124
General Functions
General Functions ................................................................................... (general_func.pdf) 1
In This Document ................................................................................................................. 22
How This Document is Organized 22
Difference between Functions and Commands in TRACE32 23
Purpose of Functions 24
How to Use Functions 25
Which Return Values of Functions can be Printed? 27
Related Documents 28
ADDRESS Functions ............................................................................................................ 29
In This Section 29
ADDRESS.ACCESS() 29
ADDRESS.EXPANDACCESS() Fully qualified access class 29
ADDRESS.isDATA() Check if memory class refers to data 30
ADDRESS.INSTR.LEN() 30
ADDRESS.isINTERMEDIATE() Check if intermediate physical address 30
ADDRESS.isNONSECURE() TRUE if NonSecure (Trustzone) access 31
ADDRESS.isNONSECUREEX() 31
ADDRESS.MAU() Minimal addressable unit size (MAU) 32
ADDRESS.OFFSET() Address without class 33
ADDRESS.isONCHIP() TRUE if on-chip address area 33
ADDRESS.isPHYSICAL() TRUE if physical address 33
ADDRESS.isPROGRAM() TRUE if program address 34
ADDRESS.isSECURE() TRUE if secure (Trustzone) access 35
ADDRESS.isSECUREEX() 35
ADDRESS.RANGE.BEGIN() Lowest address value of address range 36
ADDRESS.RANGE.END() Highest address value of address range 36
ADDRESS.RANGE.SIZE() Size of address range 37
ADDRESS.SEGMENT() 37
ADDRESS.STRACCESS() Access class of an address 38
Analyzer Functions .............................................................................................................. 39
In This Section 40
Analyzer() Check if Analyzer command group is available 40
Analyzer.CONFIG.<ice_analyzer>() Check if ICE Analyzer is included 40
Analyzer.CONFIG.<powertrace>() Check if specified PowerTrace connected 41
TRACE32 Directory 27 ©1989-2017 Lauterbach GmbH
Analyzer.CONFIG.FEC() Check if FIRE contains Analyzer 42
Analyzer.CONFIG.RISCTRACE() Check if RISC trace module connected 42
Analyzer.COUNTER.EVENT() Get value of trigger program event counter 43
Analyzer.COUNTER.EXTERN() Get value of trigger program ext. counter 43
Analyzer.COUNTER.TIME() Get value of trigger program time counter 44
Analyzer.DSEL() Internal usage 44
Analyzer.FIRST() Get record number of first trace record 44
Analyzer.FLAG() Check state of trigger program FLAG 45
Analyzer.FLOW.ERRORS() Get number of flow errors / hard errors 45
Analyzer.FLOW.FIFOFULL() Get number of FIFO overflows 45
Analyzer.FOCUS.EYE() Check quality of data eye 46
Analyzer.ISCHANNELUP() Check if serial link is established 47
Analyzer.MAXSIZE() Get max. size of trace buffer in records 48
Analyzer.MODE() Get Analyzer recording mode 48
Analyzer.MODE.FLOW() Check if Analyzer operates as flowtrace 48
Analyzer.PC() Get current PC with FIRE/ICE 48
Analyzer.PROBEREVISION() Get revision of StarCore NEXUS probe 49
Analyzer.RECORDS() Get number of used trace records 49
Analyzer.RECORD.ADDRESS() Get address recorded in trace record 49
Analyzer.RECORD.DATA() Get data recorded in trace record 50
Analyzer.RECORD.OFFSET() Get address in trace record as number 51
Analyzer.RECORD.TIME() Get time stamp of trace record 51
Analyzer.REF() Get record number of reference record 52
Analyzer.SIZE() Get current trace buffer size in records 52
Analyzer.STATE() Get state of Analyzer 53
Analyzer.THRESHOLD() Get threshold voltage of parallel preprocessor 53
Analyzer.TraceCONNECT() Name of trace sink of the SoC 53
Analyzer.TRACK.RECORD() Get record number matching search 54
Analyzer.TRIGGER.A() Get inputs from ICE TRIGGER A connector 54
Analyzer.TRIGGER.B() Get inputs from ICE TRIGGER B connector 54
Analyzer.TRIGGER.TIME() Time of trigger point in trace 55
ARM Function ....................................................................................................................... 56
ARMARCHVERSION() ARM architecture version of CPU 56
Advanced Register Trace (ART) Functions ....................................................................... 57
In This Section 57
ART.FIRST() Get record number of first trace record 57
ART.MAXSIZE() Get max. size of trace buffer in records 58
ART.MODE() Get ART recording mode 58
ART.RECORD.ADDRESS() Get address recorded in trace record 58
ART.RECORD.OFFSET() Get address in trace record as number 58
ART.RECORD.TIME() Get time stamp of trace record 59
ART.RECORDS() Get number of used trace records 59
ART.REF() Get record number of reference record 59
TRACE32 Directory 28 ©1989-2017 Lauterbach GmbH
ART.SIZE() Get current trace buffer size in records 59
ART.STATE() Get state of ART trace 60
ART.TRACK.RECORD() Get record number matching search 60
AUTOFOCUS Functions ...................................................................................................... 61
In This Section 61
AUTOFOCUS() TRUE if AutoFocus preprocessor attached 61
AUTOFOCUS.OK() TRUE if command execution successful 61
AUTOFOCUS.FREQUENCY() Frequency of trace-port clock 61
AVX Function ........................................................................................................................ 62
AVX() Content of AVX register 62
Break Functions ................................................................................................................... 63
In This Section 63
Break.Alpha.EXIST() TRUE if Alpha breakpoint exists 63
Break.Beta.EXIST() TRUE if Beta breakpoint exist 63
Break.Charly.EXIST() TRUE if Charly breakpoint exists 64
Break.Program.EXIST() TRUE if enabled program breakpoint exists 64
Break.ReadWrite.EXIST() TRUE if enabled data address breakpoint exists 64
BMC Functions (Benchmark Counter) ............................................................................... 65
In This Section 65
BMC.CLOCK() Frequency of core clock 65
BMC.COUNTER() Value of a benchmark counter 65
BMC.COUNTER.BYNAME() Value of a benchmark counter 66
BMC.COUNTER.CORE() Value of a benchmark counter 66
BMC.COUNTER.BYNAME.CORE() Value of a benchmark counter 67
BMC.OVERFLOW() TRUE if benchmark counter overflow 67
BMC.OVERFLOW.BYNAME() TRUE if benchmark counter overflow 67
BMC.OVERFLOW.CORE() TRUE if benchmark counter overflow 68
BMC.OVERFLOW.BYNAME.CORE() TRUE if benchmark counter overflow 68
Boundary Scan Description Language (BSDL) Functions ............................................... 69
In This Section 69
BSDL.CHECK.BYPASS() Chain bypass test 69
BSDL.CHECK.FLASHCONF() Flash configuration test 69
BSDL.CHECK.IDCODE() Chain IDCODE test 69
BSDL.GetDRBit() Data register bit 70
BSDL.GetPortLevel() Port level value 70
CABLE Functions ................................................................................................................. 71
In This Section 71
CABLE.GalvanicISOlation() Cable has galvanic isolation 71
CABLE.GalvanicISOlation.SERIAL() Serial number of adapter 71
CABLE.NAME() Name of debug cable 71
CABLE.SERIAL() Serial number of debug cable 72
TRACE32 Directory 29 ©1989-2017 Lauterbach GmbH
CABLE.TWOWIRE() TRUE if two-wire debugging supported 72
CACHE Functions ................................................................................................................ 73
In This Section 73
CACHE.DC.DIRTY() 73
CACHE.DC.DIRTYMASK() 73
CACHE.DC.LRU() 74
CACHE.DC.TAG() 74
CACHE.DC.VALID() 74
CACHE.DC.VALIDMASK() 75
CACHE.IC.DIRTY() 75
CACHE.IC.DIRTYMASK() 75
CACHE.IC.LRU() 76
CACHE.IC.TAG() 76
CACHE.IC.VALID() 76
CACHE.IC.VALIDMASK() 77
CACHE.L2.DIRTY() 77
CACHE.L2.DIRTYMASK() 77
CACHE.L2.LRU() 78
CACHE.L2.SHARED() 78
CACHE.L2.SHAREDMASK() 78
CACHE.L2.TAG() 79
CACHE.L2.VALID() 79
CACHE.L2.VALIDMASK() 79
CACHE.L3.DIRTY() 80
CACHE.L3.DIRTYMASK() 80
CACHE.L3.LRU() 80
CACHE.L3.TAG() 81
CACHE.L3.VALID() 81
CACHE.L3.VALIDMASK() 81
CAnalyzer Functions (CombiProbe, µTrace) ..................................................................... 82
In This Section 82
CAnalyzer() Check if CAnalyzer command group is available 83
CAnalyzer.BOTHCables() TRUE if both debug cables are plugged 83
CAnalyzer.CableTYPE() Type of adapter 83
CAnalyzer.DebugCable() CombiProbe whisker cable is A or B 84
CAnalyzer.FIRST() Get record number of first trace record 84
CAnalyzer.MAXSIZE() Get max. size of trace buffer in records 84
CAnalyzer.PIN() Status of trace pins 85
CAnalyzer.RECORD.ADDRESS() Get address recorded in trace record 85
CAnalyzer.RECORD.DATA() Get data recorded in trace record 85
CAnalyzer.RECORD.OFFSET() Get address in trace record as number 86
CAnalyzer.RECORD.TIME() Get time stamp of trace record 86
CAnalyzer.RECORDS() Get number of used trace records 86
TRACE32 Directory 30 ©1989-2017 Lauterbach GmbH
CAnalyzer.REF() Get record number of reference record 86
CAnalyzer.SIZE() Get current trace buffer size in records 87
CAnalyzer.STATE() Get state of Compact Analyzer 87
CAnalyzer.TraceCONNECT() Name of trace sink of the SoC 88
CAnalyzer.TracePort() 88
CAnalyzer.TRACK.RECORD() Get record number matching search 88
CERBEURS Functions ......................................................................................................... 89
CERBERUS.IOINFO() IOINFO of Cerberus module 89
CERBERUS.IOINFO.IFLCK() TRUE if IF_LCK bit in Cerberus INONFO set 89
CHIP Functions ..................................................................................................................... 90
CHIP.EmulationDevice() TRUE if emulation device 90
CHIP.STEPping() Major silicon step of an TriCore AURIX device 90
CIProbe Functions (Analog Probe for CombiProbe or µTrace) ....................................... 91
In This Section 91
CIProbe() 91
CIProbe.ADC.ENABLE() 91
CIProbe.ADC.SHUNT() 91
CIProbe.MAXSIZE() Get max. size of trace buffer in records 92
CIProbe.RECORDS() Get number of used trace records 92
CIProbe.SIZE() Get current trace buffer size in records 92
CIProbe.STATE() Get state of Compact Analyzer for CIProbe 92
CIProbe.TRACK.RECORD() Get record number matching search 93
COMPonent Functions ......................................................................................................... 94
COMPonent.AVAILABLE() TRUE if peripheral component available on CPU 94
COMPonent.BASE() Base address of peripheral component 94
CORE Functions ................................................................................................................... 96
In This Section 96
CONFIGNUMBER() Number of cores configured in TRACE32 97
CORE() This is the selected core 97
CORE.ISACTIVE() TRUE if this core is active 98
CORE.ISASSIGNED() TRUE if physical core is assigned to debug session 99
CORE.LOGICALTOPHYSICAL() This is the physical core number 100
CORE.NAMES() Physical core names assigned to TRACE32 101
CORENAME() Name of core within selected chip 102
CORE.NUMBER() Number of logical cores 102
CORE.PHYSICALTOLOGICAL() Logical core number of physical core 104
Count Functions ................................................................................................................... 105
In This Section 105
Count.Frequency() 105
Count.LEVEL() 105
Count.Time() 106
TRACE32 Directory 31 ©1989-2017 Lauterbach GmbH
Count.VALUE() 106
COVerage Functions ............................................................................................................ 107
In This Section 107
COVerage.BDONE() Byte count of all executed instructions 108
COVerage.SCOPE() Degree of code coverage 109
COVerage.TreeWalk() Walk symbol tree 110
CPU Functions ...................................................................................................................... 111
In This Section 111
CPU.FEATURE() 111
CPU.PINCOUNT() 112
CPUBONDOUT() Name of boundout processor 113
CPUCOREVERSION() Core or architecture version of CPU 113
CPUDERIVATE() 113
CPUFAMILY() 113
CPUHELP() 113
CPUIS() 114
CPUIS64BIT() 114
DAP Functions ...................................................................................................................... 115
DAP.Available() 115
DAP.USER<x>() Status of the DAP user pin 115
Data Functions ..................................................................................................................... 116
In This Section 116
Data.<value_width>() Memory contents in default endianness 116
Data.<value_width>.<endianness>() Mem. contents in specified byte order 119
Data.<value_width>.<access_width>() Mem. contents in specified width 121
Data.AL.ERRORS() 123
Data.Float() 123
Data.STRing() 124
Data.STRingN() 125
Data.SUM() 125
Data.WSTRING() 125
Data.WSTRING.BigEndian() 126
Data.WSTRING.LittleEndian() 126
DEBUGMODE Function ....................................................................................................... 127
DEBUGMODE() Current debug mode 127
DISASSEMBLE Function ..................................................................................................... 128
DISASSEMBLE.ADDRESS() Disassembled instruction at address 128
DONGLEID Function ............................................................................................................ 128
DONGLEID(0) Serial number of USB WibuKey 128
ELA Function (ARM Coresight Embedded Logic Analyzer) ............................................. 129
ELABASE() ELA base address 129
TRACE32 Directory 32 ©1989-2017 Lauterbach GmbH
DPP Function (C166/ST10 only) .......................................................................................... 129
DPP() Content of DPP register 129
EPOC Functions ................................................................................................................... 130
In This Section 130
EPOC.DATAADDRESS() Start address of data area (EPOC debugger) 130
EPOC.ENTRYPOINT() 130
EPOC.TEXTADDRESS() 130
EPROM Simulator Function ................................................................................................ 131
hardware.ESI() 131
ERROR Function (target-dependent) ................................................................................. 131
ERROR.ADDRESS() 131
ETM Functions ...................................................................................................................... 132
In This Section 132
ETM() 132
ETM.ADDRCOMP() 132
ETM.ADDRCOMPTOTAL() 133
ETM.COUNTERS() 133
ETM.DATACOMP() 133
ETM.EXTIN() 133
ETM.EXTOUT() 134
ETM.FIFOFULL() 134
ETM.MAP() 134
ETM.PROTOCOL() 134
ETM.SEQUENCER() 134
EXTENDED Function (Z80 only) .......................................................................................... 135
EXTENDED() 135
FDX Function ........................................................................................................................ 135
FDX.INSTRING() 135
FIRE Function ....................................................................................................................... 135
hardware.FIRE() 135
FLAG Functions ................................................................................................................... 136
In This Section 136
FLAG() 136
FLAG.READ() 136
FLAG.WRITE() 136
FLASH Functions ................................................................................................................. 137
In This Section 137
FLASH.CFI.SIZE() 138
FLASH.CLocK.Frequency() 138
FLASH.List.STATE.PENDING() 138
TRACE32 Directory 33 ©1989-2017 Lauterbach GmbH
FLASH.SECTOR.BEGIN() 139
FLASH.SECTOR.END() 139
FLASH.SECTOR.EXIST() TRUE if sector exists 139
FLASH.SECTOR.EXTRAvalue() Extra value of FLASH.Create 140
FLASH.SECTOR.NEXT() Address of next sector 141
FLASH.SECTOR.OTP() TRUE if OTP sector 141
FLASH.SECTOR.RANGE() Address range of a FLASH sector 141
FLASH.SECTOR.SIZE() Size in bytes 141
FLASH.SECTOR.STATE() FLASH programming state 142
FLASH.SECTOR.TYPE() FLASH family code of sector 142
FLASH.SECTOR.WIDTH() Width of FLASH sector 143
FLASH.TARGET.BUILD() Build number of FLASH algorithm file 143
FLASH.TARGET.FILE() Name of FLASH algorithm file 143
FLASH.TARGET2.FILE() Name of FLASH algorithm file 144
FLASH.UNIT() Unit number of FLASH sector 144
FLASH.UNIT.BEGIN() Unit start address 144
FLASH.UNIT.END() Unit end address 144
FLASH.UNIT.EXIST() TRUE if unit exists 145
FLASH.UNIT.NEXT() Number of next unit 145
FLASHFILE Function ........................................................................................................... 146
FLASHFILE.SPAREADDRESS() Address of spare area 146
FPU Functions (Floating Point Unit) ................................................................................... 147
FPU() FPU register contents 147
FPUCR() FPU control register contents 147
FPU.RAW() FPU register raw contents 147
FXU Function ........................................................................................................................ 148
FXU() Content of FXU register 148
GROUP Function .................................................................................................................. 148
GROUP.EXIST() TRUE if group exists 148
Hardware Functions ............................................................................................................. 149
In This Section 149
hardware.COMBIPROBE() TRUE if CombiProbe is connected 149
hardware.ICD() TRUE if universal base module is connected 149
hardware.QUADPROBE() TRUE if QuadProbe is connected 150
hardware.SCU() TRUE if ICE or PodBus Ethernet Controller is used 150
hardware.UTRACE() TRUE if debugger is a µTrace 150
HVX Function ........................................................................................................................ 151
HVX() Content of HVX register 151
I2C Functions ........................................................................................................................ 152
In This Section 152
I2C.DATA() Data read by I2C.TRANSFER 152
TRACE32 Directory 34 ©1989-2017 Lauterbach GmbH
I2C.PIN() Pin status 152
ICE Functions ....................................................................................................................... 153
hardware.ICE() 153
ICEFAMILY() 153
ID Functions .......................................................................................................................... 154
In This Section 154
ID.CABLE() Hardware ID of debug cable 154
ID.PREPROcessor() Hardware ID of preprocessor 154
ID.WHISKER() ID of whisker cable 155
IDCODE() ID code of TAP in JTAG chain 158
IDCODENUMBER() Number of detected TAPs 158
Integrator Functions ............................................................................................................ 159
In This Section 159
Integrator() 159
Integrator.FIRST() Get record number of first trace record 159
Integrator.ADC.ENABLE() 159
Integrator.ADC.SHUNT() 160
Integrator.ANALOG() 160
Integrator.COUNTER.EVENT() Get value of trigger program event counter 160
Integrator.COUNTER.EXTERN() Value of trigger program external counter 160
Integrator.COUNTER.TIME() Get value of trigger program time counter 161
Integrator.DIALOGDSEL() 161
Integrator.DIALOGDSELGET() 161
Integrator.DSEL() 161
Integrator.FIND.PI_CHANNEL() 162
Integrator.FIND.PI_WORD() 162
Integrator.FLAG() Check state of trigger program FLAG 162
Integrator.GET() 162
Integrator.MAXSIZE() Get max. size of trace buffer in records 163
Integrator.PROBE() 163
Integrator.PROGRAMFILENAME() 163
Integrator.RECORD.DATA() Get data recorded in trace record 163
Integrator.RECORD.TIME() Get time stamp of trace record 164
Integrator.RECORDS() Get number of used trace records 164
Integrator.REF() 164
Integrator.SIZE() Get current trace buffer size in records 164
Integrator.STATE() Get state of the Integrator 165
Integrator.TRACK.RECORD() Get record number matching search 165
Integrator.USB() 165
INTERFACE Functions ......................................................................................................... 166
In This Section 166
INTERFACE.CADI() TRUE if connection to target is via CADI interface 166
TRACE32 Directory 35 ©1989-2017 Lauterbach GmbH
INTERFACE.GDB() TRUE if connection to target is via GDB interface 166
INTERFACE.GDI() TRUE if connection to target via GDI interface 167
INTERFACE.HOST() TRUE if connection to application via HOST interface 167
interface.HOSTMCI() 167
INTERFACE.MCD() TRUE if connection to target via MCD interface 167
INTERFACE.NAME() Name of debugger 168
INTERFACE.QNX() 168
INTERFACE.SIM() 168
INTERFACE.VAST() TRUE if connection to target via VAST interface 168
INTERFACE.VDI() TRUE if connection to target via Virtio interface 169
IOBASE Functions ............................................................................................................... 170
In This Section 170
IOBASE() 170
IOBASE.ADDRESS() 170
IOBASE2() 170
IProbe Functions .................................................................................................................. 171
In This Section 172
IProbe() 172
IProbe.ADC.ENABLE() 172
IProbe.ADC.SHUNT() 173
IProbe.ANALOG() 173
IProbe.FIRST() Get record number of first trace record 174
IProbe.GET() 174
IProbe.MAXSIZE() Get max. size of trace buffer in records 174
IProbe.PROBE() 175
IProbe.RECORD.DATA() Get data recorded in trace record 175
IProbe.RECORD.TIME() Get time stamp of trace record 176
IProbe.RECORDS() Get number of used trace records 176
IProbe.REF() Get record number of reference record 176
IProbe.SIZE() Get current trace buffer size in records 177
IProbe.STATE() Get state of IProbe 177
IProbe.TRACK.RECORD() Get record number matching search 178
JTAG Functions .................................................................................................................... 179
In This Section 179
JTAG.PIN() Level of JTAG signal 179
JTAG.SHIFT() TDO output of JTAG shift 179
JTAG.X7EFUSE.RESULT() Result of JTAG.X7EFUSE command 179
JTAG.X7EFUSE.CNTL() CNTL flags read by JTAG.X7EFUSE command 180
JTAG.X7EFUSE.DNA() DNA value read by JTAG.X7EFUSE command 181
JTAG.X7EFUSE.KEY() AES key read by JTAG.X7EFUSE command 181
JTAG.X7EFUSE.USER() User code read by JTAG.X7EFUSE command 181
LOGGER Functions .............................................................................................................. 182
TRACE32 Directory 36 ©1989-2017 Lauterbach GmbH
In This Section 182
LOGGER.FIRST() Get record number of first trace record 182
LOGGER.RECORD.ADDRESS() Get address recorded in trace record 183
LOGGER.RECORD.DATA() Get data recorded in trace record 183
LOGGER.RECORD.OFFSET() Get address in trace record as number 183
LOGGER.RECORD.TIME() Get time stamp of trace record 183
LOGGER.RECORDS() Get number of used trace records 184
LOGGER.REF() Get record number of reference record 184
LOGGER.SIZE() Get current trace buffer size in records 184
LOGGER.STATE() Get state of Logger trace 184
MachO Format Function (Apple) ......................................................................................... 186
MACHO.LASTUUID() Universally unique identifier of MachO file 186
MAP Functions ..................................................................................................................... 187
In This Section 187
MAP.CONFIG.FDPRAM() TRUE if full dualported RAM module 187
MAP.RAMSIZE() Emulation memory size of TRACE-ICE or TRACE-FIRE 187
MAP.ROMSIZE() Size of the defined ROM 187
MCDS Functions ................................................................................................................... 188
In This Section 188
MCDS.MODULE.NAME() Name of MCDS module 188
MCDS.MODULE.NUMBER() Number-part of MCDS module ID 189
MCDS.MODULE.REVision() Revision-part of MCDS module ID 189
MCDS.MODULE.TYPE() Type-part of MCDS module ID 190
MCDS.STATE() MCDS module is switched on/off 190
MCDS.TraceBuffer.LowerGAP() Trace buffer lower gap 190
MCDS.TraceBuffer.SIZE() Trace buffer size 191
MCDS.TraceBuffer.UpperGAP() Trace buffer upper gap 191
MMU Functions (Memory Management Unit) .................................................................... 192
In This Section 192
MMU() Value of MMU register 192
MMU.DEFAULTPT() Base address of default page table 192
MMU.FORMAT() Currently selected MMU format 192
MMX Function (MultiMedia eXtension) ............................................................................... 194
MMX() Value of MMX register 194
MONITOR Function .............................................................................................................. 194
MONITOR() TRUE if debugger is running as monitor 194
NEXUS Functions ................................................................................................................. 195
In This Section 195
NEXUS() TRUE if Nexus trace is supported 195
NEXUS.PortMode() Current PortMode setting 195
NEXUS.PortSize() Current PortSize setting 196
TRACE32 Directory 37 ©1989-2017 Lauterbach GmbH
Onchip Functions ................................................................................................................. 197
In This Section 197
Onchip() TRUE if the onchip trace is available 197
Onchip.FIRST() Get record number of first trace record 197
Onchip.FLOW.ERRORS() Get number of flow errors / hard errors 197
Onchip.FLOW.FIFOFULL() Get number of FIFO overflows 198
Onchip.MAXSIZE() Get max. size of trace buffer in records 198
Onchip.RECORD.ADDRESS() Get address recorded in trace record 199
Onchip.RECORD.DATA() Get data recorded in trace record 199
Onchip.RECORD.OFFSET() Get address in trace record as number 199
Onchip.RECORD.TIME() Get time stamp of trace record 199
Onchip.RECORDS() Get number of used trace records 200
Onchip.REF() Get record number of reference record 200
Onchip.SIZE() Get current trace buffer size in records 200
Onchip.STATE() Get state of Onchip trace 200
Onchip.TraceCONNECT() Name of trace sink of the SoC 201
Onchip.TRACK.RECORD() Get record number matching search 202
PCI Functions ....................................................................................................................... 203
In This Section 203
PCI.Read.B() Byte from PCI register 203
PCI.Read.L() Long from PCI register 203
PCI.Read.W() Word from PCI register 203
PER Functions ...................................................................................................................... 204
In This Section 204
PER.<width>() Memory contents in default endianness 204
PER.<width>.<endianness>() Memory contents in specified endianness 205
PER.ARG() 206
PER.Buffer.<width>() 207
PER.EVAL() 208
PERF Functions (Performance) .......................................................................................... 209
In This Section 209
PERF.MEMORY.HITS() 209
PERF.MEMORY.SnoopAddress() 210
PERF.MEMORY.SnoopSize() 210
PERF.METHOD() 210
PERF.MODE() Get Performance Analyzer recording mode 211
PERF.PC.HITS() 211
PERF.RATE() 211
PERF.RunTime() 212
PERF.SNOOPFAILS() 212
PERF.STATE() Get state of Performance Analyzer 212
PERF.TASK.HITS() 213
TRACE32 Directory 38 ©1989-2017 Lauterbach GmbH
PERF.WATCHTIME() 213
Port Analyzer Functions for TRACE32-ICE or TRACE32-FIRE ........................................ 214
In This Section 214
PORT.GET() 214
PORT.MAXSIZE() Get max. size of trace buffer in records 214
PORT.RECORDS() Get number of used trace records 214
PORT.REF() Get record number of reference record 214
PORT.SIZE() Get current trace buffer size in records 215
PORT.STATE() Get state of Port Analyzer 215
PORT.TRACK.RECORD() Get record number matching search 215
PORTANALYZER() 216
PORTSHARING Function ..................................................................................................... 216
PORTSHARING() Current setting of PortSHaRing 216
POWER Functions ................................................................................................................ 217
In This Section 217
hardware.POWERDEBUG() 217
hardware.POWERINTEGRATOR() 217
hardware.POWERINTEGRATOR2() 217
hardware.POWERNEXUS() 218
hardware.POWERTRACE() 218
hardware.POWERTRACE2() 218
hardware.POWERTRACEPX() 218
hardware.POWERTRACESERIAL() 219
PowerProbe Functions ........................................................................................................ 220
In This Section 220
hardware.POWERPROBE() 220
PROBE.COUNTER.EVENT() Get value of trigger program event counter 220
PROBE.COUNTER.EXTERN() Get value of trigger program external counter 220
PROBE.COUNTER.TIME() Get value of trigger program time counter 221
Probe.FIRST() Get record number of first trace record 221
PROBE.FLAG() Check state of trigger program FLAG 221
PROBE.GET() 221
PROBE.MAXSIZE() Get max. size of trace buffer in records 222
PROBE.RECORD.DATA() Get data recorded in trace record 222
PROBE.RECORD.TIME() Get time stamp of trace record 222
PROBE.RECORDS() Get number of used trace records 222
PROBE.REF() Get record number of reference record 223
PROBE.SIZE() Get current trace buffer size in records 223
PROBE.STATE() Get state of PowerProbe 223
PROBE.TRACK.RECORD() Get record number matching search 224
Program Pointer Function ................................................................................................... 225
PP() 225
TRACE32 Directory 39 ©1989-2017 Lauterbach GmbH
Register Functions ............................................................................................................... 226
Register() 226
Register.LIST() 227
RTS Functions ...................................................................................................................... 228
RTS.ERROR() 228
RTS.RECORDS() 228
RunTime Functions .............................................................................................................. 229
In This Section 229
RunTime.ACCURACY() 229
RunTime.ACTUAL() 229
RunTime.LAST() 229
RunTime.LASTRUN() 230
RunTime.REFA() 230
RunTime.REFB() 230
SMMU Functions .................................................................................................................. 231
SMMU.BaseADDRESS() 231
SMMU.StreamID2SMRG() 231
SNOOPer Functions ............................................................................................................. 233
In This Section 233
SNOOPer.FIRST() Get record number of first trace record 233
SNOOPer.MAXSIZE() Get max. size of trace buffer in records 234
SNOOPer.RECORD.ADDRESS() Get address recorded in trace record 234
SNOOPer.RECORD.DATA() Get data recorded in trace record 234
SNOOPer.RECORD.OFFSET() Get address in trace record as number 234
SNOOPer.RECORD.TIME() Get time stamp of trace record 235
SNOOPer.RECORDS() Get number of used trace records 235
SNOOPer.REF() Get record number of reference record 235
SNOOPer.SIZE() Get current trace buffer size in records 235
SNOOPer.STATE() Get state of SNOOPer trace 236
Target STATE Functions ..................................................................................................... 237
In This Section 237
STATE.HALT() 237
STATE.OSLK() 237
STATE.POWER() 237
STATE.PROCESSOR() 239
STATE.RESET() 240
STATE.RUN() 240
STATE.TARGET() 240
SPE Function ........................................................................................................................ 240
SPE() 240
SSE Function ........................................................................................................................ 241
TRACE32 Directory 40 ©1989-2017 Lauterbach GmbH
SSE() 241
Stimuli Generator Function ................................................................................................. 241
hardware.STG() 241
sYmbol Functions ................................................................................................................ 242
In This Section 242
sYmbol.AutoLOAD.CHECK() 242
sYmbol.AutoLOAD.CHECKCMD() 242
sYmbol.AutoLOAD.CONFIG() 243
sYmbol.BEGIN() 243
SYStem.CADIconfig.RemoteServer() 244
SYStem.CADIconfig.Traceconfig() 244
sYmbol.COUNT() 245
sYmbol.END() 245
sYmbol.EPILOG() 246
sYmbol.EXIST() 247
sYmbol.EXIT() 247
sYmbol.FUNCTION() 248
sYmbol.IMPORT() 248
sYmbol.List.MAP.<x>() Information about address ranges on the target 249
sYmbol.LIST.PROGRAM() 250
sYmbol.LIST.SOURCE() 251
sYmbol.MATCHES() 251
sYmbol.NAME() 252
sYmbol.NAME.AT() 252
sYmbol.NEXT.BEGIN() 253
sYmbol.PRANGE() 253
sYmbol.RANGE() 253
sYmbol.SEARCHFILE() 254
sYmbol.SECADDRESS() 254
sYmbol.SECEND() 254
sYmbol.SECPRANGE() 255
sYmbol.SECRANGE() 255
sYmbol.SIZEOF() 255
sYmbol.SOURCEFILE() 256
sYmbol.SOURCELINE() 256
sYmbol.SOURCEPATH() 257
sYmbol.STATE() 258
sYmbol.TYPE() 258
sYmbol.VARNAME() 259
SYStem Functions ................................................................................................................ 260
In This Section 260
SYStem.ACCESS.DENIED() 261
TRACE32 Directory 41 ©1989-2017 Lauterbach GmbH
SYStem.AMBA() 261
SYStem.BigEndian() 261
SYStem.CONFIG.<tap_position>() 261
SYStem.CONFIG.DEBUGPORT() 262
SYStem.CONFIG.DEBUGPORTTYPE() 262
SYStem.CONFIG.ListCORE() 263
SYStem.CONFIG.ListSIM() 264
SYStem.CONFIG.Slave() 264
SYStem.CONFIG.TAPState() 265
SYStem.CPU() 265
SYStem.GTL.LIBname() 266
SYStem.GTL.PLUGINVERSION() 266
SYStem.GTL.VENDORID() 266
SYStem.GTL.VERSION() 266
SYStem.HOOK() 266
SYStem.IMASKASM() 267
SYStem.IMASKHLL() 267
SYStem.JtagClock() 267
SYStem.LittleEndian() 267
SYStem.MCDconfig.LIBrary() 268
SYStem.Mode() 268
SYStem.NOTRAP() 1 if the option NOTRAP is active 269
SYStem.RESetBehavior() Current setting of RESetBehavior 269
SYStem.TRACEEXT() TRUE if an external trace is activated 269
SYStem.TRACEINT() TRUE if an internal trace is activated 269
SYStem.Up() tbd. 270
SYStem.USECORE() 270
SYStem.USEMASK() 270
TASK Functions ................................................................................................................... 272
In This Section 272
TASK() Name of current task 272
TASK.BACK() Background task number 273
TASK.CONFIG(magic) Address of magic number 273
TASK.CONFIG(magicsize) Size of magic number 273
TASK.CONFIGFILE() Path of loaded OS awareness 274
TASK.F<1..4> (internal use only) 274
TASK.FORE() Foreground task number 274
TASK.ID() ID of task 274
TASK.MAGIC() Magic number of task 275
TASK.NAME() Name of task 275
TASK.SPACEID() Space ID of task 275
TASK.ACCESS() Access class 276
TASK.ACCESS.ZONE() Access class zone 276
TRACE32 Directory 42 ©1989-2017 Lauterbach GmbH
TERM Function (Terminal Window) .................................................................................... 277
TERM.LINE() Get line from active terminal window 277
Timing Analyzer Function ................................................................................................... 277
hardware.TA32() TRUE if TA32 is available 277
TPIU Functions ..................................................................................................................... 278
In This Section 278
TPIU.PortMode() PortMode setting 278
TPIU.PortSize() PortSize setting 278
TPIU.SWVPrescaler() SWVPrescaler value 279
TPUBASE Function .............................................................................................................. 279
TPUBASE.ADDRESS() Address of TPU 279
Trace Functions .................................................................................................................... 280
In This Section 280
Trace.FIRST() Get record number of first trace record 280
Trace.FLOW() 281
Trace.FLOW.ERRORS() Get number of flow errors / hard errors 281
Trace.FLOW.FIFOFULL() Get number of FIFO overflows 281
Trace.MAXSIZE() Get max. size of trace buffer in records 282
Trace.METHOD() Currently configured trace method 282
Trace.METHOD.Analyzer() TRUE if the trace method is Analyzer 282
Trace.METHOD.ART() TRUE if the trace method is ART 283
Trace.METHOD.CAnalyzer() TRUE if the trace method is CAnalyzer 283
Trace.METHOD.FDX() TRUE if the trace method is FDX 283
Trace.METHOD.HAnalyzer() TRUE if the trace method is HAnalyzer 283
Trace.METHOD.Integrator() TRUE if the trace method uses the Integrator 284
Trace.METHOD.IProbe() TRUE if the trace method uses the IProbe 284
Trace.METHOD.LA() TRUE if the trace method is LA 284
Trace.METHOD.LOGGER() TRUE if the trace method is LOGGER 285
Trace.METHOD.ONCHIP() TRUE if the trace method is ONCHIP 285
Trace.METHOD.Probe() TRUE if trace method uses the PowerProbe 285
Trace.METHOD.SNOOPer() TRUE if the trace method is SNOOPer 285
Trace.RECORD.ADDRESS() Get address recorded in trace record 286
Trace.RECORD.DATA() Get data recorded in trace record 286
Trace.RECORD.OFFSET() Get address in trace record as number 286
Trace.RECORD.TIME() Get time stamp of trace record 287
Trace.RECORDS() Get number of used trace records 287
Trace.SIZE() Get current trace buffer size in records 287
Trace.STATE() Get state of PowerTrace hardware 287
Trace.TraceCONNECT() Name of trace sink of the SoC 288
TRACK Functions ................................................................................................................. 289
In This Section 289
TRACK.ADDRESS() Get tracking address 289
TRACE32 Directory 43 ©1989-2017 Lauterbach GmbH
TRACK.ADDRESS.DATA() 289
TRACK.ADDRESS.PROG() 289
TRACK.COLUMN() Number of column where the found item starts 290
TRACK.LINE() Number of line containing the found item 290
TRACK.RECORD() Number of record containing the found item 290
TRACK.STRing() Current selection in a TRACE32 window 291
TRACK.TIME() Timestamp of current tracking record 292
TRANS Functions (Debugger Address Translation) ......................................................... 293
In This Section 293
TRANS.ENABLE() TRUE if address translation is enabled 293
TRANS.INTERMEDIATE() Convert a guest logical address 293
TRANS.INTERMEDIATE.VALID() TRUE if address translation is valid 294
TRANS.LINEAR() Convert logical to linear address 294
TRANS.LINEAR.VALID() TRUE if address translation is valid 294
TRANS.LOGICAL() Convert physical to logical address 295
TRANS.LOGICAL.VALID() TRUE if address translation is valid 295
TRANS.PHYSICAL() Convert logical to physical address 295
TRANS.PHYSICAL.VALID() TRUE if address translation is valid 296
TRANS.TABLEWALK() TRUE if address translation table walk is ON 296
TRIGGER Functions (ICE only) ........................................................................................... 297
In This Section 297
TRIGGER.ACCESS() Access class of last trigger event 297
TRIGGER.ADDRESS() Address of last trigger event 297
TRIGGER.BYTES() tbd. 297
TRIGGER.COUNT.ALPHA() Values of trigger counter ALPHA 298
TRIGGER.COUNT.BETA() Values of trigger counter BETA 298
TRIGGER.COUNT.CHARLY() Values of trigger counter CHARLY 298
TRIGGER.CYCLE() Cycle type of trigger cycle 298
TRIGGER.DELAY.CYCLE() Value of trigger counter CYCLE 299
TRIGGER.DELAY.TIME() Value of trigger counter TIME 299
TRIGGER.DELAY.TRACE() Values of trigger counter TRACE 299
TRIGGER.OFFSET() Address of last trigger event 299
TRIGGER.SOURCE() Source of last trigger event 300
TRIGGER.STATE() Get state of trigger 300
TRIN Function (ICE only) ..................................................................................................... 301
TRIN.VALUE() Current value of trigger input probe 301
TSS Function ........................................................................................................................ 301
TSS() TSS base address 301
Var Functions ....................................................................................................................... 302
In This Section 302
Var.ADDRESS() Address of HLL expression 302
Var.BITPOS() Bit position inside a C bit field 302
TRACE32 Directory 44 ©1989-2017 Lauterbach GmbH
Var.BITSIZE() Size of bit field element 303
Var.END() Last address of HLL expression 303
Var.FVALUE() Contents of HLL expression 303
Var.ISBIT() TRUE if HLL expression is a bit field element 304
Var.RANGE() Address range of HLL expression 304
Var.SIZEOF() Size of HLL expression 305
Var.STRing() Zero-terminated string or variable contents 305
Var.TYPEOF() Type of HLL expression 306
Var.VALUE() Value of HLL expression 306
VCO Function ....................................................................................................................... 307
VCO() Frequency of VCO generator 307
VCU Function ........................................................................................................................ 307
VCU() Value of VCU register 307
VERSION Functions ............................................................................................................. 308
In This Section 308
VERSION.BUILD() Upper build number 308
VERSION.BUILD.BASE() Lower build number 309
VERSION.CABLE() Hardware version of debug cable 309
VERSION.DATE() Version date YYYY/MM 310
VERSION.ENVironment() TRACE32 environment setting 310
VERSION.FirmWare.DEBUG() Version number of firmware 311
VERSION.LICENSE() Deprecated 311
VERSION.SERIAL() Serial number 311
VERSION.SERIAL.CABLE() First serial number of debug cable 311
VERSION.SERIAL.DEBUG() Serial number of debug module 312
VERSION.SERIAL.PREPROcessor() Serial number of preprocessor 312
VERSION.SERIAL.TRACE() Serial number of trace module 312
VERSION.SOFTWARE() Release build or nightly build, etc. 313
VPU Functions ...................................................................................................................... 314
In This Section 314
VPU() Value of VPU register 314
VPUCR() Value of VRSAVE or VSCR register 314
Stimuli Generator Functions
Stimuli Generator Functions ..........................................................................(stg_func.pdf) 1
Functions .............................................................................................................................. 2
TRACE32 Directory 45 ©1989-2017 Lauterbach GmbH
Application Notes for PRACTICE
Converter from GEL to PRACTICE
Converter from GEL to PRACTICE ....................................................... (converter_gel.pdf) 1
Introduction .......................................................................................................................... 2
Brief Overview of Documents for New Users .................................................................... 2
Launching Converter ........................................................................................................... 3
Using @prog, @data and @io ............................................................................................. 4
Using Menuitem, Hotmenu, Dialog and Slider ................................................................... 5
Recognizing Types of Identifiers in GEL ........................................................................... 5
Functions Parameters .......................................................................................................... 6
Preprocessor ........................................................................................................................ 6
Callback GEL Functions ...................................................................................................... 6
Built-in GEL Functions ........................................................................................................ 6
Using PRACTICE Commands from GEL Script ................................................................. 8
Converter-specific Reserved Words .................................................................................. 8
Target CPU Register Names ................................................................................................ 9
Troubleshooting ................................................................................................................... 9
General Commands
General Commands Reference Guide A
General Commands Reference Guide A ................................................. (general_ref_a.pdf) 1
AET ........................................................................................................................................... 5
Analyzer ................................................................................................................................... 5
Trace Methods 6
Method Analyzer 7
Analyzer Remap Commands .................................................................................................. 8
Functional Description - Pin Remapping 8
Pinouts 10
Analyzer.REMAP Remap traceport channels 12
Analyzer.REMAP.RESet Reset pinout configuration 12
Analyzer.REMAP.state Display remap configuration window 12
Analyzer Trace Commands .................................................................................................... 13
TRACE32 Directory 46 ©1989-2017 Lauterbach GmbH
APU ........................................................................................................................................... 14
APU Auxiliary processing unit 14
APU.Break APU breakpoints 14
APU.Break.Delete Delete APU breakpoint 14
APU.Break.direct Stop the APU 15
APU.Break.Init Initialize APU breakpoint system 15
APU.Break.List List APU breakpoints 15
APU.Break.RESet Reset APU breakpoint system 16
APU.Break.Set Set permanent APU breakpoint 16
APU.command Execute APU specific command 17
APU.Data APU data command group 17
APU.Data.dump Data memory display 17
APU.Data.List Symbolic display 18
APU.Data.LOAD Load file 18
APU.Data.Set Data memory modification 18
APU.Go Start the APU 19
APU.GREP Search for string 19
APU.List View program 20
APU.LOAD Load APU library 20
APU.Register Show APU register window 20
APU.Register.Set Register modification 21
APU.Register.view Register display 21
APU.RESet Reset APU core 21
APU.Step Single-stepping 22
APU.View Display APU peripherals 22
ART ........................................................................................................................................... 23
Trace Methods 23
Trace Method ART 24
ART Trace Commands ............................................................................................................ 25
ART.Arm Arm the trace 25
ART.AutoArm Arm automatically 25
ART.AutoFocus Calibrate AUTOFOCUS preprocessor 25
ART.AutoInit Automatic initialization 25
ART.AutoTEST Continuous measurement 25
ART.BookMark Set a bookmark in trace listing 25
ART.Chart Display trace contents graphically 26
ART.Chart.DistriB Distribution display 26
ART.Chart.Func Function activity chart 26
ART.Chart.GROUP Group activity chart 26
ART.Chart.Line Graphical HLL lines analysis 26
ART.Chart.sYmbol Symbol analysis 26
ART.Chart.TASK Task activity chart 26
ART.Chart.TASKFunc Task related function run-time analysis (legacy) 27
TRACE32 Directory 47 ©1989-2017 Lauterbach GmbH
ART.Chart.TASKSRV Service routine run-time analysis 27
ART.Chart.TASKState Task state analysis 27
ART.Chart.VarState Variable activity chart 27
ART.ComPare Compare trace contents 27
ART.DISable Disable the trace 27
ART.DRAW Visualization of trace data 27
ART.EXPORT Export trace data for processing in other applications 28
ART.FILE Load a file into the file trace buffer 28
ART.Find Find specified entry in trace 28
ART.FindAll Find all specified entries in trace 28
ART.FindChange Search for changes in trace flow 28
ART.GOTO Move cursor to specified trace record 28
ART.Init Initialize trace 28
ART.List List trace contents 28
ART.ListNesting Analyze function nesting 29
ART.LOAD Load trace file for off-line processing 29
ART.Mode Set the trace operation mode 29
ART.OFF Switch off 29
ART.PROfileChart Profile charts 29
ART.PROfileChart.DIStance Time interval for a single event 29
ART.PROfileChart.DURation Time between two events 29
ART.PROfileChart.GROUP Group profile chart 30
ART.PROfileChart.Rate Event frequency 30
ART.PROTOcol.Chart Graphic display for user-defined protocol 30
ART.PROTOcol.Draw Graphic display for user-defined protocol 30
ART.PROTOcol.EXPORT Export trace buffer for user-defined protocol 30
ART.PROTOcol.Find Find in trace buffer for user-defined protocol 30
ART.PROTOcol.List Display trace buffer for user-defined protocol 30
ART.PROTOcol.STATistic Display statistics for user-defined protocol 31
ART.REF Set reference point for time measurement 31
ART.RESet Reset command 31
ART.SAVE Save trace for postprocessing in TRACE32 31
ART.SelfArm Automatic restart of trace recording 31
ART.SIZE Define buffer size 31
ART.SnapShot Restart trace capturing once 31
ART.state Display trace configuration window 31
ART.STATistic Statistic analysis 32
ART.STATistic.DIStance Time interval for a single event 32
ART.STATistic.DistriB Distribution analysis 32
ART.STATistic.DURation Time between two events 32
ART.STATistic.Func Nesting function runtime analysis 32
ART.STATistic.GROUP Group run-time analysis 32
ART.STATistic.Ignore Ignore false records in statistic 32
TRACE32 Directory 48 ©1989-2017 Lauterbach GmbH
ART.STATistic.InterruptIsFunction Statistics interrupt processing 33
ART.STATistic.Line HLL-line analysis 33
ART.STATistic.LINKage Per caller statistic of function 33
ART.STATistic.Measure Analyze the performance of a single signal 33
ART.STATistic.PreFetch Prefetch detection 33
ART.STATistic.Sort Specify sorting criterion for statistic commands 33
ART.STATistic.sYmbol Flat run-time analysis 33
ART.STATistic.TASK Task activity statistic 34
ART.STATistic.TASKFunc Task specific function run-time analysis 34
ART.STATistic.TASKKernel Task analysis with kernel markers (flat) 34
ART.STATistic.TASKSRV Analysis of time in OS service routines 34
ART.STATistic.TASKState Performance analysis 34
ART.STATistic.TASKTREE Tree display of task specific functions 34
ART.STATistic.TREE Tree display of nesting function run-time analysis 34
ART.STATistic.Use Use records 35
ART.Timing Waveform of trace buffer 35
ART.TRACK Set tracking record 35
ART.View Display single record 35
ART.ZERO Align timestamps of trace and timing analyzers 35
AutoSTOre ............................................................................................................................... 36
AutoSTOre Autosave of settings 36
AVX ........................................................................................................................................... 38
AVX AVX registers (Advanced Vector Extension) 38
AVX.Init Initialize AVX registers 38
AVX.OFF Inhibit AVX accesses by the debugger 38
AVX.ON Permit AVX accesses by the debugger 39
AVX.Set Modify AVX registers 39
AVX.view Display AVX registers 39
AVX512 ..................................................................................................................................... 40
AVX512 AVX512 registers (Advanced Vector Extension) 40
AVX512.Init Initialize AVX512 registers 40
AVX512.OFF Inhibit AVX512 accesses by the debugger 40
AVX512.ON Permit AVX512 accesses by the debugger 41
AVX512.Set Modify AVX512 registers 41
AVX512.view Display AVX512 registers 41
General Commands Reference Guide B
General Commands Reference Guide B ................................................. (general_ref_b.pdf) 1
BMC .......................................................................................................................................... 5
BMC Benchmark counters 5
BMC.<counter> Benchmark counters 6
TRACE32 Directory 49 ©1989-2017 Lauterbach GmbH
BMC.<counter>.EVENT Assign event to counter 6
BMC.<counter>.FORMAT Counter value format 6
BMC.<counter>.RATIO Set two counters in relation 7
BMC.<counter>.SIZE Specify counter size 7
BMC.AutoInit Automatic initialization 7
BMC.CLOCK Provide core clock for cycle counter 8
BMC.Init Initialize counters 8
BMC.PROfile Display counter changes per second 9
BMC.RESet Reset benchmark counter configuration 9
BMC.SELect Select counter for statistic analysis 10
BMC.state Display BMC configuration window 11
BMC.STATistic.sYmbol Flat run-time analysis with benchmark counter 14
BookMark ................................................................................................................................. 15
BookMark Address and trace bookmarks 15
BookMark.CHange Edit the settings of a bookmark 16
BookMark.Create Create a new address bookmark 17
BookMark.Delete Delete an existing bookmark 18
BookMark.EditRemark Add/edit remark of a bookmark 19
BookMark.EXPORT Export bookmarks to an XML file 20
BookMark.List List all existing bookmarks 22
BookMark.RESet Resets all bookmarks 23
BookMark.Toggle Toggles a single address bookmark 24
Break ........................................................................................................................................ 25
Break Breakpoints 25
Breakpoints within the TRACE32 Debugger 25
Break System of the TRACE32-ICE 27
Break System of the TRACE32-FIRE 28
Break.Asm Stop program/set temporary breakpoint and switch to Asm mode 30
Break.BackGround Break background task 30
Break.Delete Delete breakpoints 31
Break.DeleteFAST Delete fast breakpoints 32
Break.DeleteHll Delete HLL breakpoints 32
Break.direct Stop program execution or set temporary breakpoints 34
Break.DISable Disable breakpoints 35
Break.ENable Enable breakpoints 37
Break.HALT Program break in hold 37
Break.Hll Stop program/set temporary breakpoint and switch to HLL mode 39
Break.IMPLementation Breakpoint implementation 40
Break.Init Initialize breakpoints 43
Break.List Display list of breakpoints 43
Break.ListFAST Delete fast breakpoints 43
Break.Mix Stop program/set temporary breakpoint and switch to MIX mode 45
Break.MONitor Switch back to stop mode debugging 45
TRACE32 Directory 50 ©1989-2017 Lauterbach GmbH
Break.Pass Define pass condition for breakpoint 47
Break.RESet Delete all breakpoints and reset the TRACE32 break system 47
Break.Set Set breakpoints 48
Break.SetFAST Set fast breakpoints 82
Break.SetFunc Mark HLL functions 83
Break.SetHll Set HLL breakpoints 84
Break.SetLine Mark HLL lines 85
Break.SetMONitor Switch to run mode debugging at the next “Go” 86
Break.SetSec Protect program sections 86
Break.SetTask Stop the program execution when task is scheduled 87
BSDL ......................................................................................................................................... 88
BSDL Boundary scan description language 88
BSDL.BYPASSall Check bypass mode 89
BSDL.CHECK Enable test result checking 89
BSDL.FILE Load a BSDL file 89
BSDL.FLASH Flash programming 90
BSDL.FLASH.IFCheck Check flash interface definition 90
BSDL.FLASH.IFDefine Define flash interface 92
BSDL.FLASH.IFMap Map flash interface 93
BSDL.FLASH.INIT Initialize flash interface 94
BSDL.HARDRESET TAP reset via TRST 94
BSDL.IDCODEall Check ID codes 95
BSDL.LoadDR Load data register from file 95
BSDL.MOVEDOWN Move selected chip downwards 96
BSDL.MOVEUP Move selected chip upwards 96
BSDL.ParkState Select JTAG parking state 97
BSDL.RESet Reset boundary scan configuration 97
BSDL.RUN Run JTAG sequence 98
BSDL.SAMPLEall Sample all signals 98
BSDL.SELect Select a chip 99
BSDL.SET Set chip parameters 99
BSDL.SetAndRun Immediate data register takeover 106
BSDL.SOFTRESET TAP reset via TMS 106
BSDL.state Display BSDL chain configuration window 107
BSDL.SToreDR Store data register to file 108
BSDL.TwoStepDR Single/double data register shift 109
BSDL.UNLOAD Unload a chip from chain 109
General Commands Reference Guide C
General Commands Reference Guide C ................................................. (general_ref_c.pdf) 1
CACHE ...................................................................................................................................... 7
CACHE View and modify CPU cache contents 7
TRACE32 Directory 51 ©1989-2017 Lauterbach GmbH
CACHE.CLEAN Clean CACHE 7
CACHE.ComPare Compare CACHE with memory 8
CACHE.DUMP Dump CACHE 9
CACHE.FLUSH Clean and invalidate CACHE 10
CACHE.GET Get CACHE contents 11
CACHE.INFO View all information related to an address 11
CACHE.INVALIDATE Invalidate CACHE 11
CACHE.List List CACHE contents 12
CACHE.ListFunc List cached functions 13
CACHE.ListLine tbd. 14
CACHE.ListVar List cached variables 15
CACHE.RELOAD Reload CACHE 16
CACHE.view Display CACHE control register 16
CAnalyzer ................................................................................................................................. 17
CombiProbe-specific Trace Commands 17
CAnalyzer.DecodeMode Define how to decode the received trace data 17
CAnalyzer.PipeWRITE Define a named pipe as trace sink 18
CAnalyzer.TraceCLOCK Configure the trace port frequency 18
CAnalyzer.WRITE Define a file as trace sink 19
Generic Trace Commands 20
CAnalyzer.ACCESS Define access path to source code for trace decoding 20
CAnalyzer.Arm Arm the trace 20
CAnalyzer.AutoArm Arm automatically 20
CAnalyzer.AutoFocus Calibrate AUTOFOCUS preprocessor 20
CAnalyzer.AutoInit Automatic initialization 20
CAnalyzer.BookMark Set a bookmark in trace listing 20
CAnalyzer.Chart Display trace contents graphically 21
CAnalyzer.CLOCK Clock to calculate time out of cycle count information 21
CAnalyzer.ComPare Compare trace contents 21
CAnalyzer.DISable Disable the trace 21
CAnalyzer.DRAW Visualization of trace data 21
CAnalyzer.EXPORT Export trace data for processing in other applications 21
CAnalyzer.FILE Load a file into the file trace buffer 21
CAnalyzer.Find Find specified entry in trace 21
CAnalyzer.FindAll Find all specified entries in trace 22
CAnalyzer.FindChange Search for changes in trace flow 22
CAnalyzer.Get Display input level 22
CAnalyzer.GOTO Move cursor to specified trace record 22
CAnalyzer.Init Initialize trace 22
CAnalyzer.List List trace contents 22
CAnalyzer.ListNesting Analyze function nesting 22
CAnalyzer.ListVar List variable recorded to trace 22
CAnalyzer.LOAD Load trace file for off-line processing 23
TRACE32 Directory 52 ©1989-2017 Lauterbach GmbH
CAnalyzer.Mode Set the trace operation mode 23
CAnalyzer.OFF Switch off 23
CAnalyzer.PipePROTO Define a user supplied DLL as trace sink 23
CAnalyzer.PortFilter Specify utilization of trace memory 23
CAnalyzer.PortType Specify trace interface 23
CAnalyzer.PROfileChart Profile charts 23
CAnalyzer.PROfileSTATistic Statistical analysis in a table versus time 24
CAnalyzer.PROTOcol Protocol analysis 24
CAnalyzer.REF Set reference point for time measurement 24
CAnalyzer.RESet Reset command 24
CAnalyzer.SAVE Save trace for postprocessing in TRACE32 24
CAnalyzer.SelfArm Automatic restart of trace recording 24
CAnalyzer.SIZE Define buffer size 24
CAnalyzer.SnapShot Restart trace capturing once 25
CAnalyzer.SPY Enable analysis of streaming file while recording 25
CAnalyzer.state Display trace configuration window 25
CAnalyzer.STATistic Statistic analysis 25
CAnalyzer.STREAMCompression Select compression mode for streaming 25
CAnalyzer.STREAMFILE Specify temporary streaming file path 25
CAnalyzer.STREAMFileLimit Set size limit for streaming file 25
CAnalyzer.STREAMLOAD Load streaming file from disk 26
CAnalyzer.STREAMSAVE Save streaming file to disk 26
CAnalyzer.TDelay Trigger delay 26
CAnalyzer.THreshold Optimize threshold for trace lines 26
CAnalyzer.TOut Route trigger to PODBUS (CombiProbe) 26
CAnalyzer.TRACK Set tracking record 26
CAnalyzer.TSELect Select trigger source 26
CAnalyzer.View Display single record 27
CAnalyzer.ZERO Align timestamps of trace and timing analyzers 27
CIProbe ..................................................................................................................................... 28
ClipStore .................................................................................................................................. 29
ClipSTOre Store a setting to clipboard 29
CLOCK ...................................................................................................................................... 30
CLOCK Display date and time 30
CLOCK.BACKUP Set backup clock frequency 30
CLOCK.DATE Alias for DATE command 31
CLOCK.OFF Disable clock frequency computation 31
CLOCK.ON Enable clock frequency computation 31
CLOCK.OSCillator Set board oscillator frequency 32
CLOCK.Register Display PLL related registers 32
CLOCK.RESet Reset CLOCK command group settings 32
CLOCK.state Display clock frequencies 33
TRACE32 Directory 53 ©1989-2017 Lauterbach GmbH
CLOCK.VCOBase Set 'VCOBase' clock frequency 33
CLOCK.VCOBaseERAY Set 'FlexRay VCOBase' clock frequency 34
CMI ............................................................................................................................................ 35
CMI Clock management interface 35
CORE ........................................................................................................................................ 36
CORE Cores in an SMP system 36
Overview CORE 36
CORE.ADD Add core/thread to the SMP system 38
CORE.ASSIGN Assign a set of physical cores/threads to the SMP system 38
CORE.List List information about cores 44
CORE.NUMber Assign a number of cores/threads to the SMP system 45
CORE.ReMove Remove core from the SMP system 46
CORE.select Change currently selected core 46
CORE.SHOWACTIVE Show active/inactive cores in an SMP system 47
Count ........................................................................................................................................ 49
Count Universal counter 49
Counter of TRACE32-ICD 49
Counter of TRACE32-ICE 50
Counter of TRACE32-FIRE 53
Counter Functions 54
Count.AutoInit Automatic counter reset 55
Count.Enable Counter control 55
Count.Gate Gate time 56
Count.GLitch Glitch detector 56
Count.GO Start measurement 58
Count.Init Reset counter 58
Count.Mode Mode selection 59
Count.OUT Forward counter input signal to trigger system/output 61
Count.PROfile Graphic counter display 61
Count.RESet Reset command 63
Count.Select Select input source 64
Count.state State display 67
COVerage ................................................................................................................................. 68
COVerage Trace-based code coverage 68
COVerage.ACCESS Set the memory access mode 70
COVerage.ADD Add trace contents to database 71
COVerage.Delete Modify coverage 71
COVerage.EXPORT Export code coverage information to an XML file 72
COVerage.EXPORT.CBA Export HLL lines in CBA format 72
COVerage.EXPORT.ListFunc Export the HLL functions 73
COVerage.EXPORT.ListGroup Export the groups 76
COVerage.EXPORT.ListLine Export the HLL lines 76
TRACE32 Directory 54 ©1989-2017 Lauterbach GmbH
COVerage.EXPORT.ListModule Export the modules 77
COVerage.EXPORT.ListVar Export the HLL variables 77
COVerage.Init Clear coverage database 78
COVerage.List Coverage display 78
COVerage.ListFunc Display code coverage for HLL functions 79
COVerage.ListGroup Display coverage for groups 81
COVerage.ListLine Display coverage for HLL lines 82
COVerage.ListModule Display coverage for modules 83
COVerage.ListVar Display coverage for variable 84
COVerage.LOAD Load coverage database from file 85
COVerage.MAP Map the coverage to a different range 86
COVerage.METHOD Select different configuration options 87
COVerage.Mode Activate code coverage for virtual targets 89
COVerage.OFF Deactivate coverage 90
COVerage.ON Activate coverage 90
COVerage.Option Set coverage options 91
COVerage.Option.EXLiteralPools Ignore literal pools for coverage 91
COVerage.Option.NoStaticInfo Skip the generation of static flow information 91
COVerage.Option.SourceMetric Set coverage criterion for HLL lines 92
COVerage.Option.StaticInfo Perform code coverage precalculations 97
COVerage.RESet Clear coverage database 98
COVerage.SAVE Save coverage database to file 98
COVerage.Set Coverage modification 98
COVerage.state Configure coverage 99
COVerage.StaticInfo Generate static program flow information 100
COVerage.TreeWalkSETUP Prepare a tree with code coverage symbols 101
CTS ........................................................................................................................................... 102
CTS Context tracking system (CTS) 102
Trace-based Debugging 103
Full HLL Trace Display 104
Reconstruction of Trace Gaps (TRACE32-ICD) 104
CTS.CACHE CTS cache analysis 104
CTS.CACHE.Allocation Define the cache allocation technique 106
CTS.CACHE.Chart Graphical display of cache analysis 107
CTS.CACHE.CYcles Define counting method for cache analysis 112
CTS.CACHE.DefineBus Define bus interface 113
CTS.CACHE.L1Architecture Define architecture for L1 cache 114
CTS.CACHE.ListAddress Address based cache analysis 115
CTS.CACHE.ListFunc Function based cache analysis 116
CTS.CACHE.ListLine HLL line based cache analysis 117
CTS.CACHE.ListModules Module based cache analysis 117
CTS.CACHE.ListRequests Display request for a single cache line 118
CTS.CACHE.ListSet Cache set based cache analysis 119
TRACE32 Directory 55 ©1989-2017 Lauterbach GmbH
CTS.CACHE.ListVar Variable based cache analysis 119
CTS.CACHE.MMUArchitecture Define MMU architecture for cache control 120
CTS.CACHE.Mode Define memory coherency strategy 121
CTS.CACHE.Replacement Define the replacement strategy 122
CTS.CACHE.RESet Reset settings of CTS cache window 123
CTS.CACHE.SETS Define the number of cache sets 123
CTS.CACHE.Sort Define sorting for all list commands 123
CTS.CACHE.state Display settings of CTS cache analysis 124
CTS.CACHE.Tags Define address mode for cache lines 125
CTS.CACHE.TLBArchitecture Define architecture for the TLB 126
CTS.CACHE.View Display the results for the cache analysis 127
CTS.CACHE.ViewBPU Display statistic for branch prediction unit 131
CTS.CACHE.ViewBus Display statistics for the bus utilization 132
CTS.CACHE.ViewStalls Display statistics for idles/stalls 133
CTS.CACHE.WAYS Define number of cache ways 134
CTS.CACHE.Width Define width of cache line 135
CTS.CAPTURE Copy real memory to the virtual memory for CTS 135
CTS.GOTO Select the specified record for CTS (absolute) 136
CTS.INCremental CTS displays intermediate results while processing 136
CTS.Init Restart CTS processing 137
CTS.List List trace contents 137
CTS.Mode Operation mode 139
CTS.OFF Switch off trace-based debugging 139
CTS.ON Switch on trace-based debugging 140
CTS.PROCESS Process cache analysis 140
CTS.RESet Reset the CTS settings 140
CTS.SELectiveTrace Trace contains selective trace information 141
CTS.SKIP Select the specified record for CTS (relative) 141
CTS.state Display CTS settings 142
CTS.TAKEOVER Take memory/registers reconstructed by CTS over to target 143
CTS.UseCACHE Cache analysis for CTS 144
CTS.UseConst Use constants for the CTS processing 145
CTS.UseMemory Use memory contents for CTS 146
CTS.UseReadCycle Use read cycles for CTS 147
CTS.UseRegister Use the CPU registers for CTS 147
CTS.UseSIM Use instruction set simulator for CTS 148
CTS.UseVM Use the virtual memory contents as initial values for CTS 149
CTS.UseWriteCycle Use write cycles for CTS 150
General Commands Reference Guide D
General Commands Reference Guide D ................................................. (general_ref_d.pdf) 1
History ...................................................................................................................................... 6
TRACE32 Directory 56 ©1989-2017 Lauterbach GmbH
Data ........................................................................................................................................... 7
Data Memory access 7
Memory Access by the TRACE32 Debugger 7
Memory Access by TRACE32-ICE, TRACE32-FIRE 9
Keywords for <width> 11
Functions 12
Data.AllocList Static memory allocation analysis 13
Data.Assemble Built-in assembler 18
Data.BDTAB Display buffer descriptor table 19
Data.BENCHMARK Determine cache/memory bandwidth 20
Data.CHAIN Display linked list 24
Data.CHAINFind Search in linked list 27
Data.CLEARVM Clear the TRACE32 virtual memory (VM:) 28
Data.ComPare Compare memory 29
Data.COPY Copy memory 31
Data.CSA Display linked list of CSA entries 34
Data.DRAW Graphical memory display of arrays 35
Data.DRAWFFT Graphical display of fast fourier transformation 39
Data.DRAWXY Graphical display of xy-graphs 42
Data.dump Memory dump 45
Data.EPILOG Automatic data modification on program execution halt 57
Data.EPILOG.CONDition Define condition for data epilog 58
Data.EPILOG.CORE Select core for data epilog 59
Data.EPILOG.OFF Switch data epilog off 59
Data.EPILOG.ON Switch data epilog on 60
Data.EPILOG.RESet Reset all data epilogs 60
Data.EPILOG.SELect Increment the index number to the next data epilog 61
Data.EPILOG.SEQuence Define epilog sequence 62
Data.EPILOG.state Display data epilogs 63
Data.EPILOG.TARGET Define epilog target call 64
Data.Find Search in memory 65
Data.FindCODE Execute command on specified code type 67
Data.GOTO Track to address 68
Data.GREP Search for string 69
Data.IMAGE Display image data 70
Data.In Read port 73
Data.List 73
Data.LOAD Load file 74
Format Specific Data.LOAD Commands and Options 88
Data.LOAD.AIF Load ARM image file 88
Data.LOAD.AOUT Load a.out file 89
Data.LOAD.ASAP2 Load ASAP2 file 89
Data.LOAD.AsciiHex Load hex file 90
TRACE32 Directory 57 ©1989-2017 Lauterbach GmbH
Data.LOAD.AsciiOct Load octal file 90
Data.LOAD.AVocet Load AVOCET file 91
Data.LOAD.BDX Load BDX file 91
Data.LOAD.Binary Load binary file 92
Data.LOAD.BounD Load BOUND file 95
Data.LOAD.CDB Load SDCC CDB file format 95
Data.LOAD.COFF Load COFF file 97
Data.LOAD.COMFOR Load COMFOR (TEKTRONIX) file 98
Data.LOAD.CORE Load Linux core dump file 99
Data.LOAD.COSMIC Load COSMIC file 100
Data.LOAD.CrashDump Load MS Windows crash dump file 101
Data.LOAD.DBX Load a.out file 102
Data.LOAD.Elf Load ELF file 103
Data.LOAD.ESTFB Load EST flat binary 112
Data.LOAD.eXe Load EXE file 112
Data.LOAD.HiCross Load HICROSS file 113
Data.LOAD.HiTech Load HITECH file 114
Data.LOAD.HP Load HP-64000 file 115
Data.LOAD.ICoff Load ICOFF file 116
Data.LOAD.Ieee Load IEEE-695 file 117
Data.LOAD.IntelHex Load INTEL-HEX file 119
Data.LOAD.Jedec Load JEDEC file 119
Data.LOAD.MachO Load “Mach-O” file 120
Data.LOAD.MAP Load MAP file 122
Data.LOAD.MCDS Load MCDS file 122
Data.LOAD.MCoff Load MCOFF file 123
Data.LOAD.OAT Load OAT file 123
Data.LOAD.Omf Load OMF file 124
Data.LOAD.Omf2 Load OMF-251 files 128
Data.LOAD.OriginHex Load special hex files 128
Data.LOAD.REAL Load R.E.A.L. file 129
Data.LOAD.ROF Load OS-9 file 130
Data.LOAD.SDS Load SDSI file 131
Data.LOAD.Srecord Load S-Record file 132
Data.LOAD.sYm Load symbol file 133
Data.LOAD.SysRof Load RENESAS SYSROF file 134
Data.LOAD.TEK Load TEKTRONIX file 134
Data.LOAD.TekHex Load TEKTRONIX HEX file 135
Data.LOAD.Ubrof Load UBROF file 136
Data.LOAD.VersaDos Load VERSADOS file 137
Data.LOAD.XCoff Load XCOFF file 137
Data.MSYS M-SYSTEMS FLASHDISK support 138
Data.Out Write port 139
TRACE32 Directory 58 ©1989-2017 Lauterbach GmbH
Data.PATTERN Fill memory with pattern 140
Data.Print Display multiple areas 142
Data.PROfile Graphical display of data value 145
Data.PROGRAM Assembler window 147
Data.PROLOG Automatic data modification on program execution start 148
Data.PROLOG.CONDition Define PROLOG condition 149
Data.PROLOG.CORE Select core for data prolog 150
Data.PROLOG.OFF Switch data prolog off 150
Data.PROLOG.ON Switch data prolog on 151
Data.PROLOG.RESet Reset all data prologs 151
Data.PROLOG.SELect Increment the index number to the next data prolog 152
Data.PROLOG.SEQuence Define prolog sequence 153
Data.PROLOG.state Display data prologs 154
Data.PROLOG.TARGET Define PROLOG target call 155
Data.REF Display current values 156
Data.ReProgram Assembler 156
Data.ReRoute Reroute function call 157
Data.SAVE.<format> Save data in file with specified format 158
Data.SAVE.AsciiHex Save hex file 160
Data.SAVE.AsciiOct Save octal file 161
Data.SAVE.BDX Save BDX file 162
Data.SAVE.Binary Save binary file 162
Data.SAVE.CCSDAT Save CCSDAT file 163
Data.SAVE.DAB Save DAB file 163
Data.SAVE.ESTFB Save EST flat binary file 164
Data.SAVE.IntelHex Save INTEL-HEX file 164
Data.SAVE.Omf Save OMF file 165
Data.SAVE.PureHex Save pure HEX file 165
Data.SAVE.Srecord Save S-record file 166
Data.Set Modify memory 168
Data.SOFTEPILOG Automated sequence after setting software breakp. 171
Data.SOFTPROLOG Automated sequence before setting software breakp. 171
Data.STANDBY Standby data sequence 171
Data.STARTUP Startup data sequence 172
Data.STARTUP.CONDition Define startup condition 172
Data.STARTUP.OFF Switch startup sequence off 172
Data.STARTUP.ON Switch startup data sequence on 173
Data.STARTUP.RESet Reset startup data sequence 173
Data.STARTUP.SEQuence Define startup data sequence 174
Data.STARTUP.state Startup data state display 175
Data.STRING ASCII display 176
Data.SUM Memory checksum 176
Data.TABle Display arrays 179
TRACE32 Directory 59 ©1989-2017 Lauterbach GmbH
Data.TAG Tag code for analysis 181
Data.TAGFunc Tag code for analysis 181
Data.Test Memory integrity test 184
Data.TestList Test for memory type 187
Data.TIMER Periodically data sequence 188
Data.TIMER.CONDition Define timer condition 188
Data.TIMER.OFF Switch timer off 189
Data.TIMER.ON Switch timer on 189
Data.TIMER.RESet Reset timer 189
Data.TIMER.SEQuence Define timer sequence 190
Data.TIMER.state Timer state display 191
Data.TIMER.TARGET Define timer target call 191
Data.TIMER.Time Define period for timer 192
Data.UNTAGFunc Remove code tags 193
Data.UPDATE Target memory cache update 193
Data.USRACCESS Prepare USR access 193
Data.View Display memory 195
Data.WRITESTRING Write string to PRACTICE file 197
DCI ............................................................................................................................................ 198
DCI Direct Connect Interface (DCI) 198
DQMTrace ................................................................................................................................ 199
DTM ........................................................................................................................................... 200
DTM DTM trace sources (Data Trace Module) 200
DTU ........................................................................................................................................... 200
DTU Debug Trace Unit (DTU) 200
General Commands Reference Guide E
General Commands Reference Guide E ................................................. (general_ref_e.pdf) 1
ELA ........................................................................................................................................... 7
ELA Embedded logic analyzer (ELA) 7
ELA.CLEAR Clear ELA.Set settings 9
ELA.CLOCK ELA sample rate 10
ELA.OFF Switch ELA off 10
ELA.ON Switch ELA on 10
ELA.Register Display the ELA registers 11
ELA.RESet Reset ELA settings 11
ELA.SELect Select signal group 11
ELA.Set Set ELA registers 12
ELA.state Display ELA configuration window 19
ELA.TimeStampCLOCK External clock frequency 19
ELA.TimeStamps Emit global timestamp packets 20
TRACE32 Directory 60 ©1989-2017 Lauterbach GmbH
ELA.TimeStampThreshold Set granularity for occurrence of timestamps 20
ELA.Trace Control generation of trace information 21
ETA ........................................................................................................................................... 22
ETA Energy test analysis for energy profiling 22
Getting Started 23
Tips ‘n’ Tricks 25
ETA.DRAW Line chart 26
ETA.List Lists the ETA trace data 28
ETA.ListNesting Displays the function call nesting 29
ETA.PROfileChart Power consumption by function as function of time 30
ETA.PROfileChart.AddressGROUP Memory access as a chart 31
ETA.PROfileChart.DatasYmbol Symbolic statistics for data as a chart 32
ETA.PROfileChart.DistriB Graphical distribution analysis 33
ETA.PROfileChart.GROUP Energy per GROUP graphically 34
ETA.PROfileChart.Line Energy per high-level language line graphically 35
ETA.PROfileChart.POWER Power consumption per channel graphically 36
ETA.PROfileChart.sYmbol Energy for all program symbols graphically 39
ETA.PROfileChart.TASK Energy consumption per task graphically 41
ETA.PROfileChart.TASKINTR Energy of interrupt service routines as a chart 41
ETA.PROfileChart.TASKSRV Energy consumption per service routine 42
ETA.PROfileSTATistic.DatasYmbol Statistics about data symbols 43
ETA.PROfileSTATistic.GROUP Energy per GROUP as a table 44
ETA.PROfileSTATistic.sYmbol Energy for all program symbols as a table 45
ETA.PROfileSTATistic.TASK Energy consumption per TASK as a table 46
ETA.PROfileSTATistic.TASKINTR Energy statistics about ISR2 as a table 46
ETA.PROfileSTATistic.TASKKernel Energy consumption as a table 47
ETA.PROfileSTATistic.TASKSRV Energy analysis of service routines 47
ETA.RESet Reset command 48
ETA.SELect Select the power channels to be analyzed 49
ETA.state Opens the ETA configuration window 52
ETA.STATistic Statistical energy analysis 53
ETA.STATistic.ChildTREE All children of a function as a tree 54
ETA.STATistic.DistriB Distribution analysis 55
ETA.STATistic.Func Function energy analysis 56
ETA.STATistic.GROUP Group analysis 57
ETA.STATistic.LINKage Linkage analysis 59
ETA.STATistic.ParentTREE Parents of a function 60
ETA.STATistic.sYmbol Statistical analysis of energy consumption 61
ETA.STATistic.TASK Task energy analysis 62
ETA.STATistic.TASKINTR Energy of interrupt service routines 63
ETA.STATistic.TASKKernel Energy consumption of tasks and kernel 64
ETA.STATistic.TASKSRV Energy analysis of service routines 65
ETM ........................................................................................................................................... 66
TRACE32 Directory 61 ©1989-2017 Lauterbach GmbH
ETM.AbsoluteTimestamp Absolute cyclecount pakets 66
ETM.ATBTrigger Use ATB to transfer trace trigger to trace sink 66
ETM.AUXCTLR Set ETMv4 implementation-specific auxiliary control register 66
ETM.BBC Branch address broadcast 66
ETM.BBCExclude Exclude address ranges from branch-broadcasting 66
ETM.BBCInclude Enable branch-broadcasting for dedicated address ranges 66
ETM.CLEAR Clear sequencer settings 66
ETM.CLOCK Set core clock frequency for timing measurements 67
ETM.COND Conditional non-branch instructions 67
ETM.ContextID Select the width of the 'ContextID' register 67
ETM.CORE Select core for ETM 67
ETM.CPRT Monitor coprocessor register transfers 67
ETM.CycleAccurate Cycle accurate tracing 67
ETM.CycleCountThreshold Set granularity for cycle accurate timing info 67
ETM.DataSuppress Suppress data flow to prevent FIFO overflow 67
ETM.DataTrace Configure data-trace 68
ETM.DataTracePrestore Show program trace cycle for data trace cycle 68
ETM.DataViewExclude Suppress data trace for specified address range 68
ETM.DataViewInclude Restrict broadcast of data accesses to range 68
ETM.DBGRQ Debug request control 68
ETM.FifoFullExclude No activation of FIFOFULL in range 68
ETM.FifoFullInclude FIFOFULL only in range 68
ETM.FifoLevel Define FIFO level for FIFOFULL 68
ETM.FunnelHoldTime Define minimum funnel hold time 69
ETM.HalfRate Halfrate mode 69
ETM.INSTP0 Load and store instructions 69
ETM.LPOVERRIDE Prohibit lower power mode 69
ETM.MapDecode Memory map decode control 69
ETM.NoOverflow Enable ETMv4 feature to prevent target FiFo overflows 69
ETM.OFF Switch ETM off 69
ETM.ON Switch ETM on 69
ETM.PortClock Baud rate of serial trace 70
ETM.PortDisable Force trace-port enable signal to zero 70
ETM.PortDisableOnchip Disable ETM trace port when ETB is used 70
ETM.PortFilter Specify utilization of trace memory 70
ETM.PortMode Select ETM mode 70
ETM.PortRoute Set up trace hardware 70
ETM.PortSize Define trace port width 70
ETM.PowerUpRequest Power-up request for the ETM by the debugger 70
ETM.ProcID Define 'ProcID' size 71
ETM.PseudoDataTrace Enable pseudo data trace detection 71
ETM.QE Enable Q elements 71
ETM.QTraceExclude Prohibit Q trace elements in given address range 71
TRACE32 Directory 62 ©1989-2017 Lauterbach GmbH
ETM.QTraceInclude Allow Q trace elements in given address range 71
ETM.RefClock Enable STP reference clock 71
ETM.Register Display the ETM registers 71
ETM.ReserveContextID Reserve special values used with context ID 71
ETM.RESet Reset ETM settings 72
ETM.ReturnStack Enable return stack tracing mode 72
ETM.Set Precise control of ETM trigger events 72
ETM.SmartTrace Configure smart trace 72
ETM.STALL Stall processor to prevent FIFO overflow 72
ETM.state Display ETM settings 72
ETM.StoppingBreakPoints Use ETM comparators for breakpoints 72
ETM.SyncPeriod Set synchronization frequency 72
ETM.TDelay Define trigger delay 73
ETM.TImeMode Improve ETM/PTM timestamp information 73
ETM.TimeStampCLOCK Specify frequency of the global timestamp 73
ETM.TimeStamps Control for global timestamp packets 73
ETM.TimeStampsTrace Specify data trace correlation method (ETMv4) 73
ETM.Trace Control generation of trace information 73
ETM.TraceERRor Force ETM to emit all system error exceptions 73
ETM.TraceExclude Suppress program trace for specified address range 73
ETM.TraceExclude Suppress program trace for specified address range 74
ETM.TraceID Change the default ID for an ETM trace source 74
ETM.TraceInclude Restrict program trace to specified address range 74
ETM.TraceNoPCREL No data trace for accesses relative to program counter 74
ETM.TraceNoSPREL No data trace for accesses relative to stack pointer 74
ETM.TracePriority Define priority of ETM 74
ETM.TraceRESet Forces the ETM to emit all core resets 74
ETM.TRCIDR Define TRCIDR register values for simulator 74
ETM.VMID Virtual machine ID tracing 75
eXception ................................................................................................................................. 76
Exception-System of the TRACE32-ICE/TRACE32-FIRE 76
Exception-Command for the ROM-Monitor 76
eXception.Activate Activate lines 76
eXception.Delay Delayed activation 77
eXception.Enable Enable lines 77
eXception.NMIBREAK Enable NMI-Break 78
eXception.NMIPOL NMI polarity 78
eXception.OFF Switch off 79
eXception.ON Switch on 79
eXception.PERiod Cycle duration 79
eXception.POLarity Polarity 80
eXception.Pulse Stimuli generator 80
eXception.RESet Reset command 81
TRACE32 Directory 63 ©1989-2017 Lauterbach GmbH
eXception.RESetPOL Reset polarity 82
eXception.Single Trigger single impulse 82
eXception.state Display setup 83
eXception.Trigger Select trigger events 83
eXception.Vector Select vector number 84
eXception.Width Pulse width 85
EXTension ................................................................................................................................ 86
EXTension Extend the TRACE32 debugger with custom features 86
EXTension.ACCESS Control memory access 86
EXTension.CONFIG Configure extension 87
EXTension.DEBUG Debug outputs of extension 87
EXTension.DELETE Delete loaded extension 87
EXTension.LOAD Load extension 88
EXTension.MaxVSize Set max vertical size of extension windows 88
EXTension.RESet Reset extension definition 89
EXTension.SETDIR Set the extension directory 89
EXTension.TimeOut Set timeout of extension 89
General Commands Reference Guide F
General Commands Reference Guide F ................................................... (general_ref_f.pdf) 1
History ...................................................................................................................................... 7
FDX ........................................................................................................................................... 8
Trace Methods 8
FDX.METHOD Select communication channel 10
FDX.Arm Arm the trace 11
FDX.AutoArm Arm automatically 11
FDX.AutoFocus Calibrate AUTOFOCUS preprocessor 11
FDX.AutoInit Automatic initialization 11
FDX.AutoTEST Continuous measurement 11
FDX.BookMark Set a bookmark in trace listing 11
FDX.Chart Display trace contents graphically 11
FDX.Chart.DistriB Distribution display 12
FDX.Chart.Func Function activity chart 12
FDX.Chart.GROUP Group activity chart 12
FDX.Chart.Line Graphical HLL lines analysis 12
FDX.Chart.sYmbol Symbol analysis 12
FDX.Chart.TASK Task activity chart 12
FDX.Chart.TASKFunc Task related function run-time analysis (legacy) 12
FDX.Chart.TASKSRV Service routine run-time analysis 13
FDX.Chart.TASKState Task state analysis 13
FDX.Chart.VarState Variable activity chart 13
FDX.CLEAR Clear FDX communication buffers 13
TRACE32 Directory 64 ©1989-2017 Lauterbach GmbH
FDX.CLOSE Close FDX files 13
FDX.ComPare Compare trace contents 13
FDX.DISable Disable the trace 13
FDX.DISableChannel Disable FDX communication 14
FDX.DRAW Visualization of trace data 14
FDX.ENableChannel Enable FDX communication 14
FDX.EXPORT Export trace data for processing in other applications 14
FDX.FILE Load a file into the file trace buffer 14
FDX.Find Find specified entry in trace 14
FDX.FindAll Find all specified entries in trace 14
FDX.FindChange Search for changes in trace flow 15
FDX.GOTO Move cursor to specified trace record 15
FDX.InChannel Inchannel state display 15
FDX.Init Initialize trace 15
FDX.List List trace contents 15
FDX.ListNesting Analyze function nesting 15
FDX.ListVar List variable recorded to trace 15
FDX.LOAD Load trace file for off-line processing 15
FDX.Mode Set the trace operation mode 16
FDX.OFF Switch off 16
FDX.Out tbd. 16
FDX.PROfileChart Profile charts 16
FDX.PROfileChart.DIStance Time interval for a single event 16
FDX.PROfileChart.DURation Time between two events 16
FDX.PROfileChart.GROUP Group profile chart 16
FDX.PROfileChart.Rate Event frequency 17
FDX.PROTOcol.Chart Graphic display for user-defined protocol 17
FDX.PROTOcol.Draw Graphic display for user-defined protocol 17
FDX.PROTOcol.EXPORT Export trace buffer for user-defined protocol 17
FDX.PROTOcol.Find Find in trace buffer for user-defined protocol 17
FDX.PROTOcol.List Display trace buffer for user-defined protocol 17
FDX.PROTOcol.STATistic Display statistics for user-defined protocol 17
FDX.Rate Select sampling rate 18
FDX.REF Set reference point for time measurement 18
FDX.RESet Reset command 18
FDX.SAVE Save trace for postprocessing in TRACE32 18
FDX.SelfArm Automatic restart of trace recording 18
FDX.SIZE Define buffer size 18
FDX.SnapShot Restart trace capturing once 18
FDX.state Display trace configuration window 18
FDX.STATistic Statistic analysis 19
FDX.STATistic.DIStance Time interval for a single event 19
FDX.STATistic.DistriB Distribution analysis 19
TRACE32 Directory 65 ©1989-2017 Lauterbach GmbH
FDX.STATistic.DURation Time between two events 19
FDX.STATistic.Func Nesting function runtime analysis 19
FDX.STATistic.GROUP Group run-time analysis 19
FDX.STATistic.Ignore Ignore false records in statistic 19
FDX.STATistic.InterruptIsFunction Statistics interrupt processing 20
FDX.STATistic.Line HLL-line analysis 20
FDX.STATistic.LINKage Per caller statistic of function 20
FDX.STATistic.Measure Analyze the performance of a single signal 20
FDX.STATistic.PreFetch Prefetch detection 20
FDX.STATistic.Sort Specify sorting criterion for statistic commands 20
FDX.STATistic.sYmbol Flat run-time analysis 20
FDX.STATistic.TASK Task activity statistic 21
FDX.STATistic.TASKFunc Task specific function run-time analysis 21
FDX.STATistic.TASKKernel Task analysis with kernel markers (flat) 21
FDX.STATistic.TASKSRV Analysis of time in OS service routines 21
FDX.STATistic.TASKState Performance analysis 21
FDX.STATistic.TASKTREE Tree display of task specific functions 21
FDX.STATistic.TREE Tree display of nesting function run-time analysis 21
FDX.STATistic.Use Use records 22
FDX.TimeStamp Configure timestamp usage of LOGGER trace 22
FDX.Timing Waveform of trace buffer 22
FDX.TraceChannel tbd. 22
FDX.TRACK Set tracking record 22
FDX.View Display single record 22
FDX.ZERO Align timestamps of trace and timing analyzers 22
FIFO .......................................................................................................................................... 23
FIFO Display on-chip trace FIFO 23
FLAG ......................................................................................................................................... 24
Flag System of TRACE32-ICE 24
Flag System of TRACE32-FIRE 26
FLAG.Delete Delete flags 26
FLAG.Init Initialization 27
FLAG.List Display flags 27
FLAG.ListFunc Code-coverage functions 28
FLAG.ListModul Code-coverage modules 31
FLAG.ListVar Code-coverage variables 33
FLAG.OFF Switch-off flag system 35
FLAG.ON Switch-on flag system 36
FLAG.RESet Reset 36
FLAG.Set Set 36
FLAG.SetSec Mark sections 37
FLAG.state State 37
TRACE32 Directory 66 ©1989-2017 Lauterbach GmbH
FLASH ...................................................................................................................................... 39
FLASH External FLASH memories and on-chip FLASH memories 39
Overview FLASH 39
FLASH.AUTO Auto programming of FLASH 40
FLASH.BSDLaccess Enables FLASH access via boundary scan 41
FLASH.CFI Generate FLASH declaration by CFI 42
FLASH.CHANGEtype Changes the FLASH type 46
FLASH.CLocK Setup input clock for processor internal flash 47
FLASH.Create Declare FLASH 48
FLASH.CreateALIAS Create address alias 53
FLASH.Delete Delete entry in FLASH declaration table 54
FLASH.Erase Erase FLASH 55
FLASH.List Display FLASH definition table 56
FLASH.LOCK Lock FLASH 57
FLASH.MultiProgram Simultaneous programming of flash sectors 58
FLASH.OFFSET Change FLASH control address 58
FLASH.Program Program FLASH 59
FLASH.ReProgram Re-program FLASH 60
FLASH.RESet Reset FLASH declaration table 61
FLASH.state FLASH programming dialog 62
FLASH.TARGET Define target controlled algorithm 63
FLASH.TARGET2 Define second target controlled algorithm 70
FLASH.UNLOCK Unlock FLASH 71
FLASH.UNSECUREerase Unsecure a device 73
FLASHFILE ............................................................................................................................... 74
FLASHFILE NAND flash and serial flash devices 74
FLASHFILE.BSDLaccess Enables FLASH access via boundary scan 74
FLASHFILE.BSDLFLASHTYPE Define FLASH type 75
FLASHFILE.CONFIG Configure FLASH 75
FLASHFILE.COPY Copy to FLASH 76
FLASHFILE.COPYSPARE Copy to spare area of NAND FLASH 77
FLASHFILE.Create Declaration of flash memories: create a block/sector 78
FLASHFILE.DUMP Dump FLASH 79
FLASHFILE.Erase Erase FLASH 80
FLASHFILE.GETBADBLOCK Get the bad block addresses 81
FLASHFILE.GETEXTCSD Get the extended CSD register 81
FLASHFILE.GETID Get ID 82
FLASHFILE.GETONFI Display ONFI 82
FLASHFILE.List List blocks or sectors of FLASH memory 83
FLASHFILE.LOAD Load files to FLASH 84
FLASHFILE.LOAD.binary Write FLASH 84
FLASHFILE.LOAD.Elf Load ELF file 87
FLASHFILE.LOAD.IntelHex Load Intel hex file 87
TRACE32 Directory 67 ©1989-2017 Lauterbach GmbH
FLASHFILE.LOAD.Srecord Load an 'Srecord' file 88
FLASHFILE.LOADALL Load to main area and spare area 88
FLASHFILE.LOADECC Load ECC file to spare area 89
FLASHFILE.LOADSPARE Write NAND FLASH spare area 91
FLASHFILE.LOCK Lock the FLASH device 91
FLASHFILE.MSYSDLL Access an M-Systems DiskOnChip flash device 92
FLASHFILE.RESet Reset FLASH configuration 92
FLASHFILE.SAVE Save FLASH 93
FLASHFILE.SAVEALL Save the main area and the spare area 93
FLASHFILE.SAVEECC Save error correction code (ECC) to file 94
FLASHFILE.SAVEECC.BCH Save ECC with BCH algorithm 94
FLASHFILE.SAVEECC.hamming Save ECC with Hamming algorithm 97
FLASHFILE.SAVEECC.ReedSolomon Save ECC with Reed-S. algorithm 100
FLASHFILE.SAVESPARE Read NAND FLASH spare area 102
FLASHFILE.Set Modify FLASH data 102
FLASHFILE.SETEXTCSD Modify the extended CSD register 103
FLASHFILE.state tbd. 103
FLASHFILE.TARGET Define target controlled algorithm 104
FLASHFILE.UNLOCK Unlock FLASH device 105
FPU ........................................................................................................................................... 106
FPU Access to the FPU registers 106
FPU.OFF FPU access off 106
FPU.ON FPU access on 107
FPU.RESet Reset command 107
FPU.Set Modify FPU registers 107
FPU.TARGET Define FPU access agent 108
FPU.view Display FPU registers 108
Frame ........................................................................................................................................ 109
Frame Call tree and context 109
Frame.CONFIG Fine-tune stack unwinding 109
Frame.CONFIG.Asm Frame back-trace mode 109
Frame.CONFIG.EABI Use chained frame pointers 110
Frame.CONFIG.EPILOG Use epilog code for frame display 110
Frame.CONFIG.PROLOG Use prolog code for frame display 111
Frame.CONFIG.RELOAD Generate frame information again 111
Frame.CONFIG.sYmbol Use symbol code for frame display 112
Frame.COPY Copy to TRACE32 registers 112
Frame.Down Go down in stack nesting 113
Frame.GOTO Change source code view temporarily 113
Frame.Init Initialize the processor registers 114
Frame.REDO Recover from UNDO registers 117
Frame.SkipFunc Change view to previous/subsequent function 117
Frame.SkipLine Change view to previous/subsequent HLL line 118
TRACE32 Directory 68 ©1989-2017 Lauterbach GmbH
Frame.SWAP Swap TRACE32 registers 118
Frame.TASK Change view to specified task 118
Frame.UNDO Recover previous registers 120
Frame.Up Go up in stack nesting 120
Frame.view Display stack frame 122
FXU ........................................................................................................................................... 124
FXU FXU registers (Extended floating point unit) 124
FXU.Init Initialize FXU registers 124
FXU.Set Modify FXU registers 124
FXU.view Open FXU register window 125
General Commands Reference Guide G
General Commands Reference Guide G ................................................. (general_ref_g.pdf) 1
History ...................................................................................................................................... 3
GLOBALON .............................................................................................................................. 4
GLOBALON Global event-controlled PRACTICE script execution 4
Go ............................................................................................................................................. 9
Go Debug control, program execution, and real-time emulation 9
Debug Control for Debuggers 9
Debug Control for TRACE32-ICE 10
Go.Asm Start the program execution and switch to Asm mode 11
Go.Back Go back in program (CTS) 13
Go.BackEntry Go back in program to function entry (CTS) 14
Go.BackGround Start background program 14
Go.BackTillWarning Go back in program until warning (CTS) 15
Go.Change Run program till content changes 15
Go.direct Start the program execution 16
Go.Hll Start the program execution and switch to HLL mode 18
Go.Java Run program until JAVA code starts 19
Go.Mix Start the program execution and switch to 'Mix' mode 20
Go.MONitor Switch to run mode debugging 21
Go.Next Start program and stop at next line 21
Go.NoBreak Emulation breakpoints disabled 21
Go.Return Complete HLL function 22
Go.Till Run program till expression becomes true 25
Go.TillWarning Re-run program until warning (CTS) 25
Go.Up Go up in function nesting 27
GROUP ..................................................................................................................................... 28
GROUP Group functions, modules, or tasks 28
Features 28
GROUP.COLOR Define color for group indicator 32
TRACE32 Directory 69 ©1989-2017 Lauterbach GmbH
GROUP.Create Create a new group 33
GROUP.CreateFunctions Pool functions to group 34
GROUP.CreateLabels Use labels to pool address ranges to group 35
GROUP.CreateModules Pool modules to group 37
GROUP.CreatePrograms Pool programs group 38
GROUP.CreateSources Pool source files to group 39
GROUP.CreateTASK Pool tasks to group 40
GROUP.Delete Delete the specified group 42
GROUP.DeleteTASK Delete specified task from group 42
GROUP.DISable Disable a group 43
GROUP.ENable Enable a group 43
GROUP.HIDE Hide group from debugging 44
GROUP.List List all specified groups 44
GROUP.Merge Merge group members in statistic 45
GROUP.RESet Clear all group specifications 45
GROUP.SEParate Separate group members in statistic 46
GROUP.SHOW Show group for debugging 46
General Commands Reference Guide H
General Commands Reference Guide H ................................................. (general_ref_h.pdf) 1
HAnalyzer ................................................................................................................................. 4
HAnalyzer tbd. 4
HAnalyzer-specific Trace Commands 4
HAnalyzer.state tbd. 4
HAnalyzer.UsbDEVice tbd. 4
Generic Trace Commands 5
HA.ACCESS Define access path to source code for trace decoding 5
HA.Arm Arm the trace 5
HA.BookMark Set a bookmark in trace listing 5
HA.BookMarkToggle Toggles a single trace bookmark 5
HA.Chart Display trace contents graphically 5
HA.CLOCK Clock to calculate time out of cycle count information 5
HA.ComPare Compare trace contents 6
HA.DISable Disable the trace 6
HA.DRAW Visualization of trace data 6
HA.EXPORT Export trace data for processing in other applications 6
HA.FILE Load a file into the file trace buffer 6
HA.Find Find specified entry in trace 6
HA.FindAll Find all specified entries in trace 6
HA.FindChange Search for changes in trace flow 6
HA.FLOWPROCESS Process flowtrace 7
HA.FLOWSTART Restart flowtrace processing 7
TRACE32 Directory 70 ©1989-2017 Lauterbach GmbH
HA.Get Display input level 7
HA.GOTO Move cursor to specified trace record 7
HA.Init Initialize trace 7
HA.List List trace contents 7
HA.ListNesting Analyze function nesting 7
HA.LOAD Load trace file for off-line processing 7
HA.OFF Switch off 8
HA.PROfileChart Profile charts 8
HA.PROfileSTATistic Statistical analysis in a table versus time 8
HA.REF Set reference point for time measurement 8
HA.RESet Reset command 8
HA.SAVE Save trace for postprocessing in TRACE32 8
HA.STATistic Statistic analysis 8
HA.Timing Waveform of trace buffer 8
HA.TRACK Set tracking record 9
HA.View Display single record 9
HA.ZERO Align timestamps of trace and timing analyzers 9
HTM ........................................................................................................................................... 10
HTM CoreSight HTM (AHB Trace Macrocell) 10
HTM.AsicControl Set HTMASICCONTROL register 10
HTM.AuxTrace Auxiliary packet control 11
HTM.BusSelect Set HTMBUSSELECT register 11
HTM.BusTrigger Bus trigger definition 11
HTM.CLEAR Clear HTM.Set settings 12
HTM.CLOCK Core clock frequency 12
HTM.CycleAccurate Cycle accurate tracing 13
HTM.DataTrace Define broadcast of data accesses 14
HTM.ExtDisable Set EXTDISABLE bit 15
HTM.FifoLevel Define FIFO level 15
HTM.OFF Switch HTM off 15
HTM.ON Switch HTM on 16
HTM.Register Display HTM control registers 16
HTM.RESet Reset HTM settings 17
HTM.Set Program HTM manually 17
HTM.state Display HTM configuration window 18
HTM.SyncPeriod Set period of sync packet injection 19
HTM.Trace Trace packet control 19
HTM.TraceExclude No broadcast of data accesses within range 20
HTM.TraceID Set trace ID manually 20
HTM.TraceInclude Restrict broadcast of data accesses to range 21
HTM.TraceOFF HTM stops to emit trace information on event 21
HTM.TraceON HTM starts to emit trace information on event 22
HTM.TracePriority Set priority for the HTM manually 23
TRACE32 Directory 71 ©1989-2017 Lauterbach GmbH
HTM.TraceTrigger Trace trigger definition 23
HTMAnalyzer ............................................................................................................................ 24
HTMOnchip .............................................................................................................................. 24
HTMRTS ................................................................................................................................... 24
HVX ........................................................................................................................................... 25
HVX HVX registers (Hexagon Vector Extensions) 25
HVX.Init Initialize HVX registers 25
HVX.OFF Inhibit HVX accesses by the debugger 25
HVX.ON Permit HVX accesses by the debugger 26
HVX.Set Modify HVX registers 26
HVX.view Open HVX register window 26
General Commands Reference Guide I
General Commands Reference Guide I .................................................... (general_ref_i.pdf) 1
I2C ............................................................................................................................................. 7
I2C I2C control 7
I2C.PIN Set I2C pin to specified level 7
I2C.THreshold Specify threshold for logical low 8
I2C.TRANSFER Transfer bytes on I2C bus 8
Integrator .................................................................................................................................. 10
Trace Methods 10
Trace Method Integrator 10
Integrator.ABCDEF Sampling configuration for probes ABCDEF 11
Integrator.ACCESS Define access path to source code for trace decoding 11
Integrator.Arm Arm the trace 11
Integrator.AutoArm Arm automatically 11
Integrator.AutoFocus Calibrate AUTOFOCUS preprocessor 11
Integrator.AutoInit Automatic initialization 11
Integrator.AutoTEST Continuous measurement 11
Integrator.BookMark Set a bookmark in trace listing 12
Integrator.Break Stop trace 12
Integrator.Chart Display trace contents graphically 12
Integrator.Chart.DistriB Distribution display 12
Integrator.Chart.Func Function activity chart 12
Integrator.Chart.GROUP Group activity chart 12
Integrator.Chart.Line Graphical HLL lines analysis 12
Integrator.Chart.sYmbol Symbol analysis 13
Integrator.Chart.TASK Task activity chart 13
Integrator.Chart.TASKFunc Task related function run-time analysis (legacy) 13
Integrator.Chart.TASKSRV Service routine run-time analysis 13
Integrator.Chart.TASKState Task state analysis 13
TRACE32 Directory 72 ©1989-2017 Lauterbach GmbH
Integrator.Chart.VarState Variable activity chart 13
Integrator.ComPare Compare trace contents 13
Integrator.CSELect Select signal for counter 14
Integrator.DISable Disable the trace 14
Integrator.DisConfig Trace disassembler configuration 14
Integrator.DisConfig.CYcle Trace disassemble setting 14
Integrator.DisConfig.FlowMode Enable FlowTrace analysis 14
Integrator.DisConfig.RESet Reset trace disassemble setting 14
Integrator.DisConfig.view Trace disassemble setting 14
Integrator.DRAW Visualization of trace data 15
Integrator.EXPORT Export trace data for processing in other applications 15
Integrator.FILE Load a file into the file trace buffer 15
Integrator.Find Find specified entry in trace 15
Integrator.FindAll Find all specified entries in trace 15
Integrator.FindChange Search for changes in trace flow 15
Integrator.FLOWPROCESS Process flowtrace 15
Integrator.FLOWSTART Restart flowtrace processing 16
Integrator.Get Display input level 16
Integrator.GOTO Move cursor to specified trace record 16
Integrator.Init Initialize trace 16
Integrator.JKLMNO Sampling configuration for probes JKLMNO 16
Integrator.List List trace contents 16
Integrator.ListNesting Analyze function nesting 16
Integrator.ListVar List variable recorded to trace 16
Integrator.LOAD Load trace file for off-line processing 17
Integrator.Mode Set the trace operation mode 17
Integrator.OFF Switch off 17
Integrator.PROfileChart.DIStance Time interval for a single event 17
Integrator.PROfileChart.DURation Time between two events 17
Integrator.PROfileChart.GROUP Group profile chart 17
Integrator.PROfileChart.Rate Event frequency 17
Integrator.Program Program trigger unit 18
Integrator.PROTOcol Protocol analysis 18
Integrator.PROTOcol.Chart Graphic display for user-defined protocol 18
Integrator.PROTOcol.Draw Graphic display for user-defined protocol 18
Integrator.PROTOcol.EXPORT Export trace buffer for user-defined protocol 18
Integrator.PROTOcol.Find Find in trace buffer for user-defined protocol 18
Integrator.PROTOcol.List Display trace buffer for user-defined protocol 18
Integrator.PROTOcol.STATistic Display statistics for user-defined protocol 19
Integrator.REF Set reference point for time measurement 19
Integrator.ReProgram Program trigger unit 19
Integrator.RESet Reset command 19
Integrator.SAVE Save trace for postprocessing in TRACE32 19
TRACE32 Directory 73 ©1989-2017 Lauterbach GmbH
Integrator.SelfArm Automatic restart of trace recording 19
Integrator.SIZE Define buffer size 19
Integrator.SnapShot Restart trace capturing once 20
Integrator.state Display trace configuration window 20
Integrator.STATistic Statistic analysis 20
Integrator.STATistic.BondOut Bondout mode 20
Integrator.STATistic.DIStance Time interval for a single event 20
Integrator.STATistic.DistriB Distribution analysis 20
Integrator.STATistic.DURation Time between two events 20
Integrator.STATistic.Func Nesting function runtime analysis 21
Integrator.STATistic.GROUP Group run-time analysis 21
Integrator.STATistic.Ignore Ignore false records in statistic 21
Integrator.STATistic.InterruptIsFunction Statistics interrupt processing 21
Integrator.STATistic.Line HLL-line analysis 21
Integrator.STATistic.LINKage Per caller statistic of function 21
Integrator.STATistic.Measure Analyze the performance of a single signal 21
Integrator.STATistic.PreFetch Prefetch detection 22
Integrator.STATistic.Sort Specify sorting criterion for statistic commands 22
Integrator.STATistic.sYmbol Flat run-time analysis 22
Integrator.STATistic.TASK Task activity statistic 22
Integrator.STATistic.TASKFunc Task specific function run-time analysis 22
Integrator.STATistic.TASKKernel Task analysis with kernel markers (flat) 22
Integrator.STATistic.TASKSRV Analysis of time in OS service routines 22
Integrator.STATistic.TASKState Performance analysis 23
Integrator.STATistic.TASKTREE Tree display of task specific functions 23
Integrator.STATistic.TREE Tree display of nesting function run-time analysis 23
Integrator.STATistic.Use Use records 23
Integrator.TCount Set trigger counter 23
Integrator.TDelay Trigger delay 23
Integrator.Timing Waveform of trace buffer 23
Integrator.TOut Enable trigger output line (PowerIntegrator) 24
Integrator.TPreDelay Pre-trigger delay 24
Integrator.TRACK Set tracking record 24
Integrator.TRIGGER Trigger the trace 24
Integrator.TSELect Select trigger source 24
Integrator.TSYNC Select trigger line and mode 24
Integrator.TView Display trigger settings 24
Integrator.TWidth Set trigger filter 24
Integrator.View Display single record 25
Integrator.ZERO Align timestamps of trace and timing analyzers 25
IProbe ....................................................................................................................................... 26
IProbe IProbe logic analyzer 26
IProbe.Arm Enables IProbe recording 27
TRACE32 Directory 74 ©1989-2017 Lauterbach GmbH
IProbe.AutoArm IProbe self-contained recording 27
IProbe.AutoInit IProbe self-contained initialization 28
IProbe.BookMark Set a bookmark in trace listing 28
IProbe.Break Manual IProbe break 28
IProbe.BusA Podbus trigger signal 29
IProbe.Chart Display trace contents graphically 29
IProbe.ComPare Compare two traces or the trace buffer against a file 29
IProbe.CSELect Source select for system counter 30
IProbe.DISable Disable the IProbe 30
IProbe.DRAW Display analog trace graphically 30
IProbe.EXPORT Export trace data 31
IProbe.FILE Load a file into the file trace buffer 31
IProbe.Find Search for pattern 31
IProbe.FindAll Search for pattern 32
IProbe.FindChange Search for changes in trace flow 32
IProbe.Get Input signal level and activity 32
IProbe.GOTO Move cursor to specified trace record 32
IProbe.Init IProbe initialization 33
IProbe.List Displays trace in table format 33
IProbe.LOAD Reload saved IProbe trace contents 33
IProbe.Mode Set trace operation mode 34
IProbe.OFF Switch off IProbe recording 34
IProbe.PROfileChart Graphic display of time interval 34
IProbe.PROTOcol Protocol analysis 35
IProbe.PROTOcol.Chart Graphic display for user define protocol 35
IProbe.PROTOcol.Draw Graphic display for user define protocol 35
IProbe.PROTOcol.EXPORT Export trace buffer for user-defined protocol 35
IProbe.PROTOcol.Find Find in trace buffer for user-defined protocol 36
IProbe.PROTOcol.List Display trace buffer for user-defined protocol 36
IProbe.PROTOcol.STATistic Display statistic for user-defined protocol 36
IProbe.REF Set reference record for timing measurements 37
IProbe.RESet Reset command 37
IProbe.SAVE Save IProbe trace memory into file 37
IProbe.SelfArm Immediate IProbe analyzer turn on 38
IProbe.SELFTEST Iprobe self-test 38
IProbe.SIZE Define the trace buffer size 38
IProbe.SnapShot Restart trace capturing once 39
IProbe.state Display the IProbe configuration window 40
IProbe.STATistic Statistic analysis 41
IProbe.TCount Define a trigger counter 41
IProbe.TDelay Define a trigger delay counter 41
IProbe.Timing Displays channels as waveform graphics 41
IProbe.TOut Activates/deactivates the trigger output signal (BUSA) 42
TRACE32 Directory 75 ©1989-2017 Lauterbach GmbH
IProbe.TPreDelay Define the trigger pre-delay counter 42
IProbe.TRIGGER Ineffective command 42
IProbe.TSELect Select trigger input line 42
IProbe.TSYNC Select trigger line and mode 43
IProbe.TSYNC.SELect Select trigger input pin and edge or state 43
IProbe.TSYNC.SIMPLE Select simple trigger 43
IProbe.TWidth Define trigger pulse width 43
IProbe.View Displays just a single trace record 44
IProbe.XTrack Use “SYnch.XTrack” instead 44
ISTATistic ................................................................................................................................. 45
ISTATistic Instruction statistics 45
INCremental ISTATistic 45
SPYing ISTATistic 47
ISTATistic.ADD Add trace contents to ISTAT database 49
ISTATistic.Delete Delete selected code coverage information 52
ISTATistic.EXPORT Export instruction statistics to an XML file 53
ISTATistic.EXPORT.ListFunc Export the HLL functions 53
ISTATistic.EXPORT.ListLine Export the HLL lines 54
ISTATistic.EXPORT.ListModule Export the modules 55
ISTATistic.Init Initialize ISTAT database 55
ISTATistic.List Runtime analysis overview 55
ISTATistic.ListFunc List run-time analysis of functions 56
ISTATistic.ListLine List run-time analysis of HLL lines 57
ISTATistic.ListModule List module tree of ISTAT database 57
ISTATistic.LOAD Load ISTAT database from file 58
ISTATistic.METHOD Recording method for instruction statistics 58
ISTATistic.OFF Deactivate the selected instruction statistics method 59
ISTATistic.ON Activate the selected instruction statistics method 59
ISTATistic.RESet Delete ISTAT database 59
ISTATistic.SAVE Save ISTAT database to file 60
ISTATistic.Set Mark specified addresses as executed 60
ISTATistic.state Display ISTAT configuration window 61
ITM ............................................................................................................................................ 62
ITM CoreSight ITM (Instrumentation Trace Macrocell) 62
ITM.CLEAR Reset ITM control register 63
ITM.CLOCK Core clock frequency 63
ITM.CycleAccurate Cycle accurate tracing 64
ITM.CycleMode Timestamp source 64
ITM.CyclePrescaler Set timestamp clock prescaler 65
ITM.DataTrace Define broadcast of data accesses 66
ITM.DWTADDRESS Supply comparator values 68
ITM.InterruptTrace Emit interrupt event information 69
ITM.OFF Switch ITM off 70
TRACE32 Directory 76 ©1989-2017 Lauterbach GmbH
ITM.ON Switch ITM on 70
ITM.PCSampler Emit PC in a regular interval 70
ITM.PortClock ITM traceport configuration 71
ITM.PortFilter Filter by channel 71
ITM.PortMode Trace export information 72
ITM.PortRoute Selects the trace port 73
ITM.PortSize Trace export size 73
ITM.ProfilingTrace Provide DWT counter information 73
ITM.Register Display ITM control registers 74
ITM.RESet Reset ITM settings 74
ITM.state Display ITM configuration window 75
ITM.SyncPeriod Set period of sync packet injection 76
ITM.TImeMode Type of timestamp 77
ITM.TimeStamp Emit global timestamp packets 78
ITM.TimeStampCLOCK External clock frequency 78
ITM.TimeStampMode Clock source for local timestamp 79
ITM.TraceID Set trace ID manually 79
ITM.TracePriority Set priority for the ITM manually 79
ITMAnalyzer Analyze ITM information recorded by TRACE32 PowerTrace 80
ITMCAnalyzer Analyze ITM information recorded by TRACE32 CombiProbe 80
General Commands Reference Guide J
General Commands Reference Guide J ................................................... (general_ref_j.pdf) 1
Java .......................................................................................................................................... 4
Java Java debugging subsystem 4
Java.CONFIG Configure VM type for debugging 5
Java.LOAD Load all Java symbols 6
Java.LOADCLASS Load Java class information 6
Java.MAP Java VM specific mappings 7
Java.MAP.ByteCode Define bytecode area 7
Java.MAP.IP Configure Java VM instruction pointer 8
Java.MAP.List List Java VM specific mappings 9
Java.MAP.LOADATTR Load attribute information from Java class files 9
Java.MAP.NoByteCode Remove bytecode mapping 9
Java.MAP.NoVM Remove VM interpreter flag 10
Java.MAP.NoVMStop Remove breakpoint in VM interpreter 10
Java.MAP.RESet Reset Java VM mappings 10
Java.MAP.VM Configure Java VM interpreter routine area 11
Java.MAP.VMStop Configure breakpoint in VM interpreter 11
Java.OFF Disable Java VM debugging subsystem 12
Java.ON Activate Java debugging subsystem 12
Java.state Display Java VM subsystem state 12
TRACE32 Directory 77 ©1989-2017 Lauterbach GmbH
JTAG ......................................................................................................................................... 13
JTAG Low-level JTAG control 13
JTAG.LOADBIT Configure a Xilinx FPGA with a BIT file 14
JTAG.LOCK Grab the JTAG port for manual control 15
JTAG.PARKSTATE Define the hand over TAP state 16
JTAG.PIN Set JTAG signals manually 17
JTAG.PROGRAM Run programming file 19
JTAG.PROGRAM.Altera Program Altera FPGAs 20
JTAG.PROGRAM.auto Detect and run programming file 21
JTAG.PROGRAM.JAM Run programming file in JAM/STAPL format 21
JTAG.PROGRAM.JBC Run programming file in binary JAM/STAPL format 22
JTAG.PROGRAM.SVF Run programming file in SVF format 23
JTAG.PROGRAM.Xilinx Program Xilinx FPGAs 25
JTAG.RESet tbd. 26
JTAG.SHIFTREG Send a TDI pattern on the JTAG port 26
JTAG.SHIFTTDI Send a TDI pattern on the JTAG port 27
JTAG.SHIFTTMS Send a TMS pattern on the JTAG port 28
JTAG.UNLOCK Hand the JTAG port control back to the debugger 28
JTAG.USECLOCK Observe shift commands 29
JTAG.X7EFUSE Program Xilinx 7-Series eFuses 30
General Commands Reference Guide K
General Commands Reference Guide K ................................................. (general_ref_k.pdf) 1
General Commands Reference Guide L
General Commands Reference Guide L ................................................... (general_ref_l.pdf) 1
Logic Analyzer ......................................................................................................................... 8
Trace Methods 8
Trace Method LA 8
LA .............................................................................................................................................. 9
LA.ACCESS Define access path to source code for trace decoding 9
LA.Arm Arm the trace 9
LA.AutoArm Arm automatically 9
LA.AutoFocus Calibrate AUTOFOCUS preprocessor 9
LA.AutoInit Automatic initialization 9
LA.AutoTEST Continuous measurement 9
LA.BookMark Set a bookmark in trace listing 10
LA.Chart Display trace contents graphically 10
LA.Chart.Func Function activity chart 10
LA.Chart.GROUP Group activity chart 10
LA.Chart.Line Graphical HLL lines analysis 10
TRACE32 Directory 78 ©1989-2017 Lauterbach GmbH
LA.Chart.sYmbol Symbol analysis 10
LA.Chart.TASK Task activity chart 10
LA.Chart.TASKFunc Task related function run-time analysis (legacy) 11
LA.Chart.TASKSRV Service routine run-time analysis 11
LA.Chart.TASKState Task state analysis 11
LA.Chart.VarState Variable activity chart 11
LA.ComPare Compare trace contents 11
LA.DISable Disable the trace 11
LA.DRAW Visualization of trace data 11
LA.EXPORT Export trace data for processing in other applications 12
LA.FILE Load a file into the file trace buffer 12
LA.Find Find specified entry in trace 12
LA.FindAll Find all specified entries in trace 12
LA.FindChange Search for changes in trace flow 12
LA.FLOWPROCESS Process flowtrace 12
LA.FLOWSTART Restart flowtrace processing 12
LA.GOTO Move cursor to specified trace record 13
LA.IMPORT Import trace information 13
LA.IMPORT.CoreByteStream Import pure single core trace data 13
LA.IMPORT.ETB Import on-chip trace data 13
LA.IMPORT.flow Import bus trace data 13
LA.IMPORT.GUESSWRAP Guess wrap pointer 13
LA.IMPORT.STP Import STP recording from file (nibble) 13
LA.IMPORT.STPByteStream Import STP recording from file (byte) 14
LA.IMPORT.TraceFile Import trace data where processing has failed 14
LA.IMPORT.TracePort Import off-chip trace data 14
LA.IMPORT.VCD Import recorded signals in VCD file format 14
LA.IMPORT.WRAP Define wrap pointer 14
LA.Init Initialize trace 14
LA.List List trace contents 14
LA.ListNesting Analyze function nesting 15
LA.ListVar List variable recorded to trace 15
LA.LOAD Load trace file for off-line processing 15
LA.Mode Set the trace operation mode 15
LA.OFF Switch off 15
LA.PROfileChart Profile charts 15
LA.PROfileChart.DIStance Time interval for a single event 15
LA.PROfileChart.GROUP Group profile chart 16
LA.PROfileChart.Rate Event frequency 16
LA.PROTOcol Protocol analysis 16
LA.PROTOcol.Chart Graphic display for user-defined protocol 16
LA.PROTOcol.Draw Graphic display for user-defined protocol 16
LA.PROTOcol.EXPORT Export trace buffer for user-defined protocol 16
TRACE32 Directory 79 ©1989-2017 Lauterbach GmbH
LA.PROTOcol.Find Find in trace buffer for user-defined protocol 16
LA.PROTOcol.List Display trace buffer for user-defined protocol 17
LA.PROTOcol.STATistic Display statistics for user-defined protocol 17
LA.REF Set reference point for time measurement 17
LA.RESet Reset command 17
LA.SAVE Save trace for postprocessing in TRACE32 17
LA.SelfArm Automatic restart of trace recording 17
LA.SIZE Define buffer size 17
LA.SnapShot Restart trace capturing once 18
LA.state Display trace configuration window 18
LA.STATistic Statistic analysis 18
LA.STATistic.BondOut Bondout mode 18
LA.STATistic.DIStance Time interval for a single event 18
LA.STATistic.DistriB Distribution analysis 18
LA.STATistic.DURation Time between two events 18
LA.STATistic.Func Nesting function runtime analysis 19
LA.STATistic.GROUP Group run-time analysis 19
LA.STATistic.Ignore Ignore false records in statistic 19
LA.STATistic.InterruptIsFunction Statistics interrupt processing 19
LA.STATistic.Line HLL-line analysis 19
LA.STATistic.LINKage Per caller statistic of function 19
LA.STATistic.Measure Analyze the performance of a single signal 19
LA.STATistic.PreFetch Prefetch detection 20
LA.STATistic.Sort Specify sorting criterion for statistic commands 20
LA.STATistic.sYmbol Flat run-time analysis 20
LA.STATistic.TASK Task activity statistic 20
LA.STATistic.TASKFunc Task specific function run-time analysis 20
LA.STATistic.TASKKernel Task analysis with kernel markers (flat) 20
LA.STATistic.TASKSRV Analysis of time in OS service routines 20
LA.STATistic.TASKState Performance analysis 21
LA.STATistic.TASKTREE Tree display of task specific functions 21
LA.STATistic.TREE Tree display of nesting function run-time analysis 21
LA.STATistic.Use Use records 21
LA.Timing Waveform of trace buffer 21
LA.TRACK Set tracking record 21
LA.View Display single record 21
LA.ZERO Align timestamps of trace and timing analyzers 22
List ............................................................................................................................................ 23
List Display modes for programs 23
List.auto Display source listing 24
List.Asm Display disassembler 32
List.EXPORT Export a listing to an XML file 33
List.EXPORT.Asm Export disassembler listing 33
TRACE32 Directory 80 ©1989-2017 Lauterbach GmbH
List.EXPORT.auto Export source and disassembler listing 34
List.EXPORT.Mix Export source and disassembler listing 34
List.Hll Display source 36
List.Java Display Java byte code 37
List.Mix Disassembler and source 38
LOGGER ................................................................................................................................... 39
Trace Methods 39
Method Logger 40
LOGGER Trace Commands .................................................................................................... 41
LOGGER.ADDRESS Software trace address 41
LOGGER.Arm Arm the trace 41
LOGGER.AutoArm Arm automatically 41
LOGGER.AutoFocus Calibrate AUTOFOCUS preprocessor 41
LOGGER.AutoInit Automatic initialization 41
LOGGER.BookMark Set a bookmark in trace listing 41
LOGGER.Chart Display trace contents graphically 42
LOGGER.Chart.DistriB Distribution display 42
LOGGER.Chart.Func Function activity chart 42
LOGGER.Chart.GROUP Group activity chart 42
LOGGER.Chart.Line Graphical HLL lines analysis 42
LOGGER.Chart.sYmbol Symbol analysis 42
LOGGER.Chart.TASK Task activity chart 42
LOGGER.Chart.TASKFunc Task related function run-time analysis (legacy) 43
LOGGER.Chart.TASKSRV Service routine run-time analysis 43
LOGGER.Chart.TASKState Task state analysis 43
LOGGER.Chart.VarState Variable activity chart 43
LOGGER.ComPare Compare trace contents 43
LOGGER.DISable Disable the trace 43
LOGGER.DRAW Visualization of trace data 43
LOGGER.EXPORT Export trace data for processing in other applications 44
LOGGER.FILE Load a file into the file trace buffer 44
LOGGER.Find Find specified entry in trace 44
LOGGER.FindAll Find all specified entries in trace 44
LOGGER.FindChange Search for changes in trace flow 44
LOGGER.FLOWPROCESS Process flowtrace 44
LOGGER.FLOWSTART Restart flowtrace processing 44
LOGGER.GOTO Move cursor to specified trace record 45
LOGGER.Init Initialize trace 45
LOGGER.List List trace contents 45
LOGGER.ListNesting Analyze function nesting 45
LOGGER.ListVar List variable recorded to trace 45
LOGGER.LOAD Load trace file for off-line processing 45
LOGGER.Mode Set the trace operation mode 45
TRACE32 Directory 81 ©1989-2017 Lauterbach GmbH
LOGGER.OFF Switch off 45
LOGGER.PROfileChart Profile charts 46
LOGGER.PROfileChart.DIStance Time interval for a single event 46
LOGGER.PROfileChart.DURation Time between two events 46
LOGGER.PROfileChart.GROUP Group profile chart 46
LOGGER.PROfileChart.Rate Event frequency 46
LOGGER.PROTOcol Protocol analysis 46
LOGGER.PROTOcol.Chart Graphic display for user-defined protocol 46
LOGGER.PROTOcol.Draw Graphic display for user-defined protocol 47
LOGGER.PROTOcol.EXPORT Export trace buffer for user-defined protocol 47
LOGGER.PROTOcol.Find Find in trace buffer for user-defined protocol 47
LOGGER.PROTOcol.List Display trace buffer for user-defined protocol 47
LOGGER.PROTOcol.STATistic Display statistics for user-defined protocol 47
LOGGER.REF Set reference point for time measurement 47
LOGGER.RESet Reset command 47
LOGGER.SAVE Save trace for postprocessing in TRACE32 48
LOGGER.SelfArm Automatic restart of trace recording 48
LOGGER.SIZE Define buffer size 48
LOGGER.SnapShot Restart trace capturing once 48
LOGGER.state Display trace configuration window 48
LOGGER.STATistic Statistic analysis 48
LOGGER.STATistic.BondOut Bondout mode 48
LOGGER.STATistic.DIStance Time interval for a single event 49
LOGGER.STATistic.DistriB Distribution analysis 49
LOGGER.STATistic.DURation Time between two events 49
LOGGER.STATistic.Func Nesting function runtime analysis 49
LOGGER.STATistic.GROUP Group run-time analysis 49
LOGGER.STATistic.Ignore Ignore false records in statistic 49
LOGGER.STATistic.InterruptIsFunction Statistics interrupt processing 49
LOGGER.STATistic.Line HLL-line analysis 50
LOGGER.STATistic.LINKage Per caller statistic of function 50
LOGGER.STATistic.Measure Analyze the performance of a single signal 50
LOGGER.STATistic.PreFetch Prefetch detection 50
LOGGER.STATistic.Sort Specify sorting criterion for statistic commands 50
LOGGER.STATistic.sYmbol Flat run-time analysis 50
LOGGER.STATistic.TASK Task activity statistic 50
LOGGER.STATistic.TASKFunc Task specific function run-time analysis 51
LOGGER.STATistic.TASKKernel Task analysis with kernel markers (flat) 51
LOGGER.STATistic.TASKSRV Analysis of time in OS service routines 51
LOGGER.STATistic.TASKState Performance analysis 51
LOGGER.STATistic.TASKTREE Tree display of task specific functions 51
LOGGER.STATistic.TREE Tree display of nesting function run-time analysis 51
LOGGER.STATistic.Use Use records 51
TRACE32 Directory 82 ©1989-2017 Lauterbach GmbH
LOGGER.TimeStamp Configure timestamp usage of LOGGER trace 52
LOGGER.Timing Waveform of trace buffer 52
LOGGER.TRACK Set tracking record 52
LOGGER.View Display single record 52
LOGGER.ZERO Align timestamps of trace and timing analyzers 52
LUA ........................................................................................................................................... 53
LUA Support for the Lua script language 53
LUA.List List the current Lua scripts 53
LUA.LOAD Load a Lua script to debugger 54
LUA.RESet Reset the Lua context 55
LUA.RUN Execute a Lua script 55
LUA.SET Modify the Lua input buffer 56
LUA.ShowInput Show current content of the input buffer 56
LUA.ShowOutput Show current content of the output buffer 57
LUA.UNLOAD Remove a Lua script from the debugger 57
General Commands Reference Guide M
General Commands Reference Guide M ................................................ (general_ref_m.pdf) 1
History ...................................................................................................................................... 7
MACHINE .................................................................................................................................. 8
MACHINE.select Display context of specified virtual machine 8
MAP .......................................................................................................................................... 9
MAP Mapping memory attributes for debugger, ICE, and FIRE 9
Mapping-System of TRACE32-ICE 9
Mapping the EPROM Simulator for BDM/ROM 12
MAP.Ack Generate acknowledge signals 12
MAP.ADelay tbd. 14
MAP.AFlag tbd. 14
MAP.BANK Set bank range 14
MAP.BE Define big endian area 15
MAP.BOnchip Use on-chip breakpoints 15
MAP.Break Map break memory 16
MAP.BURST Burst area mapping 17
The Usage of the MAP.BUSx Commands 18
MAP.BUS8 Bus width mapping 20
MAP.BUS16 Bus width mapping 21
MAP.BUS24 Bus width mapping 21
MAP.BUS32 Bus width mapping 22
MAP.BUSEXT External bus mapping 22
MAP.BYTE Set EPROM width 22
MAP.Cache Cache area mapping 23
TRACE32 Directory 83 ©1989-2017 Lauterbach GmbH
MAP.CFlag tbd. 23
MAP.COMSTART tbd. 24
MAP.CONST Mapped address range contains constants 24
MAP.CS tbd. 25
MAP.Data Map data memory 25
MAP.DEFault Standard memory mapping 25
MAP.DenyAccess Deny memory access by TRACE32 26
MAP.DenyBurst Deny burst access to memory by TRACE32 27
MAP.DMA DMA area mapping 28
MAP.DMUX tbd. 28
MAP.Extern External memory 28
MAP.Flag Map flag memory 28
MAP.FRAG Form fragment 29
MAP.GAP Define gap 29
MAP.Intern Internal memory 30
MAP.LE Define little endian area 30
MAP.List List allocation 31
MAP.MFlag tbd. 33
MAP.MIrror Mirroring 33
MAP.Mode Mode 34
MAP.MONITOR tbd. 35
MAP.NEW Initialization 35
MAP.NoAck Disable acknowledge signals 35
MAP.NoAFlag tbd. 36
MAP.NOBANK Release bank area 36
MAP.NoBE Switch off big endian 36
MAP.NoBOnchip Use on-chip breakpoints 37
MAP.NoBreak Release break memory 37
MAP.NoBURST Burst area mapping 38
MAP.NOBUS16 Bus width mapping 38
MAP.NOBUS32 Bus width mapping 38
MAP.NOBUS8 Bus width mapping 39
MAP.NoCache Cache area mapping 39
MAP.NoCONST Undo the MAP.CONST settings 39
MAP.NOCS tbd. 40
MAP.NoData Release data memory 40
MAP.NoDenyAccess Switch off deny access for TRACE32 40
MAP.NoDenyBurst Undo settings of MAP.DENYBURST command 40
MAP.NODMA DMA area mapping 41
MAP.NoDMUX tbd. 41
MAP.NoFlag Release flag memory 41
MAP.NOFRAG Switch off fragmentation 42
MAP.NOGAP Switch off gap 42
TRACE32 Directory 84 ©1989-2017 Lauterbach GmbH
MAP.NoLE Switch off little endian 42
MAP.NoMFlag tbd. 43
MAP.NoOPFetch Switch off opfetch area mapping 43
MAP.NOPAGE Define pages 43
MAP.NoPOOL Undo setting of MAP.POOL command 44
MAP.NoProtect Erase write protection 44
MAP.NoRam Release RAM 44
MAP.NoReadFlag Undo setting of MAP.READFLAG command 45
MAP.NOROM Unmap ESI 45
MAP.NoShadow Undo setting of MAP.SHADOW command 45
MAP.NoSOnchip Undo setting of MAP.SONCHIP command 46
MAP.NOSWAP Keep byte order 46
MAP.NoUpdateOnce Undo setting of MAP.UPDATEONCE command 46
MAP.NoVMREAD Undo the setting of the MAP.VMREAD command 47
MAP.NoVOLATILE Undo the setting of the MAP.VOLATILE command 47
MAP.NOWB Premapper settings 47
MAP.NoXBus Maps XBUS to XPER device 48
MAP.OPFetch Opfetch area mapping 48
MAP.PAGE Define pages 48
MAP.POOL tbd. 49
MAP.PRE Premapper 49
MAP.Protect Write protection 50
MAP.Ram Map RAM 50
MAP.ReadFlag tbd. 51
MAP.RELOCate Relocate ROM area 51
MAP.RESet Reset 52
MAP.ROM Map ESI 52
MAP.Shadow tbd. 53
MAP.SOnchip tbd. 53
MAP.SPlit Splitting 53
MAP.state State 54
MAP.SWAP Change byte order 54
MAP.UpdateOnce Read memory only once each time CPU stops 55
MAP.VERIFY Internal usage only 56
MAP.VMREAD Redirect memory reads/writes to TRACE32 virtual memory 56
MAP.VOLATILE Mapped address range is volatile 56
MAP.Wait Wait cycles 56
MAP.WORD Set EPROM width 57
MAP.WriteFlag tbd. 58
MAP.XBus Maps the XBUS to emulation memory 58
MCDS ........................................................................................................................................ 59
MCDS Multicore debug solution 59
Overview 59
TRACE32 Directory 85 ©1989-2017 Lauterbach GmbH
MCDS.CLEAR Clear programming and initialize MCDS registers 60
MCDS.CLOCK Configure MCDS clock system 60
MCDS.CLOCK DEPRECATED Enable deprecated MCDS clock programming 61
MCDS.CLOCK EXTern Set the external clock frequency 62
MCDS.CLOCK Frequency Specify MCDS-related frequencies by commands 63
MCDS.CLOCK Frequency.McdsClock Specify the MCDS clock 63
MCDS.CLOCK Frequency.ReferenceClock Specify the reference clock 63
MCDS.CLOCK MCDSDIV Set divider for generating the MCDS clock 64
MCDS.CLOCK REFDIV Set divider for generating the reference clock 64
MCDS.CLOCK REFerence Select the reference clock source 65
MCDS.CLOCK SYStem Set the system clock frequency 65
MCDS.CLOCK TIMER Setup timer for periodic trigger event 66
MCDS.CLOCK TimeStamp Force decoding of timestamp messages 67
MCDS.DIAG Enable diagnostic output 68
MCDS.INFO Information on MCDS and usage 68
MCDS.Init Initialize MCDS registers 69
MCDS.OFF Disable MCDS programming 69
MCDS.ON Enable MCDS programming 69
MCDS.Option Control MCDS feature behavior 70
MCDS.Option AddressBreak Use MCDS for address breakpoints 70
MCDS.Option CoreBreak Break when BREANK_OUT becomes active 71
MCDS.Option DataAssign Data assignment in trace listing 71
MCDS.Option DataBreak Use MCDS for data breakpoints 71
MCDS.Option eXception Exception identification in trace decoder 72
MCDS.Option ProgramBreak Use MCDS for program breakpoints 72
MCDS.Option QuickOFF Disable trace recording by hardware 73
MCDS.Option TTRESet Enable generation of reset information in trace 74
MCDS.PortSIZE Set number of used Aurora lanes 75
MCDS.PortSPEED Set Aurora lane speed 75
MCDS.Program Program MCDS triggers 76
MCDS.Register Open window with MCDS registers 77
MCDS.ReProgram Load and execute an OCTL trigger program 77
MCDS.RESet Reset the MCDS unit in the debug tool 78
MCDS.RM MCDS resource management commands 79
MCDS.RM ReSTore Restore MCDS registers 79
MCDS.RM WriteTarget Flush MCDS register cache 79
MCDS.SessionKEY Provide MCDS session key 80
MCDS.Set Program MCDS on hardware level 81
MCDS.SOURCE Set MCDS trace sources 83
MCDS.SOURCE.ALL Enable all MCDS trace sources 83
MCDS.SOURCE.DEFault Set default MCDS trace sources. 83
MCDS.SOURCE.NONE Disable all MCDS trace sources. 84
MCDS.SOURCE.Set Set individual MCDS trace sources 85
TRACE32 Directory 86 ©1989-2017 Lauterbach GmbH
MCDS.state Display MCDS configuration window 91
MCDS.TimeStamp Enable MCDS trace sources 92
MCDS.TraceBuffer Configure MCDS trace buffer 93
MCDS.TraceBuffer ARRAY Select MCDS trace buffer array 93
MCDS.TraceBuffer DETECT Auto-detect MCDS trace buffer configuration 94
MCDS.TraceBuffer LowerGAP Set MCDS trace buffer lower gap 94
MCDS.TraceBuffer NoStealing Prevent conflicts with third-party tools 95
MCDS.TraceBuffer SIZE Set MCDS trace buffer size 96
MCDS.TraceBuffer UpperGAP Set MCDS trace buffer upper gap 96
MCDSBase<trace> Non-optimized trace MCDS trace processing 97
MCDSDCA<trace> MCDS trace processing with data cycle assignment 97
MCDSDDTU<trace> MCDS trace processing with DDTU reordering 98
MergedAnalyzer ....................................................................................................................... 99
Merge Program-Flow-only ETM and HTM Data Access Trace 100
Merge Program-Flow-only ETM and ITM DataPC Trace 101
MIPS .......................................................................................................................................... 102
MIPS Number of instructions per second 102
Basics 102
Tips 104
MIPS.PROfileChart Profile charts for MIPS 106
MIPS.PROfileChart.GROUP MIPS profile chart for groups 106
MIPS.PROfileChart.Line MIPS per high-level language line graphically 107
MIPS.PROfileChart.RWINST MIPS per cycle type graphically 107
MIPS.PROfileChart.sYmbol MIPS for all program symbols graphically 108
MIPS.PROfileChart.TASK MIPS per task graphically 109
MIPS.PROfileSTATistic Profile statistics for MIPS 110
MIPS.PROfileSTATistic.GROUP MIPS per GROUP as profile chart 110
MIPS.PROfileSTATistic.Line MIPS per high-level language line as table 110
MIPS.PROfileSTATistic.RWINST MIPS per cycle type as table 110
MIPS.PROfileSTATistic.sYmbol MIPS for all program symbols as table 111
MIPS.PROfileSTATistic.TASK MIPS per task as table 111
MIPS.STATistic Statistical analysis for MIPS 112
MIPS.STATistic.GROUP MIPS statistic for groups 112
MIPS.STATistic.RWINST MIPS per cycle type numerically 112
MIPS.STATistic.sYmbol MIPS for all program symbols numerically 113
MIPS.STATistic.TASK MIPS per task numerically 113
MMU .......................................................................................................................................... 114
MMU Memory management unit 114
MMU.DUMP Dump MMU tables 114
MMU.FORMAT Define MMU table structure 118
MMU.INFO View all translation information related to an address 121
MMU.ITLB tbd. 121
TRACE32 Directory 87 ©1989-2017 Lauterbach GmbH
MMU.ITLBSCAN tbd. 122
MMU.PageTable Handles MMU table for the current process 122
MMU.PTE Display MMU PTE entries 122
MMU.PTESCAN Load MMU PTE table from probe 123
MMU.SCAN Scan MMU tables (static snapshot) 123
MMU.Set Set MMU registers or tables 125
MMU.TaskPageTable Handles MMU table for a specific process 126
MMU.TDUMP Dump task page table 126
MMU.TLB Display MMU TLB entries 127
MMU.TLBINIT tbd. 127
MMU.TLBRESET tbd. 127
MMU.TLBSCAN Load MMU TLB table from probe 128
MMU.TLBSET Set MMU TLB entry 128
MMU.TSCAN Scan task page table 128
MMU.UTLB tbd. 129
MMU.UTLBSCAN tbd. 129
MMU.view View MMU registers 129
MMX .......................................................................................................................................... 130
MMX MMX registers (MultiMedia eXtension) 130
MMX.Init Initialize MMX registers 130
MMX.OFF Inhibit MMX accesses by the debugger 130
MMX.ON Permit MMX accesses by the debugger 131
MMX.Set Modify MMX registers 131
MMX.view Open MMX register window 131
Mode ......................................................................................................................................... 132
Mode Set up the debug mode 132
Appendix - <format> Options of MMU.FORMAT .................................................................. 133
General Commands Reference Guide N
General Commands Reference Guide N ................................................. (general_ref_n.pdf) 1
NAME ........................................................................................................................................ 2
NAME Logical names for physical connections 2
NAME.Combi Create virtual signal for trace events 2
NAME.Delete Delete names 4
NAME.Group Groups signals 5
NAME.list Display name definitions 6
NAME.RESet Clear names 6
NAME.SELect Define names 7
NAME.Set Define names 8
NAME.Word Group signals as word 10
TRACE32 Directory 88 ©1989-2017 Lauterbach GmbH
General Commands Reference Guide O
General Commands Reference Guide O ................................................. (general_ref_o.pdf) 1
OCP ........................................................................................................................................... 4
OCP OpenCoreProtocol WatchPoint 4
ON ............................................................................................................................................. 5
ON Event-controlled PRACTICE script execution 5
Onchip ...................................................................................................................................... 10
Trace Method Onchip 11
Onchip Trace Commands ....................................................................................................... 12
Onchip.ACCESS Define access path to source code for trace decoding 12
Onchip.Arm Arm the trace 12
Onchip.AutoArm Arm automatically 12
Onchip.AutoFocus Calibrate AUTOFOCUS preprocessor 12
Onchip.AutoInit Automatic initialization 12
Onchip.AutoTEST Continuous measurement 12
Onchip.BookMark Set a bookmark in trace listing 13
Onchip.Chart Display trace contents graphically 13
Onchip.Chart.DistriB Distribution display 13
Onchip.Chart.Func Function activity chart 13
Onchip.Chart.GROUP Group activity chart 13
Onchip.Chart.Line Graphical HLL lines analysis 13
Onchip.Chart.sYmbol Symbol analysis 13
Onchip.Chart.TASK Task activity chart 14
Onchip.Chart.TASKFunc Task related function run-time analysis (legacy) 14
Onchip.Chart.TASKSRV Service routine run-time analysis 14
Onchip.Chart.TASKState Task state analysis 14
Onchip.Chart.VarState Variable activity chart 14
Onchip.CLOCK Clock to calculate time out of cycle count information 14
Onchip.ComPare Compare trace contents 14
Onchip.DISable Disable the trace 15
Onchip.DRAW Visualization of trace data 15
Onchip.EXPORT Export trace data for processing in other applications 15
Onchip.FILE Load a file into the file trace buffer 15
Onchip.Find Find specified entry in trace 15
Onchip.FindAll Find all specified entries in trace 15
Onchip.FindChange Search for changes in trace flow 15
Onchip.FLOWPROCESS Process flowtrace 15
Onchip.FLOWSTART Restart flowtrace processing 16
Onchip.GOTO Move cursor to specified trace record 16
Onchip.Init Initialize trace 16
Onchip.JOINFILE Concatenate several trace recordings 16
TRACE32 Directory 89 ©1989-2017 Lauterbach GmbH
Onchip.List List trace contents 16
Onchip.ListNesting Analyze function nesting 16
Onchip.ListVar List variable recorded to trace 16
Onchip.LOAD Load trace file for off-line processing 16
Onchip.MERGEFILE Combine two trace files into one 17
Onchip.Mode Set the trace operation mode 17
Onchip.OFF Switch off 17
Onchip.PROfileChart Profile charts 17
Onchip.PROfileChart.DIStance Time interval for a single event 17
Onchip.PROfileChart.DURation Time between two events 17
Onchip.PROfileChart.GROUP Group profile chart 17
Onchip.PROfileChart.Rate Event frequency 18
Onchip.PROfileSTATistic Statistical analysis in a table versus time 18
Onchip.PROTOcol Protocol analysis 18
Onchip.PROTOcol.Chart Graphic display for user-defined protocol 18
Onchip.PROTOcol.Draw Graphic display for user-defined protocol 18
Onchip.PROTOcol.EXPORT Export trace buffer for user-defined protocol 18
Onchip.PROTOcol.Find Find in trace buffer for user-defined protocol 18
Onchip.PROTOcol.List Display trace buffer for user-defined protocol 19
Onchip.PROTOcol.STATistic Display statistics for user-defined protocol 19
Onchip.REF Set reference point for time measurement 19
Onchip.RESet Reset command 19
Onchip.SAVE Save trace for postprocessing in TRACE32 19
Onchip.SelfArm Automatic restart of trace recording 19
Onchip.SIZE Define buffer size 19
Onchip.SnapShot Restart trace capturing once 20
Onchip.state Display trace configuration window 20
Onchip.STATistic Statistic analysis 20
Onchip.STATistic.BondOut Bondout mode 20
Onchip.STATistic.DIStance Time interval for a single event 20
Onchip.STATistic.DistriB Distribution analysis 20
Onchip.STATistic.DURation Time between two events 20
Onchip.STATistic.Func Nesting function runtime analysis 21
Onchip.STATistic.GROUP Group run-time analysis 21
Onchip.STATistic.Ignore Ignore false records in statistic 21
Onchip.STATistic.InterruptIsFunction Statistics interrupt processing 21
Onchip.STATistic.Line HLL-line analysis 21
Onchip.STATistic.LINKage Per caller statistic of function 21
Onchip.STATistic.Measure Analyze the performance of a single signal 21
Onchip.STATistic.PreFetch Prefetch detection 22
Onchip.STATistic.Sort Specify sorting criterion for statistic commands 22
Onchip.STATistic.sYmbol Flat run-time analysis 22
Onchip.STATistic.TASK Task activity statistic 22
TRACE32 Directory 90 ©1989-2017 Lauterbach GmbH
Onchip.STATistic.TASKFunc Task specific function run-time analysis 22
Onchip.STATistic.TASKKernel Task analysis with kernel markers (flat) 22
Onchip.STATistic.TASKSRV Analysis of time in OS service routines 22
Onchip.STATistic.TASKState Performance analysis 23
Onchip.STATistic.TASKTREE Tree display of task specific functions 23
Onchip.STATistic.TREE Tree display of nesting function run-time analysis 23
Onchip.STATistic.Use Use records 23
Onchip.TDelay Trigger delay 23
Onchip.Timing Waveform of trace buffer 23
Onchip.TraceCONNECT Select on-chip peripheral sink 23
Onchip.TRACK Set tracking record 24
Onchip.View Display single record 24
Onchip.ZERO Align timestamps of trace and timing analyzers 24
General Commands Reference Guide P
General Commands Reference Guide P ................................................. (general_ref_p.pdf) 1
PCI ............................................................................................................................................ 8
PCI Legacy PCI configuration 8
PCI.Dump Display PCI device data 8
PCI.Read Read a PCI register 9
PCI.Scan List PCI devices 10
PCI.Write Write a PCI register 11
PCP ........................................................................................................................................... 12
PCPOnchip ............................................................................................................................... 12
PER ........................................................................................................................................... 13
PER Peripheral files 13
Overview PER 13
PER.Program Interactive programming 14
PER.ReProgram Load default program 14
PER.Set Modify memory 15
PER.Set.Field Modify a bit field in memory 15
PER.Set.Index Modify indirect (indexed) register 17
PER.Set.Out Write data stream to memory 18
PER.Set.SaveIndex Modify indirect (indexed) register 18
PER.Set.SHADOW Modify data based on shadow RAM 19
PER.Set.simple Modify registers/peripherals 20
PER.STOre Generate PRACTICE script from PER settings 20
PER.view Display peripherals 23
PER.viewDECRYPT View decrypted PER files in a PER window 25
Programming Commands 26
PERF ......................................................................................................................................... 27
TRACE32 Directory 91 ©1989-2017 Lauterbach GmbH
PERF Sample-based profiling 27
Profiling Results 28
Profiling for SMP Systems 30
PERF.ADDRESS Restrict evaluation to specified address area 33
PERF.ANYACCESS Access selectivity 33
PERF.Arm Activate the performance analyzer manually 34
PERF.AutoArm Couple performance analyzer to program execution 35
PERF.AutoInit Automatic initialization 35
PERF.ContextID Enable sampling the context ID register 35
PERF.DISable Disable the performance analyzer 36
PERF.Display Select the display format 36
PERF.Entry Function runtime analysis 36
PERF.EntrySize Function header size 37
PERF.Filter Suppress display of items with specified characteristic 38
PERF.Gate Gate time of the measurements 38
PERF.Init Reset current measurement 39
PERF.List Default profiling 40
PERF.ListDistriB Memory contents profiling 45
PERF.ListFunc Function profiling 46
PERF.ListFuncMod HLL function profiling (restricted) 48
PERF.ListLABEL Label-based profiling 50
PERF.ListLine Profiling by HLL lines 52
PERF.ListModule Profiling by modules 53
PERF.ListProgram Profiling based on performance analyzer program 54
PERF.ListRange Profiling by ranges 54
PERF.ListS10 Profiling in n-byte segments 55
PERF.ListTASK Profiling by tasks/threads 56
PERF.ListTREE Profiling by module/function tree 58
PERF.ListVarState Variable state profiling 59
PERF.LOAD Load previously stored PERF results 60
PERF.METHOD Specify acquisition method 60
PERF.MMUSPACES Include address space identifier in the sampling 71
PERF.Mode Specify sampling object 72
PERF.OFF Stop the performance analyzer manually 74
PERF.PreFetch Prefetch handling 74
PERF.PROfile Graphic profiling display 75
PERF.Program Write a performance analyzer program 79
PERF.ReProgram Load an existing performance analyzer program 80
PERF.RESet Reset analyzer 80
PERF.RunTime Retain time for program run 81
PERF.SAVE Save the PERF results for postprocessing 81
PERF.SCAN Scanning mode 81
PERF.SnoopAddress Address for memory sample 82
TRACE32 Directory 92 ©1989-2017 Lauterbach GmbH
PERF.SnoopSize Size for memory sample 82
PERF.Sort Specify sorting of evaluation results 83
PERF.state Display state 84
PERF.ToProgram Automatic generation of performance analyzer program 85
PERF.View Detailed view 87
PMI ............................................................................................................................................ 89
PMI Power management interface 89
POD ........................................................................................................................................... 90
POD.ADC Probe configuration 90
POD.Level Input state 93
POD.RESet Input level reset 93
POD.state Input state 94
PORT ........................................................................................................................................ 95
Port.AutoFocus Calibrate AUTOFOCUS preprocessor 95
Port.AutoTEST Continuous measurement 95
Port.BookMark Set a bookmark in trace listing 95
Port.Chart.Func Function activity chart 95
Port.Chart.GROUP Group activity chart 95
Port.Chart.Line Graphical HLL lines analysis 96
Port.Chart.sYmbol Symbol analysis 96
Port.Chart.TASK Task activity chart 96
Port.Chart.TASKFunc Task related function run-time analysis (legacy) 96
Port.Chart.TASKSRV Service routine run-time analysis 96
Port.Chart.TASKState Task state analysis 96
Port.Chart.VarState Variable activity chart 96
Port.DisConfig.view Trace disassemble setting 97
Port.DRAW Visualization of trace data 97
Port.Enable Operation mode 97
Port.Enable Operation mode 97
Port.FindAll Find all specified entries in trace 97
Port.ListNesting Analyze function nesting 97
Port.ListVar List variable recorded to trace 97
Port.MUX Select channels 97
Port.PROTOcol Protocol analysis 98
Port.PROTOcol.Chart Graphic display for user-defined protocol 98
Port.PROTOcol.Draw Graphic display for user-defined protocol 98
Port.PROTOcol.EXPORT Export trace buffer for user-defined protocol 98
Port.PROTOcol.Find Find in trace buffer for user-defined protocol 98
Port.PROTOcol.List Display trace buffer for user-defined protocol 98
Port.PROTOcol.STATistic Display statistics for user-defined protocol 98
Port.Select Select trigger/counter line 99
Port.SET Select line for recording 99
TRACE32 Directory 93 ©1989-2017 Lauterbach GmbH
Port.SLAVE Select slave mode 99
Port.STATistic Statistic analysis 99
Port.STATistic.BondOut Bondout mode 99
Port.STATistic.DIStance Time interval for a single event 99
Port.STATistic.DistriB Distribution analysis 99
Port.STATistic.DURation Time between two events 100
Port.STATistic.Func Nesting function runtime analysis 100
Port.STATistic.GROUP Group run-time analysis 100
Port.STATistic.Ignore Ignore false records in statistic 100
Port.STATistic.InterruptIsFunction Statistics interrupt processing 100
Port.STATistic.Line HLL-line analysis 100
Port.STATistic.LINKage Per caller statistic of function 100
Port.STATistic.PreFetch Prefetch detection 101
Port.STATistic.Sort Specify sorting criterion for statistic commands 101
Port.STATistic.sYmbol Flat run-time analysis 101
Port.STATistic.TASK Task activity statistic 101
Port.STATistic.TASKFunc Task specific function run-time analysis 101
Port.STATistic.TASKKernel Task analysis with kernel markers (flat) 101
Port.STATistic.TASKSRV Analysis of time in OS service routines 101
Port.STATistic.TASKState Performance analysis 102
Port.STATistic.TASKTREE Tree display of task specific functions 102
Port.STATistic.TREE Tree display of nesting function run-time analysis 102
Port.STATistic.Use Use records 102
Port.TMode Select trigger mode 102
Port.TRACK Set tracking record 102
Port.ZERO Align timestamps of trace and timing analyzers 102
Probe ........................................................................................................................................ 103
Trace Methods 103
Trace Method Probe 104
Probe.Arm Arm the trace 104
Probe.AutoFocus Calibrate AUTOFOCUS preprocessor 105
Probe.Chart Display trace contents graphically 105
Probe.Chart.DistriB Distribution display 105
Probe.Chart.Func Function activity chart 105
Probe.Chart.GROUP Group activity chart 105
Probe.Chart.Line Graphical HLL lines analysis 105
Probe.Chart.sYmbol Symbol analysis 105
Probe.Chart.TASK Task activity chart 106
Probe.Chart.TASKFunc Task related function run-time analysis (legacy) 106
Probe.Chart.TASKSRV Service routine run-time analysis 106
Probe.Chart.TASKState Task state analysis 106
Probe.Chart.VarState Variable activity chart 106
Probe.ComPare Compare trace contents 106
TRACE32 Directory 94 ©1989-2017 Lauterbach GmbH
Probe.DISable Disable the trace 106
Probe.DRAW.channel Graphical data display 107
Probe.EXPORT Export trace data for processing in other applications 107
Probe.FindAll Find all specified entries in trace 107
Probe.FindChange Search for changes in trace flow 107
Probe.FLOWPROCESS Process flowtrace 107
Probe.FLOWSTART Restart flowtrace processing 107
Probe.Get Display input level 107
Probe.GOTO Move cursor to specified trace record 108
Probe.Init Initialize trace 108
Probe.LOAD Load trace file for off-line processing 108
Probe.PROfileChart.DIStance Time interval for a single event 108
Probe.PROfileChart.DURation Time between two events 108
Probe.PROfileChart.GROUP Group profile chart 108
Probe.PROfileChart.Rate Event frequency 108
Probe.PROTOcol.Chart Graphic display for user-defined protocol 109
Probe.PROTOcol.Draw Graphic display for user-defined protocol 109
Probe.PROTOcol.EXPORT Export trace buffer for user-defined protocol 109
Probe.PROTOcol.Find Find in trace buffer for user-defined protocol 109
Probe.PROTOcol.List Display trace buffer for user-defined protocol 109
Probe.PROTOcol.STATistic Display statistics for user-defined protocol 109
Probe.SAVE Save trace for postprocessing in TRACE32 109
Probe.state Display trace configuration window 110
Probe.STATistic Statistic analysis 110
Probe.STATistic.DIStance Time interval for a single event 110
Probe.STATistic.DistriB Distribution analysis 110
Probe.STATistic.DURation Time between two events 110
Probe.STATistic.Func Nesting function runtime analysis 110
Probe.STATistic.GROUP Group run-time analysis 110
Probe.STATistic.Ignore Ignore false records in statistic 111
Probe.STATistic.InterruptIsFunction Statistics interrupt processing 111
Probe.STATistic.Line HLL-line analysis 111
Probe.STATistic.LINKage Per caller statistic of function 111
Probe.STATistic.Measure Analyze the performance of a single signal 111
Probe.STATistic.PreFetch Prefetch detection 111
Probe.STATistic.Sort Specify sorting criterion for statistic commands 111
Probe.STATistic.sYmbol Flat run-time analysis 112
Probe.STATistic.TASK Task activity statistic 112
Probe.STATistic.TASKFunc Task specific function run-time analysis 112
Probe.STATistic.TASKKernel Task analysis with kernel markers (flat) 112
Probe.STATistic.TASKSRV Analysis of time in OS service routines 112
Probe.STATistic.TASKState Performance analysis 112
Probe.STATistic.TASKTREE Tree display of task specific functions 112
TRACE32 Directory 95 ©1989-2017 Lauterbach GmbH
Probe.STATistic.TREE Tree display of nesting function run-time analysis 113
Probe.STATistic.Use Use records 113
Probe.TRIGGER Trigger the trace 113
Probe.XTrack Cross system tracking 113
PULSE ...................................................................................................................................... 113
Function 114
PULSE.PERiod Cycle duration 116
PULSE.Pulse Programming 117
PULSE.RESet Reset command 118
PULSE.Single Release single pulse 118
PULSE.state State display 119
PULSE.Width Pulse width 119
PULSE2 .................................................................................................................................... 119
Function 121
PULSE2.Pulse Programming 121
PULSE2.RESet Reset command 123
PULSE2.Single Release single pulse 123
PULSE2.state Status display 123
PULSE2.Width Pulse width 124
General Commands Reference Guide Q
General Commands Reference Guide Q ................................................. (general_ref_q.pdf) 1
General Commands Reference Guide R
General Commands Reference Guide R .................................................. (general_ref_r.pdf) 1
REFresh .................................................................................................................................... 4
Function 4
REFresh.Address Refresh address range 5
REFresh.CYcle Access mode 5
REFresh.Inc Address distance 5
REFresh.OFF Switch off 6
REFresh.RESet Reset command 6
REFresh.StandBy Standby mode 7
REFresh.state Status display 7
REFresh.Time Refresh rate 8
Register .................................................................................................................................... 9
Register Processor registers 9
Register.Init Initialize the processor registers 9
Register.LOG Log registers 13
Register.REFRESH Refresh register window 14
Register.RELOAD Reload the compiler register settings 14
TRACE32 Directory 96 ©1989-2017 Lauterbach GmbH
Register.Set Modify register contents 15
Register.StackTop Define stack top address 16
Register.view Display registers 17
RESet ........................................................................................................................................ 20
RESet Reset all commands 20
RTP ........................................................................................................................................... 21
RTP.CLEAR Clear tracebuffer 21
RTP.DirectDataMode tbd. 21
RTP.DirectDataMode.Mode Direct data mode read/write 21
RTP.HaltOnOverflow Halt system on RTP FIFO overflow 21
RTP.Mode Selects the trace mode 21
RTP.OFF Disables the RTP module 21
RTP.ON Activates the RTP module 21
RTP.PortClock Configure RTPCLK 22
RTP.PortSize Size of RTP data port 22
RTP.Register Display the RTP register 22
RTP.RESet Resets RTP settings 22
RTP.state Display RTP setup 22
RTP.TraceMode Trace mode 22
RTP.TraceMode.RAM<x>.SECTion<y> Configures a trace region 22
RTP.TraceMode.TraceExclude Invert all trace regions 22
RTS ........................................................................................................................................... 23
RTS Real-time profiling (RTS) 23
RTS.COVerage Code coverage (out of operation) 24
RTS.FILE Set the name for the RTS file (out of operation) 24
RTS.FileCompression Set compression level for the RTS (out of operation) 24
RTS.FileLimit Set size limit for the RTS file (out of operation) 24
RTS.FileMode Select the data to write to the RTS file (out of operation) 25
RTS.Init Initialize RTS 25
RTS.ISTATistic Statistics regarding instruction execution (out of operation) 25
RTS.List List recorded trace data (out of operation) 25
RTS.ListNesting Display function call nesting (out of operation) 26
RTS.LOAD Mount a file as trace source (out of operation) 26
RTS.NestingMode Set type of program flow analysis (out of operation) 26
RTS.OFF Deactivate real-time profiling 27
RTS.ON Activate real-time profiling 27
RTS.PROfile Display performance characteristics charts 28
RTS.RESet Restore default settings and initialize RTS 29
RTS.state Open status and control window 30
RTS.STATistic Statistics regarding function invocations 32
RTS.StopOnError Configure behavior regarding minor errors 32
RTS.StopOnFifofull Configure behavior regarding FIFO overflows 33
TRACE32 Directory 97 ©1989-2017 Lauterbach GmbH
RTS.TASKMode Configure task analysis 34
RTS.TImeMode Configure analysis of program execution timing 35
RunTime ................................................................................................................................... 36
RunTime Runtime measurement 36
Overview RunTime 36
RunTime.Init Clear timers 39
RunTime.refA Set reference 39
RunTime.refB Set reference 39
RunTime.RESet Reset values to zero 40
RunTime.state Display results 40
General Commands Reference Guide S
General Commands Reference Guide S ................................................. (general_ref_s.pdf) 1
History ...................................................................................................................................... 13
SELFTEST ................................................................................................................................ 14
SELFTEST Execute selftest operation 14
SETUP ...................................................................................................................................... 14
SETUP Setup commands (part 2 of 2) 14
SETUP.ALIST Default analyzer display 15
SETUP.BREAKDEF Default breakpoint types 15
SETUP.BreakTransfer Breakpoint synchronization 16
SETUP.COLORCORE Enable coloring for core-specific info in SMP systems 16
SETUP.DIS Disassembler configuration 17
SETUP.DUMP Defaults for hex-dumps 18
SETUP.EMUPATH Emulation softkeys configuration 19
SETUP.FLIST Default flag list display 19
SETUP.IMASKASM Mask interrupts during assembler step 20
SETUP.IMASKHLL Mask interrupts during HLL step 20
SETUP.LISTCLICK Double-click source line symbol to run this action 21
SETUP.PreFetch Define prefetch 21
SETUP.REFERR DRAM refresh monitoring 22
SETUP.SIMULINK Deprecated command 22
SETUP.StepAllCores Force single stepping on all cores 23
SETUP.StepAutoAsm HLL steps stops at assembler code 23
SETUP.StepBeforeGo Single step before go 24
SETUP.StepBreak Single step to skip breakpoint 24
SETUP.StepByStep Single step HLL lines 24
SETUP.StepNoBreak Stepping HLL lines with disabled breakpoints 25
SETUP.StepTrace Show stepping trail in list window 25
SETUP.StepWithinTask Task selective stepping 25
SETUP.sYmbol Length of symbols 26
TRACE32 Directory 98 ©1989-2017 Lauterbach GmbH
SETUP.TIMEOUT Define emulation monitor time-out 26
SETUP.Var Defaults for the Var commands 27
SETUP.VarCall Define call dummy routine 30
SETUP.VarPtr Limit pointer access 31
SETUP.VerifyBreakSet Additional verification for software breakpoints 31
SHADOW .................................................................................................................................. 31
SHADOW ICE-166 with a shadow memory 256K 32
SHADOW.Area Select the SHADOW area 32
SHADOW.AutoDelete Initialize the SHADOW RAM on every program start 32
SHADOW.Define Define a SHADOW area 32
SHADOW.Init Initialize all SHADOW areas 34
SHADOW.Mode Define the initialization value for the SHADOW areas 34
SHADOW.OFF Switch SHADOW RAM off 34
SHADOW.ON Switch SHADOW RAM on 34
SHADOW.RESet Reset settings for the SHADOW RAM 35
SHADOW.state Display SHADOW RAM settings 35
SIM ............................................................................................................................................ 37
SIM TRACE32 instruction set simulators 37
SIM.AREA Selects area for simulation output 37
SIM.CACHE Cache/MMU simulation and more 38
SIM.CACHE.Allocation Define the cache allocation technique 39
SIM.CACHE.BaseAddress Specify base address for tightly-coupled memory 39
SIM.CACHE.Mode Define memory coherency strategy 40
SIM.CACHE.MPURegions Specify MPU regions 41
SIM.CACHE.OFF Disable cache and MMU simulation 41
SIM.CACHE.ON Enable cache and MMU simulation 41
SIM.CACHE.Replacement Define the replacement strategy 42
SIM.CACHE.SETS Define the number of cache/TLB sets 43
SIM.CACHE.SIZE Specify size of tightly-coupled memory 43
SIM.CACHE.state Display cache and MMU settings 44
SIM.CACHE.Tags Define address mode for cache lines 45
SIM.CACHE.TRACE Select simulator trace method 46
SIM.CACHE.View Analysis of memory accesses for cache simulation 46
SIM.CACHE.ViewTLB Analysis of TLB accesses for MMU simulation 47
SIM.CACHE.WAYS Define number of cache ways 47
SIM.CACHE.Width Define width of cache line 48
SIM.command Issue command to simulation model 49
SIM.INTerrupt Trigger interrupt 49
SIM.List List loaded simulator models 50
SIM.LOAD Load simulator module 50
SIM.RESet Reset instruction set simulator 50
SIM.UNLOAD Unload simulator module 51
TRACE32 Directory 99 ©1989-2017 Lauterbach GmbH
SNOOPer .................................................................................................................................. 52
Overview SNOOPer 52
SNOOPer Trace Commands ................................................................................................... 54
SNOOPer.Arm Arm the trace 54
SNOOPer.AutoArm Arm automatically 54
SNOOPer.AutoInit Automatic initialization 54
SNOOPer.BookMark Set a bookmark in trace listing 54
SNOOPer.Chart Display trace contents graphically 54
SNOOPer.Chart.DistriB Distribution display 54
SNOOPer.Chart.Func Function activity chart 55
SNOOPer.Chart.GROUP Group activity chart 55
SNOOPer.Chart.Line Graphical HLL lines analysis 55
SNOOPer.Chart.sYmbol Symbol analysis 55
SNOOPer.Chart.TASK Task activity chart 55
SNOOPer.Chart.TASKFunc Task related function run-time analysis (legacy) 55
SNOOPer.Chart.TASKSRV Service routine run-time analysis 55
SNOOPer.Chart.TASKState Task state analysis 56
SNOOPer.Chart.VarState Variable activity chart 56
SNOOPer.ComPare Compare trace contents 56
SNOOPer.DISable Disable the trace 56
SNOOPer.DRAW Visualization of trace data 56
SNOOPer.EXPORT Export trace data for processing in other applications 56
SNOOPer.FILE Load a file into the file trace buffer 56
SNOOPer.Find Find specified entry in trace 57
SNOOPer.FindAll Find all specified entries in trace 57
SNOOPer.FindChange Search for changes in trace flow 57
SNOOPer.Get Display input level 57
SNOOPer.GOTO Move cursor to specified trace record 57
SNOOPer.Init Initialize trace 57
SNOOPer.List List trace contents 57
SNOOPer.LOAD Load trace file for off-line processing 57
SNOOPer.Mode Set the trace operation mode 58
SNOOPer.OFF Switch off 58
SNOOPer.PROfileChart Profile charts 58
SNOOPer.PROfileChart.DIStance Time interval for a single event 58
SNOOPer.PROfileChart.DURation Time between two events 58
SNOOPer.PROfileChart.GROUP Group profile chart 58
SNOOPer.PROfileChart.Rate Event frequency 58
SNOOPer.PROfileSTATistic Statistical analysis in a table versus time 59
SNOOPer.PROTOcol Protocol analysis 59
SNOOPer.PROTOcol.Chart Graphic display for user-defined protocol 59
SNOOPer.PROTOcol.Draw Graphic display for user-defined protocol 59
SNOOPer.PROTOcol.EXPORT Export trace buffer for user-defined protocol 59
TRACE32 Directory 100 ©1989-2017 Lauterbach GmbH
SNOOPer.PROTOcol.Find Find in trace buffer for user-defined protocol 59
SNOOPer.PROTOcol.List Display trace buffer for user-defined protocol 59
SNOOPer.PROTOcol.STATistic Display statistics for user-defined protocol 60
SNOOPer.Rate Select sampling rate 60
SNOOPer.REF Set reference point for time measurement 60
SNOOPer.RESet Reset command 60
SNOOPer.SAVE Save trace for postprocessing in TRACE32 60
SNOOPer.SELect Define addresses for monitoring 60
SNOOPer.SelfArm Automatic restart of trace recording 60
SNOOPer.SIZE Define buffer size 60
SNOOPer.SnapShot Restart trace capturing once 61
SNOOPer.state Display trace configuration window 61
SNOOPer.STATistic Statistic analysis 61
SNOOPer.STATistic.DIStance Time interval for a single event 61
SNOOPer.STATistic.DistriB Distribution analysis 61
SNOOPer.STATistic.DURation Time between two events 61
SNOOPer.STATistic.Func Nesting function runtime analysis 61
SNOOPer.STATistic.GROUP Group run-time analysis 62
SNOOPer.STATistic.Ignore Ignore false records in statistic 62
SNOOPer.STATistic.InterruptIsFunction Statistics interrupt processing 62
SNOOPer.STATistic.Line HLL-line analysis 62
SNOOPer.STATistic.LINKage Per caller statistic of function 62
SNOOPer.STATistic.Measure Analyze the performance of a single signal 62
SNOOPer.STATistic.PreFetch Prefetch detection 62
SNOOPer.STATistic.Sort Specify sorting criterion for statistic commands 63
SNOOPer.STATistic.sYmbol Flat run-time analysis 63
SNOOPer.STATistic.TASK Task activity statistic 63
SNOOPer.STATistic.TASKFunc Task specific function run-time analysis 63
SNOOPer.STATistic.TASKKernel Task analysis with kernel markers (flat) 63
SNOOPer.STATistic.TASKSRV Analysis of time in OS service routines 63
SNOOPer.STATistic.TASKState Performance analysis 63
SNOOPer.STATistic.TASKTREE Tree display of task specific functions 64
SNOOPer.STATistic.TREE Tree display of nesting function run-time analysis 64
SNOOPer.STATistic.Use Use records 64
SNOOPer.TDelay Trigger delay 64
SNOOPer.Timing Waveform of trace buffer 64
SNOOPer.TOut Define trigger destination (SNOOPer) 64
SNOOPer.TRACK Set tracking record 64
SNOOPer.TValue Define data value for trigger 64
SNOOPer.View Display single record 65
SNOOPer.ZERO Align timestamps of trace and timing analyzers 65
SPE ........................................................................................................................................... 66
SPE Signal Processing eXtension (SPE) 66
TRACE32 Directory 101 ©1989-2017 Lauterbach GmbH
SPE.Init Initialize SPE registers 66
SPE.Set Modify SPE registers 66
SPE.view Display SPE register window 67
SPot .......................................................................................................................................... 68
Function 68
SPot.Analyzer Analyzer spot points 69
SPot.Data Write/read accesses 69
SPot.OFF Switch off 69
SPot.ON Switch on 70
SPot.Program Program spot points 70
SPot.RESet Reset command 70
SPot.state State display 71
SPot.Test Set spot point 72
SSE ........................................................................................................................................... 73
SSE SSE registers (Streaming SIMD Extension) 73
SSE.Init Initialize SSE registers 73
SSE.OFF Inhibit SSE accesses by the debugger 73
SSE.ON Permit SSE accesses by the debugger 74
SSE.Set Modify SSE registers 74
SSE.view Display SSE registers 74
StatCol ...................................................................................................................................... 75
StatCol Statistics collector 75
Step ........................................................................................................................................... 76
Step Steps through the program 76
Step.Asm Assembler single-stepping 76
Step.Back Step back 76
Step.BackChange Step back till expression changes 77
Step.BackOver Step back 77
Step.BackTill Step back till expression true 78
Step.Change Step till expression changes 78
Step.CycleReq Single cycle 79
Step.CycleWait Single cycle 79
Step.Diverge Step till next unreached line 80
Step.Hll HLL single-stepping 82
Step.Mix Mixed single-stepping 82
Step.Over Step over call 83
Step.single Single-stepping 83
Step.Till Step till expression true 84
STM ........................................................................................................................................... 85
STM SYStem trace configuration 85
STOre ........................................................................................................................................ 86
TRACE32 Directory 102 ©1989-2017 Lauterbach GmbH
STOre Create script for TRACE32 settings 86
sYmbol ..................................................................................................................................... 89
sYmbol Debug symbols 89
Overview sYmbol 89
PRACTICE Functions 90
sYmbol.AddInfo Provide additional symbolic information 92
sYmbol.AddInfo.Address Add symbol information to fixed address 94
sYmbol.AddInfo.Delete Delete information 95
sYmbol.AddInfo.LINK Define information for 'sYmbol.AddInfo' commands 96
sYmbol.AddInfo.List List additional information 97
sYmbol.AddInfo.LOADASAP2 Load scaling information from ASAP2 file 97
sYmbol.AddInfo.Member Add information to member of struct 98
sYmbol.AddInfo.RESet Remove all additional information 100
sYmbol.AddInfo.Type Add information for a data type 101
sYmbol.AddInfo.Var Add information for a variable 102
sYmbol.AutoLOAD Automated loading of symbols 103
sYmbol.AutoLOAD.CHECK Update autoloader table 104
sYmbol.AutoLOAD.CHECKCoMmanD Configure dynamic autoloader 104
sYmbol.AutoLOAD.CHECKDLL Configure automatic DLL file loader 105
sYmbol.AutoLOAD.CHECKEPOC Dynamic autoloader for Symbian 105
sYmbol.AutoLOAD.CHECKLINUX Configure autoloader for Linux debugging 106
sYmbol.AutoLOAD.CHECKUEFI Configure autoloader for UEFI debugging 106
sYmbol.AutoLOAD.CLEAR Remove symbol information 107
sYmbol.AutoLOAD.config Configure symbol autoloader 108
sYmbol.AutoLOAD.Create Create entry for autoloader table 108
sYmbol.AutoLOAD.List List autoloader table 109
sYmbol.AutoLOAD.LOADEPOC Definition for static autoloader for Symbian 110
sYmbol.AutoLOAD.RESet Reset autoloader 111
sYmbol.AutoLOAD.SET Mark symbol information manually as loaded 111
sYmbol.AutoLOAD.TOUCH Initiate automatic loading by command 112
sYmbol.Browse Browse symbols 113
sYmbol.Browse.Class Browse classes 113
sYmbol.Browse.Enum Browse enumeration types 113
sYmbol.Browse.Function Browse functions 114
sYmbol.Browse.Module Browse modules 115
sYmbol.Browse.SFunction Browse functions 116
sYmbol.Browse.SModule Browse modules 117
sYmbol.Browse.SOURCE Browse source 118
sYmbol.Browse.Struct Browse containers for different variable types 118
sYmbol.Browse.sYmbol Browse symbols 119
sYmbol.Browse.Type Browse HLL types 120
sYmbol.Browse.Var Browse variables 121
sYmbol.CASE Set symbol search mode 122
TRACE32 Directory 103 ©1989-2017 Lauterbach GmbH
sYmbol.CHECK Check database 122
sYmbol.Class View class hierarchy 123
sYmbol.CLEANUP Remove redundant symbol information 124
sYmbol.CLEANUP.DOUBLES Make ambiguous symbols unique 124
sYmbol.ColorCode Enable color coding 124
sYmbol.ColorDef Specify color display for keywords 125
sYmbol.CREATE Create and modify user-defined symbols 126
sYmbol.CREATE.Done Finish symbol creation 126
sYmbol.CREATE.Function Create user-defined function 126
sYmbol.CREATE.Label Create user-defined symbol 127
sYmbol.CREATE.MACRO Create user-defined macro 128
sYmbol.CREATE.Module Create user-defined module 128
sYmbol.CREATE.RESet Erase all user-defined symbols 129
sYmbol.CREATE.Var Create user-defined variable 129
sYmbol.CUTLINE Limit size of text blocks 130
sYmbol.Delete Delete symbols of one program 130
sYmbol.DEMangle C++ demangler 131
sYmbol.ForEach Symbol wildcard command 132
sYmbol.INFO Display detailed information about debug symbol 133
sYmbol.LANGUAGE Select language 136
sYmbol.List Display list of all symbols 137
sYmbol.List.ATTRibute Display memory attributes 137
sYmbol.List.BUILTIN List built-in data types 137
sYmbol.List.ColorDef List the keyword color definitions 138
sYmbol.List.Function Display functions 139
sYmbol.List.IMPORT List imported symbols 139
sYmbol.List.LINE Display source lines 140
sYmbol.List.Local Display local symbols 141
sYmbol.List.MACRO List all C macros 141
sYmbol.List.MAP Display memory load map 142
sYmbol.List.Module Display modules 142
sYmbol.List.Program Display programs 143
sYmbol.List.SECtion Display physical sections 143
sYmbol.List.SOURCE Display source file names 144
sYmbol.List.STACK Display virtual stack 146
sYmbol.List.Static Display static symbols 146
sYmbol.List.TREE Display symbols in tree form 147
sYmbol.List.Type Display data types 147
sYmbol.LSTLOAD Load assembler source file 148
sYmbol.LSTLOAD.GHILLS Load GHILLS assembler source file 148
sYmbol.LSTLOAD.HPASM Load HP assembler source file 148
sYmbol.LSTLOAD.IAR Load IAR assembler source file 150
sYmbol.LSTLOAD.INT68K Load Intermetrics assembler source file 151
TRACE32 Directory 104 ©1989-2017 Lauterbach GmbH
sYmbol.LSTLOAD.INTEL Load INTEL assembler source file 151
sYmbol.LSTLOAD.KEIL Load Keil assembler source file 152
sYmbol.LSTLOAD.MicroWare Load MICROWARE assembler source file 152
sYmbol.LSTLOAD.MRI68K Load MICROTEC assembler source file 152
sYmbol.LSTLOAD.OAK Load OAK assembler source file 153
sYmbol.MARKER Fine-tune the nested function run-time analysis 154
sYmbol.MARKER.Create Marker for nesting function run-time analysis 155
sYmbol.MARKER.Delete Delete a marker 160
sYmbol.MARKER.List Displays the marker list 160
sYmbol.MARKER.RESet Erase all markers 160
sYmbol.MATCH Symbol search mode 161
sYmbol.MEMory Display memory usage 161
sYmbol.Modify Modify symbols 162
sYmbol.Modify.Access Modify access of symbols 162
sYmbol.Modify.ADDRess Modify address of symbols 163
sYmbol.Modify.CutFunction Reduce function address information 163
sYmbol.Modify.NAME Rename symbols 164
sYmbol.Modify.SOURCE Define source file 164
sYmbol.name Display symbols 165
sYmbol.NAMESPACES Search symbol in C++ namespace 167
sYmbol.NEW Create new symbol 168
sYmbol.NEW.ATTRibute Create user-defined memory attribute 169
sYmbol.NEW.Function Create user-defined function 171
sYmbol.NEW.Label Create user-defined symbol 171
sYmbol.NEW.MACRO Create user-defined macro 172
sYmbol.NEW.Module Create user-defined module 172
sYmbol.NEW.Var Create user-defined variable 173
sYmbol.OVERLAY Code overlay 174
sYmbol.OVERLAY.AutoID Automatically determine overlay IDs 174
sYmbol.OVERLAY.Create Declare code overlay section 175
sYmbol.OVERLAY.DETECT Detect the current overlay status 178
sYmbol.OVERLAY.FRIEND Declare a friend overlay segment 178
sYmbol.OVERLAY.List Show declared code overlay sections 180
sYmbol.OVERLAY.RESet Reset overlay declarations 180
sYmbol.POINTER Define special register 181
sYmbol.POSTFIX Set symbol postfix 181
sYmbol.PREFIX Set symbol prefix 182
sYmbol.RELOCate Relocate symbols 183
sYmbol.RELOCate.Auto Control automatic relocation 183
sYmbol.RELOCate.Base Define base address 183
sYmbol.RELOCate.List List relocation info 184
sYmbol.RELOCate.Magic Define program magic 184
sYmbol.RELOCate.Passive Define passive base address 185
TRACE32 Directory 105 ©1989-2017 Lauterbach GmbH
sYmbol.RELOCate.shift Relocate symbols 185
sYmbol.RESet Clear symbol table 186
sYmbol.SourceCONVert Conversion for Japanese font 186
sYmbol.SourceLOAD Initiate the loading of an HLL source file 187
sYmbol.SourcePATH Source search path 188
sYmbol.SourcePATH.Delete Delete path from search list 188
sYmbol.SourcePATH.DOWN Make directory last in search order 189
sYmbol.SourcePATH.List List source search paths 189
sYmbol.SourcePATH.RESet Reset search path configuration 191
sYmbol.SourcePATH.Set Define search path 192
sYmbol.SourcePATH.SetBaseDir Define directory as base for relative paths 193
sYmbol.SourcePATH.SetCache Internal use only 194
sYmbol.SourcePATH.SetCachedDir Cache direct search path directory 194
sYmbol.SourcePATH.SetCachedDirCache Internal use only 195
sYmbol.SourcePATH.SetDir Define directory as direct search path 195
sYmbol.SourcePATH.SetDynamicDir Adjust search order at hit 197
sYmbol.SourcePATH.SetMasterDir Store cached files only relative 198
sYmbol.SourcePATH.SetRecurseDir Define recursive direct search path 199
sYmbol.SourcePATH.SetRecurseDirCache Internal use only 199
sYmbol.SourcePATH.Translate Replace part of the source path 200
sYmbol.SourcePATH.TranslateSUBpath Replace sub-path 201
sYmbol.SourcePATH.UP Move path up in the search order 201
sYmbol.SourcePATH.Verbose Display search details in message AREA 202
sYmbol.SourceRELOAD Reload source files 203
sYmbol.STATE Display statistic 203
sYmbol.STRIP Set max. symbol length 204
sYmbol.TYPEINFO Display information about a specific data type 204
sYmbol.View Show symbol info 205
SYnch ....................................................................................................................................... 206
SYnch Synchronization mechanisms between different TRACE32 systems 206
SYnch.Connect Connect to other TRACE32 instances 207
SYnch.MasterBreak Invite other TRACE32 to stop synchronously 207
SYnch.MasterGo Invite other TRACE32 to start synchronously 208
SYnch.MasterStep Invite other TRACE32 to Asm step synchronously 209
SYnch.MasterSystemMode Invite other TRACE32 to follow mode change 209
SYnch.OFF Disable connection mechanism 210
SYnch.ON Enable connection mechanism 210
SYnch.RESet Reset SYnch mechanism 210
SYnch.SlaveBreak Synchronize with stop in connected TRACE32 211
SYnch.SlaveGo Synchronize with start in connected TRACE32 212
SYnch.SlaveStep Synchronize with asm step in connected TRACE32 212
SYnch.SlaveSystemMode Synch. with mode changes in other TRACE32 213
SYnch.state Display current SYnch settings 213
TRACE32 Directory 106 ©1989-2017 Lauterbach GmbH
SYnch.XTrack Establish time synchronization to another TRACE32 instance 214
SYStem ..................................................................................................................................... 215
SYStem System configuration 215
SYStem.Access Dual-port access mode 216
SYStem.BankFile Define the bank switch program 217
SYStem.BankMode Define the bank switch mode 217
SYStem.BdmClock Select BDM clock 218
SYStem.CADIconfig CADI-specific setups 219
SYStem.CADIconfig.RemoteServer Define connection to CADI server 219
SYStem.CADIconfig.Traceconfig Define network settings to CADI trace 221
SYStem.Clock Select clock 222
SYStem.CONFIG 223
SYStem.CONFIG Configure debugger according to target topology 223
SYStem.CONFIG.CORE Assign core to TRACE32 instance 231
SYStem.CONFIG.CoreNumber Set up number of hardware threads 238
SYStem.CONFIG.DEBUGTIMESCALE Extend debug driver timeouts 238
SYStem.CONFIG.ListCORE Display the cores of a virtual target 239
SYStem.CONFIG.ListSIMulation Display the simulations of a virtual target 240
SYStem.CONFIG.state Display target configuration 241
SYStem.CONFIG.TRANSACTORPIPENAME Set up pipe name 243
SYStem.CONFIG USB USB configuration 243
SYStem.CONFIG XCP XCP specific settings 244
SYStem.CPU Select CPU 245
SYStem.CpuAccess Run-time memory access (intrusive) 248
SYStem.DCI DCI configuration 250
SYStem.DETECT Detect target system resources 251
SYStem.DLLCommand Custom DLL connection to target 255
SYStem.Down Standby mode 256
SYStem.GTL Configure GTL debug port 257
SYStem.GTL.CONNECT Connect to emulation or simulation 257
SYStem.GTL.DISCONNECT Disconnect from emulation or simulation 258
SYStem.GTL.DMANAME Name of DMA transactor 258
SYStem.GTL.GPIONAME Name of GPIO transactor 259
SYStem.GTL.JTAGPROBENAME Name of JTAG probe transactor 259
SYStem.GTL.LIBname Name of 3rd-party plug-in library 259
SYStem.GTL.MODELCOMMAND Execute command in plug-in 260
SYStem.GTL.MODELCONFIG Configure emulation options 260
SYStem.GTL.MODELNAME Select emulation 260
SYStem.GTL.SERVERCONFIG Configure server options 261
SYStem.GTL.SHAREDMODEL Connect debug port to existing connection 261
SYStem.GTL.TRACENAME Name of trace transactor 262
SYStem.GTL.TransactorConfig Preconfigure a certain transactor 263
SYStem.InfineonDAS Configure the InfineonDAS debug port 264
TRACE32 Directory 107 ©1989-2017 Lauterbach GmbH
SYStem.JtagClock Define JTAG frequency 265
SYStem.Line CPU signal control 266
SYStem.LOCK Tristate the JTAG port 266
SYStem.LOG Log read and write accesses to the target 268
SYStem.LOG.CLEAR Clear the ‘SYStem.LOG.List’ window 269
SYStem.LOG.CLOSE Close the system log file 269
SYStem.LOG.Init Clear the ‘SYStem.LOG.List’ window 270
SYStem.LOG.List Log the accesses made by TRACE32 271
SYStem.LOG.Mode Set logging mode 272
SYStem.LOG.OFF Pause logging 273
SYStem.LOG.ON Resume logging 273
SYStem.LOG.OPEN Open a system log file 274
SYStem.LOG.RESet Reset configuration of system log to defaults 274
SYStem.LOG.Set Select the TRACE32 accesses to be logged 275
SYStem.LOG.SIZE Define number of lines in the ‘SYStem.LOG.List’ window 276
SYStem.LOG.state Open configuration window of system log 277
SYStem.LOG.StopOnError Stop logging on error 278
SYStem.MemAccess Run-time memory access (non-intrusive) 279
SYStem.Mode Select mode 281
SYStem.MonFile Monitor extension 285
SYStem.MONITOR tbd. 285
SYStem.Option Special setup 286
SYStem.Option AMBA Select AMBA bus mode 287
SYStem.Option BigEndian Define byte order (endianness) 287
SYStem.Option HOOK Compare PC to hook address 288
SYStem.Option IMASKASM Disable interrupts while single stepping 289
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 291
SYStem.Option LittleEnd Selection of little endian mode 292
SYStem.Option MACHINESPACES Address extension for guest OSes 293
SYStem.Option MMUSPACES Enable space IDs 294
SYStem.Option ZoneSPACES Enable symbol management for zones 296
SYStem.Option TURBO Speed up memory access 297
SYStem.PAUSE Pause the execution of operations 298
SYStem.POLLING Polling mode of CPU 299
SYStem.PORT Configure external communication interface 300
SYStem.RESet Reset configuration 301
SYStem.RESetOut Reset peripherals 301
SYStem.RESetTarget Release target reset 302
SYStem.state Display SYStem.state window 303
SYStem.TARGET Set target IP name or address 304
SYStem.TimeOut Time-out for target access 304
SYStem.TimeoutDebug tbd. 305
SYStem.TimeReq Time-out dualport access 305
TRACE32 Directory 108 ©1989-2017 Lauterbach GmbH
SYStem.Up Active mode 306
SYStem.VirtualTiming Modify timing constraints 307
SYStem.VirtualTiming.HardwareTimeout Disable/enable hardware timeout 308
SYStem.VirtualTiming.HardwareTimeoutScale Multiply hardware timeout 308
SYStem.VirtualTiming.InternalClock Base for artificial time calculation 309
SYStem.VirtualTiming.MaxPause Limit pause 309
SYStem.VirtualTiming.MaxTimeout Override time-outs 310
SYStem.VirtualTiming.OperationPause Insert a pause after each operation 310
SYStem.VirtualTiming.PauseinTargetTime Set up pause time-base 311
SYStem.VirtualTiming.PauseScale Multiply pause with a factor 311
SYStem.VirtualTiming.PollingPause Advance emulation time when polling 312
SYStem.VirtualTiming.TimeinTargetTime Set up general time-base 312
SYStem.VirtualTiming.TimeScale Multiply time-base with a factor 313
SystemTrace ............................................................................................................................ 314
SystemTrace.state Display system-trace configuration window 314
General Commands Reference Guide T
General Commands Reference Guide T ................................................... (general_ref_t.pdf) 1
History ...................................................................................................................................... 13
TargetSystem ........................................................................................................................... 14
TargetSystem.state Show overview of multi-core system 14
TASK ......................................................................................................................................... 18
TASK RTOS debugger for TRACE32 18
Overview TASK 18
TASK.ACCESS Control memory access 20
TASK.Break Deprecated 21
TASK.CACHEFLUSH Reread task list 21
TASK.CONFIG Configure RTOS debugger 22
TASK.COPYDOWN Copy file from host into target 23
TASK.COPYUP Copy file from target into host 23
TASK.Debug Deprecated 23
TASK.KILL End task 23
TASK.List Information about tasks 25
TASK.List.MACHINES List machines 25
TASK.List.SPACES List MMU spaces 26
TASK.List.tasks List all running tasks 27
TASK.List.TREE Display tasks in a tree structure 28
TASK.MTXTRC Internal 29
TASK.NAME Task magic to name translation 30
TASK.NAME.DELete Delete a task name table entry 30
TASK.NAME.RESet Reset task name table 30
TRACE32 Directory 109 ©1989-2017 Lauterbach GmbH
TASK.NAME.Set Set a task name table entry 31
TASK.NAME.view Show task name translation table 31
TASK.NoBreak Deprecated 31
TASK.NoDebug Deprecated 32
TASK.NoStop Deprecated 32
TASK.OFF Deprecated 32
TASK.ON Deprecated 33
TASK.ORTI AUTOSAR/OSEK support 34
TASK.ORTI.CPU Set OSEK SMP CPU number 34
TASK.ORTI.load Configure debugger for OSEK/ORTI 34
TASK.RESet Reset RTOS debugger 35
TASK.RUN Load task 35
TASK.select Display context of specified task 35
TASK.SETDIR Set the awareness directory 37
TASK.STacK Stack usage coverage 38
TASK.STacK.ADD Add stack space coverage 39
TASK.STacK.DIRection Define stack growth direction 39
TASK.STacK.Init Initialize unused stack space 40
TASK.STacK.PATtern Define stack check pattern 41
TASK.STacK.PATternGAP Define check pattern gap 41
TASK.STacK.ReMove Remove stack space coverage 42
TASK.STacK.RESet Reset stack coverage 42
TASK.STacK.view Open stack space coverage 43
TASK.Stop Deprecated 43
TCB ........................................................................................................................................... 45
TCB Trace control block 45
TCB.AllBranches Broadcast all branches 46
TCB.CPU Broadcast information for specified CPU only 46
TCB.CycleAccurate Cycle accurate tracing 47
TCB.DataTrace Broadcast specified address and data information 48
TCB.EX Broadcast exception level information 49
TCB.FCR Broadcast function call-return information 49
TCB.IM Broadcast instruction cache miss information 49
TCB.InstructionCompletionSizeBits Specify size of completion message 50
TCB.KE Broadcast kernel mode information 50
TCB.LSM Broadcast load store data cache information 51
TCB.OFF Switch TCB off 51
TCB.ON Switch TCB on 51
TCB.PCTrace Broadcast program counter trace 52
TCB.PortFilter Disable port filter 52
TCB.PortMode Specify trace clock ratio 53
TCB.PortWidth Specify trace port width 53
TCB.Register Display TCB control register 54
TRACE32 Directory 110 ©1989-2017 Lauterbach GmbH
TCB.RESet Reset TCB setup to default 55
TCB.SourceSizeBits Specify number of bit for core information in trace 55
TCB.STALL Stall CPU for complete trace 55
TCB.state Display TCB setup 56
TCB.SV Broadcast supervisor mode information 57
TCB.SyncPeriod Specify TCB sync period 57
TCB.TC Broadcast information for specified HW thread 58
TCB.ThreadSizeBits Specify number of bit for thread information in trace 58
TCB.Type Specify TCB type 59
TCB.UM Broadcast user mode information 59
TCB.Version Specify trace cell version 60
TERM ........................................................................................................................................ 61
TERM Terminal emulation 61
Interface Routines 62
Functions 64
Fast Data Write 64
TERM.CLEAR Clear terminal window 66
TERM.CLOSE Close files 66
TERM.CMDLINE Specify a command line 66
TERM.FastWRITE Fast data write 66
TERM.GATE Terminal with virtual hosting 68
TERM.HARDCOPY Print terminal window contents 68
TERM.HEAPINFO Define memory heap parameters 69
TERM.LocalEcho Enables/disables local echo for new terminal windows 69
TERM.METHOD Select terminal protocol 70
TERM.Mode Define terminal type 72
TERM.Out Send data to virtual terminal 73
TERM.PIPE Connect terminal to named pipe 73
TERM.PipeREAD Connect terminal input to named pipe 73
TERM.PipeWRITE Connect terminal output to named pipe 74
TERM.Protocol Select terminal protocol 74
TERM.PULSE Enable pulse generator for transfers 74
TERM.Rate Define polling rate 74
TERM.READ Get terminal input from file 75
TERM.RESet Reset terminal parameters 75
TERM.SCROLL Define terminal size 76
TERM.SIZE Define terminal size 76
TERM.TCP Route terminal input/output to TCP port 76
TERM.TELNET Open TELNET terminal window 77
TERM.Vector Define interrupt vectors 77
TERM.view Terminal display 78
TERM.WRITE Write terminal output to file 78
TPIU .......................................................................................................................................... 79
TRACE32 Directory 111 ©1989-2017 Lauterbach GmbH
TPIU Trace Port Interface Unit (TPIU) 79
Overview TPIU 79
TPIU.CLEAR Re-write the TPIU registers 80
TPIU.IGNOREZEROS Workaround for a special chip 80
TPIU.NOFLUSH Workaround for a chip bug affecting TPIU flush 80
TPIU.PortClock Inform about HSSTP trace frequency 81
TPIU.PortMode Select the operation mode of the TPIU 82
TPIU.PortSize Select interface type and port size of the TPIU 83
TPIU.RefClock Setup reference clock for HSSTP 84
TPIU.Register Display TPIU registers 84
TPIU.RESet Reset TPIU settings 85
TPIU.state Display TPIU configuration window 85
TPIU.SWVPrescaler Set up SWV prescaler 86
TPIU.SWVZEROS Workaround for a chip bug 86
TPIU.SyncPeriod Set period of sync packet injection 87
TPU ........................................................................................................................................... 88
TPU.BASE Base address 88
TPU.Break Break TPU 88
TPU.Dump Memory display 88
TPU.Go Start TPU 88
TPU.Register.ALL Register operation mode 88
TPU.Register.NEWSTEP New debugging mode 88
TPU.Register.Set Register modification 88
TPU.Register.view Register display 89
TPU.RESet Disable TPU debugger 89
TPU.SCAN Scanning TPU 89
TPU.SELect Select TPU for debugging 89
TPU.Step Single step TPU 89
TPU.view View TPU channels 89
Trace ......................................................................................................................................... 90
Trace Trace configuration and display 90
<trace>.ABCDEF Sampling configuration for probes ABCDEF 100
<trace>.ACCESS Define access path to source code for trace decoding 101
<trace>.ADDRESS Software trace address 103
<trace>.Arm Arm the trace 104
<trace>.AutoArm Arm automatically 105
<trace>.AutoFocus Calibrate AUTOFOCUS preprocessor 105
<trace>.AutoInit Automatic initialization 109
<trace>.AutoStart Automatic start 110
<trace>.AutoTEST Continuous measurement 110
<trace>.BookMark Set a bookmark in trace listing 113
<trace>.BookMarkToggle Toggles a single trace bookmark 115
<trace>.Break Stop trace 116
TRACE32 Directory 112 ©1989-2017 Lauterbach GmbH
<trace>.Chart Display trace contents graphically 117
<trace>.Chart.Address Time between program events as a chart 125
<trace>.Chart.DatasYmbol Analyze pointer contents graphically 126
<trace>.Chart.DistriB Distribution display 128
<trace>.Chart.Func Function activity chart 131
<trace>.Chart.GROUP Group activity chart 132
<trace>.Chart.Line Graphical HLL lines analysis 133
<trace>.Chart.Nesting Show function nesting at cursor position 134
<trace>.Chart.sYmbol Symbol analysis 135
<trace>.Chart.TASK Task activity chart 139
<trace>.Chart.TASKFunc Task related function run-time analysis (legacy) 140
<trace>.Chart.TASKINTR Display ISR2 time chart (ORTI) 140
<trace>.Chart.TASKKernel Task run-time chart with kernel markers (flat) 141
<trace>.Chart.TASKSRV Service routine run-time analysis 142
<trace>.Chart.TASKState Task state analysis 143
<trace>.Chart.TASKVSINTR Time chart 144
<trace>.Chart.VarState Variable activity chart 145
<trace>.CLEAR Clear FDX communication buffers 148
<trace>.CLOCK Clock to calculate time out of cycle count information 148
<trace>.CLOSE Close FDX files 149
<trace>.ComPare Compare trace contents 149
<trace>.CSELect Select signal for counter 151
<trace>.DISable Disable the trace 151
<trace>.DISableChannel Disable FDX communication 151
<trace>.DisConfig Trace disassembler configuration 152
<trace>.DisConfig.CYcle Trace disassemble setting 152
<trace>.DisConfig.FlowMode Enable FlowTrace analysis 153
<trace>.DisConfig.RESet Reset trace disassemble setting 154
<trace>.DisConfig.view Trace disassemble setting 154
<trace>.DRAW Visualization of trace data 155
<trace>.DRAW.channel Graphical data display 156
<trace>.DRAW.Data Draw any memory access 171
<trace>.DRAW.Var Graphical display of HLL variables 172
<trace>.Enable Operation mode 174
<trace>.ENableChannel Enable FDX communication 174
<trace>.EXPORT Export trace data for processing in other applications 175
<trace>.EXPORT.Ascii Export trace data as ASCII 176
<trace>.EXPORT.Bin Export trace data as binary file 177
<trace>.EXPORT.BRANCHFLOW Export branch events from trace data 178
<trace>.EXPORT.CSVFunc Export the function nesting to a CSV file 179
<trace>.EXPORT.flow Export trace data 180
<trace>.EXPORT.Func Export function nesting 183
<trace>.EXPORT.TASKEVENTS Export task event to CSV 184
TRACE32 Directory 113 ©1989-2017 Lauterbach GmbH
<trace>.EXPORT.TracePort Export trace packets as recorded at trace port 185
<trace>.EXPORT.VCD Export trace data in VCD format 186
<trace>.EXPORT.VERILOG Export trace data in VERILOG format 187
<trace>.EXPORT.VHDL Export trace data in VHDL format 187
<trace>.FILE Load a file into the file trace buffer 188
<trace>.Find Find specified entry in trace 190
<trace>.FindAll Find all specified entries in trace 194
<trace>.FindChange Search for changes in trace flow 195
<trace>.FLOWPROCESS Process flowtrace 196
<trace>.FLOWSTART Restart flowtrace processing 196
<trace>.Get Display input level 197
<trace>.GOTO Move cursor to specified trace record 199
<trace>.IMPORT Import trace information 201
<trace>.IMPORT.CoreByteStream Import pure single core trace data 203
<trace>.IMPORT.ETB Import on-chip trace data 204
<trace>.IMPORT.flow Import bus trace data 204
<trace>.IMPORT.GUESSWRAP Guess wrap pointer 205
<trace>.IMPORT.STP Import STP recording from file (nibble) 205
<trace>.IMPORT.STPByteStream Import STP recording from file (byte) 206
<trace>.IMPORT.TraceFile Import trace data where processing has failed 206
<trace>.IMPORT.TracePort Import off-chip trace data 207
<trace>.IMPORT.VCD Import recorded signals in VCD file format 207
<trace>.IMPORT.WRAP Define wrap pointer 208
<trace>.InChannel Inchannel state display 209
<trace>.Init Initialize trace 209
<trace>.JKLMNO Sampling configuration for probes JKLMNO 210
<trace>.JOINFILE Concatenate several trace recordings 210
<trace>.LEVEL Select trigger level manually 210
<trace>.List List trace contents 213
<trace>.ListNesting Analyze function nesting 224
<trace>.ListVar List variable recorded to trace 227
<trace>.LOAD Load trace file for off-line processing 228
<trace>.MERGEFILE Combine two trace files into one 230
<trace>.METHOD Select trace method 231
<trace>.Mode Set the trace operation mode 233
<trace>.MUX Select channels 237
<trace>.OFF Switch off 237
<trace>.Out tbd. 237
<trace>.PC Display PC in real-time 238
<trace>.PipePROTO Define a user supplied DLL as trace sink 238
<trace>.PipeWRITE Connect to a named pipe to stream trace data 238
<trace>.PortFilter Specify utilization of trace memory 239
<trace>.PortSize Set external port size 240
TRACE32 Directory 114 ©1989-2017 Lauterbach GmbH
<trace>.PortType Specify trace interface 240
<trace>.PROfile Display counter profile 242
<trace>.PROfileChart Profile charts 243
<trace>.PROfileChart.COUNTER Display a profile chart 243
<trace>.PROfileChart.DIStance Time interval for a single event 244
<trace>.PROfileChart.DURation Time between two events 245
<trace>.PROfileChart.GROUP Group profile chart 250
<trace>.PROfileChart.Rate Event frequency 251
<trace>.PROfileChart.sYmbol Dynamic program behavior graphically (flat) 253
<trace>.PROfileChart.TASK Dynamic task behavior graphically (flat) 257
<trace>.PROfileSTATistic Statistical analysis in a table versus time 259
<trace>.Program Program trigger unit 259
<trace>.PROTOcol Protocol analysis 261
<trace>.PROTOcol.Chart Graphic display for user-defined protocol 262
<trace>.PROTOcol.Draw Graphic display for user-defined protocol 264
<trace>.PROTOcol.EXPORT Export trace buffer for user-defined protocol 265
<trace>.PROTOcol.Find Find in trace buffer for user-defined protocol 266
<trace>.PROTOcol.List Display trace buffer for user-defined protocol 267
<trace>.PROTOcol.STATistic Display statistics for user-defined protocol 270
Protocol specific Options 272
Definition of User-Specific Protocols 274
<trace>.Rate Select sampling rate 275
<trace>.REF Set reference point for time measurement 276
<trace>.ReProgram Program trigger unit 277
<trace>.RESet Reset command 277
<trace>.SAMPLE Set AutoFocus sample time offset 277
<trace>.SAVE Save trace for postprocessing in TRACE32 279
<trace>.Select Select trigger/counter line 283
<trace>.SELect Define addresses for monitoring 283
<trace>.SelfArm Automatic restart of trace recording 283
<trace>.SET Select line for recording 285
<trace>.ShowFocus Display data eye for AUTOFOCUS preprocessor 285
<trace>.ShowFocusClockEye Display clock eye 289
<trace>.ShowFocusEye Display data eye for AUTOFOCUS preprocessor 291
<trace>.SIZE Define buffer size 293
<trace>.SLAVE Select slave mode 294
<trace>.SnapShot Restart trace capturing once 295
<trace>.SPY Enable analysis of streaming file while recording 296
<trace>.state Display trace configuration window 298
<trace>.STATistic Statistic analysis 301
<trace>.STATistic.Address Time between up to 8 program events 302
<trace>.STATistic.AddressDIStance Time interval for single program event 303
<trace>.STATistic.AddressDURation Time between two program events 304
TRACE32 Directory 115 ©1989-2017 Lauterbach GmbH
<trace>.STATistic.BondOut Bondout mode 306
<trace>.STATistic.ChildTREE Show callee context of a function 306
<trace>.STATistic.COLOR Assign colors to function for colored graphics 307
<trace>.STATistic.CYcle Analyze cycle types 307
<trace>.STATistic.DatasYmbol Analyze pointer contents numerically 310
<trace>.STATistic.DIStance Time interval for a single event 312
<trace>.STATistic.DistriB Distribution analysis 315
<trace>.STATistic.DURation Time between two events 318
<trace>.STATistic.FIRST Start point for statistic analysis 321
<trace>.STATistic.Func Nesting function runtime analysis 323
<trace>.STATistic.FuncDURation Statistic analysis of function 348
<trace>.STATistic.FuncDURationInternal Stat analysis of function internal 349
<trace>.STATistic.GROUP Group run-time analysis 350
<trace>.STATistic.Ignore Ignore false records in statistic 351
<trace>.STATistic.INTERRUPT Interrupt statistic 352
<trace>.STATistic.InterruptIsFunction Statistics interrupt processing 353
<trace>.STATistic.LAST End point for statistic analysis 355
<trace>.STATistic.Line HLL-line analysis 357
<trace>.STATistic.LINKage Per caller statistic of function 360
<trace>.STATistic.LISTCONFIG tbd. 361
<trace>.STATistic.Measure Analyze the performance of a single signal 362
<trace>.STATistic.MODULE Code execution broken down by module 364
<trace>.STATistic.PAddress Which instructions accessed data address 364
<trace>.STATistic.ParentTREE Show the call context of a function 365
<trace>.STATistic.PIPELINE tbd. 366
<trace>.STATistic.PreFetch Prefetch detection 367
<trace>.STATistic.PROGRAM Code execution broken down by program 368
<trace>.STATistic.PsYmbol Shows which functions accessed data address 368
<trace>.STATistic.Sort Specify sorting criterion for statistic commands 370
<trace>.STATistic.sYmbol Flat run-time analysis 378
<trace>.STATistic.TASK Task activity statistic 383
<trace>.STATistic.TASKFunc Task specific function run-time analysis 390
<trace>.STATistic.TASKKernel Task analysis with kernel markers (flat) 398
<trace>.STATistic.TASKORINTERRUPT Statistic of interrupts and tasks 401
<trace>.STATistic.TASKSRV Analysis of time in OS service routines 401
<trace>.STATistic.TASKState Performance analysis 402
<trace>.STATistic.TASKTREE Tree display of task specific functions 406
<trace>.STATistic.TASKVSINTERRUPT Statistic of interrupts, task-related 407
<trace>.STATistic.TREE Tree display of nesting function run-time analysis 408
<trace>.STATistic.Use Use records 409
<trace>.STREAMCompression Select compression mode for streaming 410
<trace>.STREAMFILE Specify temporary streaming file path 410
<trace>.STREAMFileLimit Set size limit for streaming file 411
TRACE32 Directory 116 ©1989-2017 Lauterbach GmbH
<trace>.STREAMLOAD Load streaming file from disk 412
<trace>.STREAMSAVE Save streaming file to disk 413
<trace>.TCount Set trigger counter 414
<trace>.TDelay Trigger delay 414
<trace>.TERMination Use trace line termination of preprocessor 415
<trace>.TestFocus Test trace port recording 416
<trace>.TestFocusClockEye Scan clock eye 419
<trace>.TestFocusEye Check signal integrity 419
<trace>.THreshold Optimize threshold for trace lines 421
<trace>.TimeStamp Configure timestamp usage of LOGGER trace 422
<trace>.Timing Waveform of trace buffer 423
<trace>.TMode Select trigger mode 425
<trace>.TOut Route trigger to PODBUS (CombiProbe) 425
<trace>.TOut Enable trigger output line (PowerIntegrator) 425
<trace>.TOut Define trigger destination (SNOOPer) 426
<trace>.TPreDelay Pre-trigger delay 427
<trace>.TraceChannel tbd. 427
<trace>.TraceCLOCK Export trace data 428
<trace>.TraceCONNECT Select on-chip peripheral sink 428
<trace>.TRACK Set tracking record 429
<trace>.TRIGGER Trigger the trace 429
<trace>.TSELect Select trigger source 430
<trace>.TSYNC Select trigger line and mode 431
<trace>.TValue Define data value for trigger 432
<trace>.TView Display trigger settings 432
<trace>.TWidth Set trigger filter 433
<trace>.View Display single record 434
<trace>.XTrack Cross system tracking 435
<trace>.ZERO Align timestamps of trace and timing analyzers 436
TrAddress ................................................................................................................................ 437
TrAddress Select output signal 437
TRANSlation ............................................................................................................................ 440
TRANSlation Debugger address translation 440
Overview TRANSlation 440
TRANSlation.AutoEnable Auto-enable debugger MMU translation 442
TRANSlation.AutoSCAN Autoscan feature for debugger MMU 442
TRANSlation.CacheFlush Flush TRACE32 address translation cache 443
TRANSlation.CLEANUP Clean up MMU table 443
TRANSlation.COMMON Common address ranges for kernel and tasks 444
TRANSlation.COMMON.ADD Add another common address range 446
TRANSlation.COMMON.CLEAR Clear all common logical address ranges 446
TRANSlation.Create Create translation 447
TRANSlation.CreateID Add entry to MMU space ID table 448
TRACE32 Directory 117 ©1989-2017 Lauterbach GmbH
TRANSlation.CreateTab Create multiple translations 448
TRANSlation.Delete Delete translation 449
TRANSlation.DeleteID Remove entry from MMU space ID table 449
TRANSlation.List List MMU translation table 450
TRANSlation.ListID List MMU space ID table 451
TRANSlation.NoProtect Unprotect memory 451
TRANSlation.OFF Deactivate debugger address translation 452
TRANSlation.ON Activate debugger address translation 453
TRANSlation.PAGER Allow paged breakpoints for Linux 454
TRANSlation.Protect Protect memory 454
TRANSlation.RESet Reset MMU configuration 455
TRANSlation.SCANall Scan MMU tables 455
TRANSlation.ScanID Scan MMU address space tables from kernel 455
TRANSlation.SHADOW Enable shadow access to target memory 456
TRANSlation.TableWalk Automatic MMU page table walk 457
TRANSlation.TlbAutoScan Allow automatic TLB scans during table walk 457
TRANSlation.TRANSparent Transparent banking area 459
TrBus ........................................................................................................................................ 460
TRIGGER Connector 460
Interaction Between Independent PODBUS Devices 463
TrBus.Arm Arm the trigger bus 464
TrBus.Connect Configure TRIGGER as input or output 465
TrBus.Mode Define polarity/edge for the trigger signal 466
TrBus.OFF Switch trigger bus off 466
TrBus.Out Define source for the external trigger pulse 467
TrBus.RESet Reset setting for trigger bus 467
TrBus.Set Define the target for the incoming trigger 467
TrBus.state Display settings for the trigger bus 468
TrBus.Trigger Stimulate a trigger on the trigger bus 468
TrEvent ..................................................................................................................................... 470
Function 470
TrEvent.Delay Define delay 471
TrEvent.Enable Select time windows 471
TrEvent.Init Initialization 472
TrEvent.MinInit Initialization 472
TrEvent.Mode Select operation mode 472
TrEvent.OFF Switch off 476
TrEvent.ON Switch on 477
TrEvent.RESet Reset command 478
TrEvent.Select Select event source 478
TrEvent.view State display 480
TrPOD ....................................................................................................................................... 481
TRACE32 Directory 118 ©1989-2017 Lauterbach GmbH
TrPOD Trigger probe 481
TrPOD.Clock Defines data mask 481
TrPOD.ClockPOL Defines data polarity 481
TrPOD.Data Defines data mask 482
TrPOD.DataPOL Defines data polarity 482
TrPOD.Mode Defines data polarity 483
TrPOD.OFF Switch off 484
TrPOD.ON Switch on 484
TrPOD.RESet Reset command 484
TrPOD.state State display 485
TrPOD.Time Defines the time for the pulse width trigger 486
TrIn ............................................................................................................................................ 487
Function 487
TrIn.Clock Define clock 488
TrIn.Data Define data 492
TrIn.Mask Define bits 492
TrIn.Normal Level operation 493
TrIn.RESet Reset command 493
TrIn.state State display 493
TrIn.Transient Transient operation 494
TrMain ....................................................................................................................................... 496
Trigger System of TRACE32-ICE 496
TrMain.ALways Constant triggering 499
TrMain.Arm Release and activate trigger system 499
TrMain.AutoInit Automatic trigger initialization 499
TrMain.AutoStart Automatic trigger initialization 500
TrMain.Break Break 500
TrMain.Count Set trigger counter 501
TrMain.Delay Set trigger delay 501
TrMain.Init Initialize trigger system 502
TrMain.Mode Select mode 502
TrMain.OFF Switch off trigger system 503
TrMain.Out Output trigger pulse 503
TrMain.RESet Reset trigger system 505
TrMain.Set Select trigger sources 505
TrMain.state Trigger state display 510
TrMain.Trigger Trigger 510
TrOnchip .................................................................................................................................. 511
TrOnchip Onchip triggers 511
TrOnchip.Address tbd. 512
TrOnchip.CONVert Adjust range breakpoint in onchip registers 512
TrOnchip.CYcle tbd. 513
TRACE32 Directory 119 ©1989-2017 Lauterbach GmbH
TrOnchip.Data tbd. 514
TrOnchip.RESet Reset settings to defaults 514
TrOnchip.Set Break on event 515
TrOnchip.state Display onchip trigger window 516
TrOnchip.TaskID tbd. 516
TrOnchip.TEnable tbd. 516
General Commands Reference Guide U
General Commands Reference Guide U ................................................. (general_ref_u.pdf) 1
General Commands Reference Guide V
General Commands Reference Guide V ................................................. (general_ref_v.pdf) 1
Var ............................................................................................................................................. 5
Var HLL variables and expressions 5
Overview Var 5
Display Formats 10
Functions 22
Var.AddWatch Add variable to Var.Watch window 22
Var.Assign Assignment to a variable 23
Var.Break Breakpoint on variable 24
Var.Break.Delete Delete breakpoint on variable 24
Var.Break.direct Set temporary breakpoint on HLL expression 26
Var.Break.Pass Define pass condition for breakpoint 27
Var.Break.Set Set breakpoint to HLL expression 28
Var.Call Call a new procedure 29
Var.CHAIN Display linked list 30
Var.DelWatch Delete variable from watch 31
Var.DRAW Graphical variable display 31
Var.DUMP Memory dump 36
Var.EXPORT Export variables in CSV format to file 37
Var.FixedCHAIN Display linked list 37
Var.FixedTABle Display table 38
Var.Go Realtime emulation 40
Var.Go.Back Re-run program backwards until variable access (CTS) 40
Var.Go.Change Realtime emulation till expression changes 41
Var.Go.direct Realtime emulation with breakpoint 42
Var.Go.Till Realtime emulation till expression true 43
Var.IF PRACTICE conditional branching 43
Var.INFO View information about HLL variable or HLL expression 44
Var.Local Local variables 45
Var.LOG Log variables 46
Var.NEW Creates a TRACE32-internal variable 48
TRACE32 Directory 120 ©1989-2017 Lauterbach GmbH
Var.NEWGLOBAL Creates a global TRACE32-internal variable 49
Var.NEWLOCAL Creates a local TRACE32-internal variable 50
Var.PRINT Display variables 53
Var.PROfile Graphical display of variable 54
Var.Ref Referenced variables 55
Var.set Modify variable 56
Var.Step Step 59
Var.Step.BackChange Step back till expression changes 59
Var.Step.BackTill Step back till expression true 59
Var.Step.Change Step till expression changes 60
Var.Step.Till Step till expression true 60
Var.TABle Display table 61
Var.TREE Display variables in the form of a tree structure 62
Var.TYPE Display variable types 63
Var.View Display variables 64
Var.Watch Open 'Var.Watch' window 66
Var.WHILE PRACTICE loop construction 67
Var.WRITE Write variables to file 68
VCO ........................................................................................................................................... 68
Function 69
VCO.BusFrequency Control bus clock 69
VCO.Clock Control emulation clock 69
VCO.Down Frequency down 70
VCO.Frequency Control VCO clock 70
VCO.Rate VCO rate 71
VCO.RESet VCO reset 71
VCO.state State display 72
VCO.TimeBaseFrequency Set the time base clock 73
VCO.Up Frequency up 73
VCU ........................................................................................................................................... 75
VCU VCU registers (Vector Computational Unit) 75
VCU.Init Initialize VCU registers 75
VCU.RESet Reset VCU registers 75
VCU.Set Set VCU register 76
VCU.view Display VCU registers 76
VE .............................................................................................................................................. 77
VE Virtual execution mode 77
VE.OFF Turn off virtual execution mode 77
VE.ON Turn on virtual execution mode 77
VE.state tbd. 77
VPU ........................................................................................................................................... 78
VPU Vector Processing Unit (VPU) 78
TRACE32 Directory 121 ©1989-2017 Lauterbach GmbH
VPU.Init Initialize ALTIVEC registers 78
VPU.Set Modify ALTIVEC registers 78
VPU.view Display ALTIVEC register window 79
General Commands Reference Guide W
General Commands Reference Guide W ................................................(general_ref_w.pdf) 1
General Commands Reference Guide X
General Commands Reference Guide X ................................................. (general_ref_x.pdf) 1
eXception ................................................................................................................................. 2
General Commands Reference Guide Y
General Commands Reference Guide Y ................................................. (general_ref_y.pdf) 1
sYmbol ..................................................................................................................................... 2
General Commands Reference Guide Z
General Commands Reference Guide Z ...................................................(general_ref_z.pdf) 1
High-Level Language Debugging
Application Note C++ Debugging
Application Note C++ Debugging .................................................(app_cpp_debugging.pdf) 1
Sample Code used by This Application Note ....................................................................... 2
Gathering Information of Objects .......................................................................................... 3
Display Options Dedicated to Objects 3
Most Derived Class 5
Lifetime of the “this” Pointer 5
Class Conversions 6
Gathering Information of Classes .......................................................................................... 7
C++ Overloading and Symbol Mangling ............................................................................... 8
Demangling 8
Ambiguous Symbols 8
Other Command Line Hints 9
ELF Loader Options ................................................................................................................ 10
Debugging in C++ Code .......................................................................................................... 11
Target Order / Source Order 11
TRACE32 Directory 122 ©1989-2017 Lauterbach GmbH
Breakpoints 12
FLASH Programming
Onchip/NOR FLASH Programming User's Guide
Onchip/NOR FLASH Programming User's Guide .............................................(norflash.pdf) 1
Introduction ............................................................................................................................. 4
Standard Approach ................................................................................................................. 5
On-chip FLASH 5
Off-chip FLASH Devices Supporting CFI 10
Programming Commands ...................................................................................................... 20
FLASH.ReProgram Command (Target-controlled) 20
FLASH.ReProgram Command (TRACE32 Tool-based) 26
FLASH.Erase / FLASH.Program Command 27
The FLASH.AUTO Command 30
Unlocking Command 39
DualPort FLASH Programming .............................................................................................. 41
Benefits 41
Preconditions 41
Usage 42
Special Features for Onchip FLASHs .................................................................................... 44
OTP Sector Programming 44
Mirrored FLASH Addresses 46
FLASH.Create Command 48
FLASH.TARGET Command 53
FLASH.CLocK Command 54
FLASH.CHANGETYPE Command 55
FLASH.UNSECUREerase Command 56
FLASH Declaration in Detail ................................................................................................... 57
Further Applications for FLASH Declarations Using CFI 57
Declarations for not CFI-conform FLASH Devices 68
TRACE32 Tool-based vs. Target-controlled FLASH Programming 74
Maintaining the Declared FLASH Devices 86
List of Supported FLASH Devices 86
FLASH Programming via Boundary Scan ............................................................................. 87
Boundary scan chain configuration 87
FLASH interface definition 88
FLASH Programming 89
Full Example 90
TRACE32 Directory 123 ©1989-2017 Lauterbach GmbH
FAQ ........................................................................................................................................... 93
Further Information ................................................................................................................. 93
NAND FLASH Programming User's Guide
NAND FLASH Programming User's Guide .....................................................(nandflash.pdf) 1
Introduction ............................................................................................................................. 4
How This Manual is Organized 4
Related Documents 5
Contacting Support 5
List of Abbreviations ............................................................................................................... 7
Background Information ......................................................................................................... 7
What is a NAND Flash Device? 7
About Blocks, Pages, Main Area, and Spare Area 8
About Bad Block Markers 9
About NAND Flash Controllers 10
Standard Approach ................................................................................................................. 11
Identifying and Running Scripts for NAND Flash Programming 11
If There Is No Script 13
Scripts for NAND Flash Programming .................................................................................. 14
Establishing Communication between Debugger and Target CPU 16
Configuring the NAND Flash Controller 17
Resetting Default Values 19
Identifying the Type of NAND Flash Controller 20
Informing TRACE32 about the NAND Flash Register Addresses 22
Informing TRACE32 about the NAND Flash Programming Algorithm 24
Checking the Identification from the NAND Flash Device 33
Erasing the NAND Flash Device 34
Programming the NAND Flash Device 35
Other Useful Commands (NAND) 38
Full Examples: Generic NAND Flash Programming 50
Full Example: CPU-Specific NAND Flash Programming 54
About OneNAND Flash Devices ............................................................................................. 55
Scripts for OneNAND Flash Devices ..................................................................................... 56
Establishing Communication between Debugger and Target CPU 58
Configuring the OneNAND Flash Bus 58
Resetting Default Values 59
Informing TRACE32 about the OneNAND Flash Address 59
Informing TRACE32 about the OneNAND Flash Programming Algorithm 60
Checking the Identification from the OneNAND Flash Device 64
Erasing the OneNAND Flash Device 65
TRACE32 Directory 124 ©1989-2017 Lauterbach GmbH
Programming the OneNAND Flash Device 66
Other Useful Commands (OneNAND) 68
Full Example 78
Appendix A: ECC (Error Correction Code) ........................................................................... 79
How to Generate ECC and to Detect Error 79
Appendix B: Spare Area Schemes ........................................................................................ 83
Linux MTD NAND Driver Default Spare Area Schemes 83
SAMSUNG Standard Spare Area Schemes 85
Serial FLASH Programming User's Guide
Serial FLASH Programming User's Guide .................................................... (serialflash.pdf) 1
Introduction ............................................................................................................................. 3
How This Manual is Organized 3
Related Documents 3
Contacting Support 4
List of Abbreviations ............................................................................................................... 6
Background Knowledge ......................................................................................................... 7
What is a Serial Flash Device? 7
About SPI Interface Controllers in Serial Flash Memories 7
About Blocks and Pages 8
File Name Convention for Serial Flash Drivers 9
Standard Approach ................................................................................................................. 10
Identifying and Running Scripts for Serial Flash Programming 10
If There Is No Script 12
Scripts for SPI Controllers ..................................................................................................... 13
Establishing Communication between Debugger and Target CPU 15
Configuring the SPI Controller 16
Resetting Default Values 17
Informing TRACE32 about the Serial Flash Register Addresses (SPI) 17
Informing TRACE32 about the Serial Flash Programming Algorithm 18
FLASHFILE Declaration Examples 24
Checking the Identification from the Serial Flash Device 26
Erasing the Serial Flash Device 27
Programming the Serial Flash Device 28
Other Useful Commands 32
Full Examples 34
FLASH Programming via Boundary Scan ............................................................................. 37
Example 1 for the SPI Protocol 37
Example 2 for the I2C Protocol 39
TRACE32 Directory 125 ©1989-2017 Lauterbach GmbH
eMMC FLASH Programming User's Guide
eMMC FLASH Programming User's Guide .................................................. (emmcflash.pdf) 1
Introduction ............................................................................................................................. 3
How This Manual is Organized 3
Related Documents 3
Contacting Support 4
List of Abbreviations ............................................................................................................... 6
Background Knowledge ......................................................................................................... 7
What is an eMMC Flash Device? 7
About Blocks and Pages 7
About eMMC Interface Controllers in eMMC Flash Memories 8
Standard Approach ................................................................................................................. 9
Identifying and Running Scripts for eMMC Flash Programming 9
If There Is No Script 11
Scripts for eMMC Controllers ................................................................................................. 12
Establishing Communication between Debugger and Target CPU 13
Configuring the eMMC Controller 14
Resetting Default Values 15
Informing TRACE32 about the eMMC Controller Address 15
Informing TRACE32 about the eMMC Flash Programming Algorithm 15
FLASHFILE Declaration Examples 19
Checking the Identification from the eMMC Flash Device 21
Erasing the eMMC Flash Device 22
Programming the eMMC Flash Device 22
Other Useful Commands 26
Full Examples 28
FLASH Programming via Boundary Scan ............................................................................. 31
List of Supported FLASH Devices
List of Supported FLASH Devices ..................................................................... (flashlist.pdf) 1
List of NOR FLASH Devices ................................................................................................... 2
List of Supported NAND FLASH Controllers ........................................................................ 138
Application Notes for FLASH
How to Write your own FLASH Algorithm
How to Write your own FLASH Algorithm ...................... (flash_app_own_algorithm.pdf) 1
FLASH Programming ........................................................................................................... 2
TRACE32 Directory 126 ©1989-2017 Lauterbach GmbH
Target Controlled Flash Programming 2
Tips to Solve NOR FLASH Programming Problems
Tips to Solve NOR FLASH Programming Problems ........................(flash_diagnosis.pdf) 1
Introduction .......................................................................................................................... 3
General Recommendation ................................................................................................... 5
TRACE32 Error Messages ................................................................................................... 6
TRACE32 Tool-based Programming .................................................................................. 7
Sources of Errors 8
General Course of Action in the Case of Problems (Tool-based) 9
Target-controlled Programming ......................................................................................... 33
Sources of Errors 34
General Course of Action in the Case of Problems (Target-controlled) 35
Errors Caused by Wrong Usage of the TRACE32 Commands ........................................ 46
Unintentional Erasing of the Complete FLASH Device 46
Multiple FLASH Devices on one Target 47
FAQ ........................................................................................................................................ 48
Appendix A ........................................................................................................................... 49
Conversion of the Tool-based to Target-controlled FLASH Programming 49
Checking the Bus Configuration 50
Main Difference between Intel and AMD/Spansion FLASH Devices 51
Intel FLASH Devices 52
AMD/Spansion FLASH Devices 56
Appendix B ........................................................................................................................... 68
FLASH Width BYTE 68
FLASH Width WORD 69
FLASH Width LONG 70
FLASH Width QUAD 72
How to Write your own FLASHFILE Algorithm
How to Write your own FLASHFILE Algorithm .......... (flashfile_app_own_algorithm.pdf) 1
FLASHFILE Programming ................................................................................................... 2
Target Controlled Flashfile Programming 2
TRACE32 Directory 127 ©1989-2017 Lauterbach GmbH
Boundary Scan
Boundary Scan User's Guide
Boundary Scan User's Guide ................................................................ (boundary_scan.pdf) 1
Introduction ............................................................................................................................. 3
Intended Audience 3
How This Manual is Organized 4
Related Documents 4
List of Abbreviations ............................................................................................................... 4
What to know about Boundary Scan ..................................................................................... 5
Configuration of the Boundary Scan Chain .......................................................................... 7
Configure Boundary Scan Engine 7
Loading the BSDL Files 9
Initialization of the Boundary Scan Chain 10
Check the Configuration 11
General Operation ................................................................................................................... 12
Basic Mode of Operation 12
Preparation of the Boundary Scan Chain 12
Execution of the Boundary Scan Commands 16
Working with the GUI 17
Interactive Board Test ............................................................................................................. 25
Configure Run Mode 26
Execute Level and Connection Tests 29
Automated Board Test ............................................................................................................ 32
Prepare Boundary Scan Chain 33
Run Tests 34
Full Example 35
Test of Non-Boundary Scan Devices .................................................................................... 37
Special Tests ........................................................................................................................... 41
Boundary Scan Oscilloscope 41
Other Instructions and Data Registers 42
Tips and Tricks ........................................................................................................................ 44
TRACE32 Directory 128 ©1989-2017 Lauterbach GmbH
TRACE32 Lua Library
TRACE32 Lua Library
TRACE32 Lua Library .....................................................................................(lua_library.pdf) 1
TRACE32 Lua Library ............................................................................................................. 2
Functions for JTAG Access 3
Input Output Functions 5
Example 6
Intel® DCI [Direct Connect Interface]
Debugging via Intel® DCI User´s Guide
Debugging via Intel® DCI User´s Guide ..................................................(dci_intel_user.pdf) 1
Introduction ............................................................................................................................. 3
4-wire DCI OOB 3
DCI OOB Hardware 4
DCI DbC 5
Target System Requirements 6
Related Documents 6
Start a TRACE32 Session using Intel® DCI .......................................................................... 7
Prepare Your Target 7
Connecting to an Intel® SoC using DCI OOB 7
Connecting to an Intel® Client or Server System using DCI OOB 8
Connecting to an Intel® SoC using DCI DbC 9
Connecting to an Intel® Client or Server System using DCI DbC 10
Troubleshooting ...................................................................................................................... 11
DCI error: no response to connect pattern 11
Could not stop the target 11
Target Power Fail 11
Intel® DCI Specific Commands .............................................................................................. 12
DCI Commands to configure the Intel® DCI trace handler 12
DCI.DESTination Set trace destination 12
DCI.ON Enable trace handler 12
DCI.OFF Disable trace handler 13
SYStem.DCI Intel® DCI specific SYStem commands 14
SYStem.DCI.Bridge Select DCI bridge 14
SYStem.DCI.BssbClock Configure DCI OOB clock rate 14
SYStem.DCI.DisCONnect Force DCI disconnect 16
TRACE32 Directory 129 ©1989-2017 Lauterbach GmbH
SYStem.DCI.DOrouting Polarity of DO signal 16
SYStem.DCI.PortPower Configure VBUS 17
Intel® DCI Specific Functions ................................................................................................ 18
SYStem.DCI.Bridge() Currently selected DCI bridge 18
SYStem.DCI.BssbClock() Currently selected DCI OOB clock 18
Peripheral Files
Peripheral Files Programming Commands
Peripheral Files Programming Commands ..................................................... (per_prog.pdf) 1
Overview .................................................................................................................................. 3
Peripheral File General Description ...................................................................................... 4
Passing Arguments ................................................................................................................. 8
Memory Classes ...................................................................................................................... 10
GROUP Commands ................................................................................................................. 11
GROUP Define read/write GROUP 11
HGROUP Define read-once/write GROUP 13
RGROUP Define read-only GROUP 13
WSGROUP Define write-only and shadow GROUP 14
WGROUP Define write-only GROUP 15
SGROUP Commands .............................................................................................................. 16
SGROUP Define sequence GROUP 16
SET Write constant value to memory 18
SETX Write SGROUP buffer to memory 19
GETX Read from memory to the SGROUP buffer 20
CONSTX Write constant value to the SGROUP buffer 21
VARX Write expression to SGROUP buffer 22
WRITEBACK Separate write a part from a read part 23
Other Top Level Commands .................................................................................................. 25
ASSERT Abort if condition not met 25
BASE Define a base address for following group definitions 26
BASEOUT Output a value before calculating a base address 26
BASESAVEOUT Output a value before calculating a base address 28
CONFIG Configure display 28
ELSE Conditional GROUP display 29
ELIF Conditional GROUP display 29
ENDIAN Define little or big endian 29
ENDIF Conditional GROUP display 30
TRACE32 Directory 130 ©1989-2017 Lauterbach GmbH
ENTRY Assign parameters to macros 30
HELP Reference online manual 30
IF Conditional GROUP display 31
INCLUDE Include another peripheral file 33
SIF Conditional interpretation 34
TREE Define hierarchic display 35
WIDTH Define field width 35
WAIT Wait with PER windows until system is ready 36
Commands within GROUPs ................................................................................................... 37
ASCII Display ASCII character 37
BIT Define bits 37
BITFLD Define bits individually 38
BUTTON Define command button 42
COPY Copy GROUP 43
DECMASK Define bits for decimal display 44
FLOATMASK Define bits for decimal floating point display 45
EVENTFLD Define event flag bits individually 46
HEXFLD Define hexword individually 47
HEXMASK Define bits for a hexadecimal display 48
HIDE Define write-only line 49
IN Define input field 50
INDEX Output a value 50
LINE Define line 52
MUNGING Translate to little endian mode (PowerPC only) 53
RBITFLD Define bits individually (read-only) 53
SAVEINDEX Save original and output a value 54
SETCLRFLD Define set/clear locations 55
SYSCON SYSCON register (C166/ST10 only) 56
TEXTLINE Define text header with a new line 56
TEXTFLD Define text header 56
Functions ................................................................................................................................. 58
History ...................................................................................................................................... 59
Converter SPIRIT XML to PER Commands
Converter SPIRIT XML to PER Commands ................................... (converter_spiritxml.pdf) 1
Introduction ............................................................................................................................. 2
Main view ................................................................................................................................. 3
Component properties ............................................................................................................ 6
Start processing ...................................................................................................................... 8
TRACE32 Directory 131 ©1989-2017 Lauterbach GmbH
Trace Analysis
Trace Application Notes
Application Note for the Trace.Find Command
Application Note for the Trace.Find Command ................................. (app_trace_find.pdf) 1
History ................................................................................................................................... 3
The Trace Find Dialog .......................................................................................................... 4
Push Buttons 6
Cycle Tab (default) 9
Data Field 12
Expert Tab 14
Group Tab 20
Changes Tab 22
Signal Tab 30
Trace Find Commands ......................................................................................................... 32
Overview 32
Combining Search Items 32
Record Numbers and Record Ranges 33
Trace Item with Specified Value 34
Format the Result 42
Related TRACE32 Functions 43
Convert Setting in Trace Find Dialog to a TRACE32 Command ...................................... 44
Use Cases ............................................................................................................................. 45
Find Task Switches 45
AutoFocus User's Guide
AutoFocus User's Guide ........................................................................... (autofocus_user.pdf) 1
Introduction ................................................................................................................................ 4
Intended Audience 4
Prerequisites 4
Contacting Support 5
Installation .................................................................................................................................. 7
Hardware Installation 7
Software Installation 15
Recommendation for the Software Start 15
Recommendation for Power Down 15
TRACE32 Directory 132 ©1989-2017 Lauterbach GmbH
Utilization .................................................................................................................................... 16
Preprocessor 16
Trace Port 20
FAQ .............................................................................................................................................. 25
Diagnosis .................................................................................................................................... 26
Displaying Error Messages 26
Searching for Errors 27
Types of Trace Decoder Errors 29
Trace Test Failure Messages 30
Access the Diagnosis Tool 31
Diagnosis Check List 32
Choose the Best Termination PCB Type 52
How to understand A.ShowFocusEye and A.ShowFocusClockEye 53
Recommendations for Target Board Design 56
Pin Remapping ........................................................................................................................... 58
Functional Description - Pin Remapping 58
Pinouts 60
Technical Data ............................................................................................................................ 62
AutoFocus Preprocessor Hardware Versions 62
Electrical Specification for AutoFocus II Preprocessors 65
Dimensions 68
Connector Layout for ARM 77
Products ...................................................................................................................................... 83
Product Information 83
Order Information 85
System Trace
System Trace User's Guide
System Trace User's Guide .............................................................................(trace_stm.pdf) 1
Introduction ............................................................................................................................. 5
Installation ............................................................................................................................... 7
Software Installation 7
Hardware Installation 7
Utilization of the STM .............................................................................................................. 9
Start-up Script 9
Displaying Trace Results 12
Configuration ........................................................................................................................... 13
TRACE32 Directory 133 ©1989-2017 Lauterbach GmbH
SYStem.CONFIG.STM Configure STM trace 13
SYStem.CONFIG.STM.Mode STPv2 timestamp format 14
SYStem.CONFIG.STM.Type Configure STM type in TRACE32 15
STM ........................................................................................................................................... 16
STM System trace configuration 16
STM.FilterMasters Display specified masters only 16
STM.FilterChannels Display specified channels only 17
STM.Init Initialize trace hardware 17
STM.OFF Switch STM off 17
STM.ON Switch STM on 18
STM.PortEndianness Select port endianness 18
STM.PortMode Select STM port modes 19
STM.PortRoute Select output of STP data 20
STM.PortSize Port size in bits 21
STM.PrintfTraceFormat Defines format of printftrace style messages 22
STM.Register Display STM register 22
STM.RESet Reset STM settings 23
STM.SetMaster Set master ID manually 23
STM.state Display STM settings 24
STM.SyncTime Trace decoder resync time 24
STM.SyncPeriod Add synchronization packets 24
STM.TimeStamps Enables timestamps 25
STM.TimeStampCLOCK Configure debugger for STM timestamp clock 25
TI Specific System Tracing ..................................................................................................... 26
STM Commands 26
STM.HWMasters Enable hardware masters for tracing 26
STM.IdleCount Maximum idle packets 26
STM.IgnoreHeader Ignore leading dword in printftrace message 27
STM.SWMasters Enable software masters for tracing 27
STM.OCPAutoIdle Set OCP idle clock behavior 28
STM.PATTERN Enable test pattern generator 28
STM.ChannelRepeat Period of channel packet insertion 29
STM.MasterRepeat Period of master packet insertion 29
CoreSight Specific Commands .............................................................................................. 30
STM.DMArequests DMA requests enable 30
STM.COMPression Data compression enable 30
STM.EventMASK Mask hardware event inputs 31
STM.PortMASK Mask stimulus ports 31
STM.TraceID Sets trace ID 31
STM.TracePriority Set priority for STM manually 32
Software Messages ................................................................................................................. 33
CMI ............................................................................................................................................ 36
TRACE32 Directory 134 ©1989-2017 Lauterbach GmbH
CMI Clock management interface 36
CMI.EnableMessage Enables event or activity message generation 36
CMI.Mode Set event or activity mode 37
CMI.OFF Switch CMI off 38
CMI.ON Switch CMI on 38
CMI.Register Display the CMI register 38
CMI.RESet Resets CMI settings to their defaults 39
CMI.SamplingWindow Set sampling window 39
CMI.state Display CMI settings 39
Displaying Trace Results 40
CMI Example 41
CMI Module Configuration 41
OCP_WP ................................................................................................................................... 42
OCP OpenCoreProtocol WatchPoint 42
OCP.AutoIDLE OCP-WP clocking strategy 42
OCP.DebugPort Select target to be traced 43
OCP.OFF Switch OCP off 43
OCP.ON Switch OCP on 43
OCP.Register Display OCP registers 44
OCP.RESet Reset OCP settings to their defaults 44
OCP.state Display OCP settings 45
OCP.TraceFilter Set filter criteria 46
OCP.TraceFilter<x>.NAME Name a filter 46
OCP.TraceFilter<x>.MCmd Filters traffic by transaction type 46
OCP.TraceFilter<x>.Initiator Filters traffic by transaction initiator 47
OCP.TraceFilter<x>.REQinfo Filters traffic by transaction qualifier 47
OCP.TraceEnable Filter OCP traffic by address range 48
OCP.TraceOFF Stop tracing 48
OCP.TraceON Start tracing 49
OCP.TriggerOut<x> Generate trigger event 49
Displaying Trace Results 50
OCP Module Configuration 50
PMI ............................................................................................................................................ 51
PMI Power management interface 51
PMI.EnableMessage Enables event message generation 51
PMI.OFF Switch PMI off 52
PMI.ON Switch PMI on 52
PMI.Register Display the PMI registers 52
PMI.RESet Resets PMI settings to their defaults 53
PMI.SamplingWindow Set sampling window 53
PMI.state Display PMI settings 53
Displaying Trace Results 54
PMI Example 55
TRACE32 Directory 135 ©1989-2017 Lauterbach GmbH
PMI Module Configuration 55
StatCol ...................................................................................................................................... 56
StatCol Statistics collector 56
StatCol.RESet Resets all statistics collector settings to their default 56
StatCol.state Display statistics collector settings 56
StatCol.<probe>.OFF Switch probe off 57
StatCol.<probe>.ON Switch probe on 57
StatCol.<probe>.REQuestEVenT Select event detector 57
StatCol.<probe>.ReSPonseEVenT Select event detector 58
StatCol.<probe>.CollectTime Set up collection period 58
StatCol.<probe>.Counter <counter> ADDRMAX Filter max address 59
StatCol.<probe>.Counter <counter> ADDRMIN Filter min address 59
StatCol.<probe>.Counter <counter> ADDREN Enable address filtering 59
StatCol.<probe>.Counter <counter> EventInfo Select ‘EventInfo’ to count 60
StatCol.<probe>.Counter <counter> MAX Set max threshold for events 60
StatCol.<probe>.Counter <counter> MIN Set min threshold for events 60
StatCol.<probe>.Counter <counter> SELect Set counter input 61
StatCol.<probe>.Counter <counter> Filter <filter> MUX Input port 62
StatCol.<probe>.Counter <counter> Filter <filter> OFF Switch filter off 62
StatCol.<probe>.Counter <counter> Filter <filter> ON Switch filter on 62
StatCol.<probe>.Counter <counter> Filter Set filter criteria 63
StatCol Macro Functions 64
Displaying Trace Results 67
StatCol Example 69
StatCol module configuration 69
FAQ ........................................................................................................................................... 70
Bootloader Debugger
Bootloader Debugger for coreboot
Bootloader Debugger for coreboot ........................................................(boot_coreboot.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 3
Supported Versions ................................................................................................................ 3
Configuration ........................................................................................................................... 4
x86 32-Bit 4
x64 64-Bit 4
Features ................................................................................................................................... 5
Display of coreboot Resources 5
TRACE32 Directory 136 ©1989-2017 Lauterbach GmbH
Coreboot Specific Menu 6
Coreboot Commands .............................................................................................................. 7
EXTension.INFO Display coreboot build information 7
EXTension.BuildCONF Display coreboot build configuration 7
EXTension.LOG Display coreboot log 8
EXTension.CBFS Display coreboot file system 9
EXTension.TimeStamps Display timestamps 10
EXTension.MemoryMAP Display memory mapping 11
EXTension.CBTABLE Display contents of coreboot tables 12
UEFI Debuggers
UEFI BLDK Debugger
UEFI BLDK Debugger ....................................................................................... (uefi_bldk.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 4
Supported Versions ................................................................................................................ 4
Configuration ........................................................................................................................... 5
x86 32-Bit 5
x64 64-Bit 5
Hooks & Internals in Intel BLDK 6
Features ................................................................................................................................... 7
Display of UEFI Resources 7
Symbol Autoloader 8
Intel BLDK Specific Menu 11
Debugging UEFI Phases of Intel BLDK ................................................................................. 12
Debugging from Reset Vector 12
SEC Phase 12
PEI Phase 12
DXE Phase 13
BDS Phase 14
Intel BLDK Commands ........................................................................................................... 15
EXTension.ConfigTab Display DXE configuration table 15
EXTension.DXEDRiVer Display loaded DXE drivers 15
EXTension.DXEModule Display DXE modules 16
EXTension.FV Display firmware volumes 17
EXTension.HOB Display HOBs 18
EXTension.Option Set awareness options 18
TRACE32 Directory 137 ©1989-2017 Lauterbach GmbH
EXTension.PEIModule Display PEI modules 19
EXTension.PEISvc Display PEI services 20
EXTension.POST Display POST code 20
EXTension.PROTocol Display installed protocols 21
EXTension.UCode Display microcodes 21
Intel BLDK PRACTICE Functions .......................................................................................... 22
UEFI H2O Debugger
UEFI H2O Debugger ........................................................................................... (uefi_h2o.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 4
Supported Versions ................................................................................................................ 4
Configuration ........................................................................................................................... 5
x86/Atom 32-Bit 5
x64/Atom 64-Bit 5
Hooks & Internals in InsydeH2O 6
Features ................................................................................................................................... 7
Display of UEFI Resources 7
Symbol Autoloader 8
InsydeH2O Specific Menu 11
Debugging UEFI Phases of InsydeH2O ................................................................................. 13
Debugging from Reset Vector 13
SEC Phase 13
PEI Phase 14
DXE Phase 15
BDS Phase 15
InsydeH2O Commands ........................................................................................................... 16
EXTension.ConfigTab Display DXE configuration table 16
EXTension.DXEDRiVer Display loaded DXE drivers 16
EXTension.DXEModule Display DXE modules 17
EXTension.FV Display firmware volumes 18
EXTension.HOB Display HOBs 19
EXTension.Option Set awareness options 20
EXTension.PEIModule Display PEI modules 20
EXTension.PEISvc Display PEI services 21
EXTension.POST Display POST code 21
EXTension.PROTocol Display installed protocols 22
EXTension.UCode Display microcodes 22
InsydeH2O PRACTICE Functions .......................................................................................... 23
TRACE32 Directory 138 ©1989-2017 Lauterbach GmbH
UEFI TianoCore Debugger
UEFI TianoCore Debugger ...............................................................................(uefi_tiano.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 4
Supported Versions ................................................................................................................ 4
Configuration ........................................................................................................................... 5
ARM 32-Bit 5
ARM 64-Bit 6
Hooks & Internals in TianoCore 6
Features ................................................................................................................................... 7
Display of UEFI Resources 7
Symbol Autoloader 8
TianoCore Specific Menu 11
Debugging UEFI Phases of TianoCore .................................................................................. 12
Debugging from Reset Vector 12
SEC Phase 12
PEI Phase 12
DXE Phase 12
BDS Phase 13
TianoCore Commands ............................................................................................................ 14
EXTension.ConfigTab Display DXE configuration table 14
EXTension.DXEDRiVer Display loaded DXE drivers 14
EXTension.DXEModule Display DXE modules 15
EXTension.FV Display firmware volumes 16
EXTension.HOB Display HOBs 17
EXTension.Option Set awareness options 17
EXTension.PEIModule Display PEI modules 18
EXTension.PEISvc Display PEI services 19
EXTension.POST Display POST code 19
EXTension.PROTocol Display installed protocols 20
TianoCore PRACTICE Functions 21
RTOS Debuggers
RTOS Debugger for AMX
RTOS Debugger for AMX ..................................................................................(rtos_amx.pdf) 1
Overview .................................................................................................................................. 3
TRACE32 Directory 139 ©1989-2017 Lauterbach GmbH
Brief Overview of Documents for New Users ....................................................................... 4
Supported Versions ................................................................................................................ 4
Configuration ........................................................................................................................... 5
Manual Configuration 5
Automatic Configuration 6
Quick Configuration Guide 6
Hooks & Internals of AMX 7
Features ................................................................................................................................... 8
Display of Kernel Resources 8
Task Stack Coverage 8
Task Related Breakpoints 9
Task Context Display 10
Dynamic Task Performance Measurement 11
Task Runtime Statistics 11
Task State Analysis 13
Function Runtime Statistics 14
AMX specific Menu 17
AMX Commands ...................................................................................................................... 18
TASK.DBPool Display buffer pools 18
TASK.DEVent Display event groups 18
TASK.DEXChange Display message exchanges 18
TASK.DMailBoX Display mailboxes 19
TASK.DMPool Display memory pools 20
TASK.DSEMaphore Display semaphores 21
TASK.DSYStem Display system state 21
TASK.DTask Display tasks 22
TASK.DTIMer Display timers 23
TASK.TASKState Mark task state words 23
AMX PRACTICE Functions ..................................................................................................... 24
TASK.CONFIG(magic) Address of magic number 24
TASK.CONFIG(magicsize) Size of magic number 24
TASK.CONFIG(kdata) Address of kernel data area 24
Frequently-Asked Questions ................................................................................................. 25
RTOS Debugger for ARTK
RTOS Debugger for ARTK ................................................................................ (rtos_artk.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 2
Supported Versions ................................................................................................................ 3
TRACE32 Directory 140 ©1989-2017 Lauterbach GmbH
Configuration ........................................................................................................................... 4
Manual Configuration 4
Automatic Configuration 5
Quick Configuration 5
Hooks and Internals 5
Features ................................................................................................................................... 6
Display of Kernel Resources 6
Task Stack Coverage 6
Task Related Breakpoints 7
Dynamic Task Performance Measurement 8
Task Runtime Statistics 8
Task State Analysis 9
Function Runtime Statistics 10
AdaWorld ARTK specific Menu 12
ARTK Commands .................................................................................................................... 13
TASK.TaskList List tasks 13
PRACTICE Functions .............................................................................................................. 14
Frequently-Asked Questions ................................................................................................. 14
RTOS Debugger for ARTX-166
RTOS Debugger for ARTX-166 ...............................................................(rtos_rtxartx166.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 3
Supported Versions ................................................................................................................ 3
Configuration ........................................................................................................................... 4
Manual Configuration 4
Automatic Configuration 4
Quick Configuration Guide 5
Hooks & Internals in ARTX-166 5
Features ................................................................................................................................... 6
Display of Kernel Resources 6
Task Stack Coverage 6
Task Related Breakpoints 7
Dynamic Task Performance Measurement 8
Task Runtime Statistics 8
Task State Analysis 10
Function Runtime Statistics 11
ARTX-166 Specific Menu 13
ARTX-166 Commands ............................................................................................................. 14
TRACE32 Directory 141 ©1989-2017 Lauterbach GmbH
TASK.Task Display tasks 14
ARTX-166 PRACTICE Functions ............................................................................................ 15
Frequently-Asked Questions ................................................................................................. 15
RTOS Debugger for ChibiOS/RT
RTOS Debugger for ChibiOS/RT ................................................................ (rtos_chibios.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 4
Supported Versions ................................................................................................................ 4
Configuration ........................................................................................................................... 5
Manual Configuration 5
Automatic Configuration 5
Quick Configuration Guide 6
Hooks & Internals in ChibiOS 6
Features ................................................................................................................................... 7
Display of Kernel Resources 7
Task Stack Coverage 7
Task Related Breakpoints 8
Task Context Display 9
Dynamic Task Performance Measurement 10
Task Runtime Statistics 10
Function Runtime Statistics 11
ChibiOS specific Menu 14
ChibiOS Commands ................................................................................................................ 15
TASK.CONDvar Display condition variables 15
TASK.EVenT Display events 15
TASK.Heap Display heaps 16
TASK.MailBox Display mailboxes 16
TASK.MuTeX Display mutexes 17
TASK.Pool Display memory pools 17
TASK.Queue Display queues 18
TASK.SEMaphore Display semaphores 18
TASK.Thread Display threads 19
TASK.VTimer Display virtual timers 19
ChibiOS PRACTICE Functions ............................................................................................... 20
Frequently-Asked Questions ................................................................................................. 20
RTOS Debugger for Chorus Classic
RTOS Debugger for Chorus Classic ............................................. (rtos_chorus_classic.pdf) 1
TRACE32 Directory 142 ©1989-2017 Lauterbach GmbH
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 4
Supported Versions ................................................................................................................ 4
Configuration ........................................................................................................................... 5
Manual Configuration 5
Automatic Configuration 6
Quick Configuration Guide 6
Hooks & Internals in ChorusOS 7
Features ................................................................................................................................... 8
KDB Terminal Emulation 8
Display of Kernel Resources 8
Task Runtime Statistics 8
Task State Analysis 9
Function Runtime Statistics 10
Task Stack Coverage 12
MMU Support 12
ChorusOS specific Menu 14
ChorusOS Commands ............................................................................................................ 15
TASK.LActor List actor table 15
TASK.LPort List port table 16
TASK.LRsrc List resources 16
TASK.LThread List thread table 17
TASK.MMU.SCAN Scan actor MMU space 18
TASK.MmuSet Set emulator MMU to actor 19
TASK.TASKState Mark task state words 19
ChorusOS PRACTICE Functions ........................................................................................... 20
TASK.ACTOR.SPACE() Space ID of actor 20
TASK.ACTOR.START() Start address of specified region 20
TASK.CONFIG(kernel) Address of the kernel state variable 20
TASK.CONFIG(magic) Address of magic number 21
TASK.CONFIG(magicsize) Size of magic number 21
Frequently-Asked Questions ................................................................................................. 21
RTOS Debugger for Chorus Micro
RTOS Debugger for Chorus Micro .................................................. (rtos_chorus_micro.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 3
Supported Versions ................................................................................................................ 3
Configuration ........................................................................................................................... 4
TRACE32 Directory 143 ©1989-2017 Lauterbach GmbH
Manual Configuration 4
Automatic Configuration 5
Quick Configuration Guide 5
Hooks & Internals in Chorus Micro 5
Features ................................................................................................................................... 6
Display of Kernel Resources 6
Thread Runtime Statistics 6
Task State Analysis 6
Function Runtime Statistics 7
Task Stack Coverage 7
Chorus Micro Specific Menu 7
Chorus Commands ................................................................................................................. 9
TASK.LThread List thread table 9
Chorus PRACTICE Functions ................................................................................................ 10
Frequently-Asked Questions ................................................................................................. 10
RTOS Debugger for Cmicro
RTOS Debugger for Cmicro ........................................................................ (rtos_cmicro.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 2
Supported Versions ................................................................................................................ 3
Configuration ........................................................................................................................... 4
Manual Configuration 4
Automatic Configuration 5
Hooks & Internals of SDT-Cmicro 5
Features ................................................................................................................................... 6
Display of Kernel Resources 6
Process Runtime Statistics 6
Function Runtime Statistics 7
SDT-Cmicro specific Menu 8
SDT-Cmicro Commands ......................................................................................................... 9
TASK.PList Display process instances 9
TASK.PType Display process types 9
TASK.QList Display signal queue 10
SDT-Cmicro PRACTICE Functions ........................................................................................ 11
Frequently-Asked Questions ................................................................................................. 11
TRACE32 Directory 144 ©1989-2017 Lauterbach GmbH
RTOS Debugger for CMX
RTOS Debugger for CMX ..................................................................................(rtos_cmx.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 3
Supported Versions ................................................................................................................ 3
Configuration ........................................................................................................................... 4
Manual Configuration 4
Automatic Configuration 4
Quick Configuration Guide 4
Hooks & Internals in CMX 5
Features ................................................................................................................................... 6
CMXBug Terminal Emulation 6
Display of Kernel Resources 6
Task Stack Coverage 6
Task Related Breakpoints 7
Dynamic Task Performance Measurement 8
Task Runtime Statistics 8
Task State Analysis 9
Function Runtime Statistics 10
CMX specific Menu 12
CMX Commands ...................................................................................................................... 13
TASK.DCyclic Display cyclic timers 13
TASK.DMailbox Display mailboxes 13
TASK.DQueue Display queues 14
TASK.DRes Display resources 14
TASK.DSema Display semaphores 15
TASK.DTask Display tasks 15
TASK.TASKState Mark task state words 16
CMX PRACTICE Functions ..................................................................................................... 17
Frequently-Asked Questions ................................................................................................. 17
RTOS Debugger for CMX-TINY+
RTOS Debugger for CMX-TINY+ ............................................................. (rtos_cmx_tiny.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 3
Supported Versions ................................................................................................................ 3
Configuration ........................................................................................................................... 4
Manual Configuration 4
TRACE32 Directory 145 ©1989-2017 Lauterbach GmbH
Automatic Configuration 4
Quick Configuration Guide 4
Hooks & Internals in CMX-TINY+ 5
Features ................................................................................................................................... 6
Display of Kernel Resources 6
Task Runtime Statistics 6
Task State Analysis 8
Function Runtime Statistics 9
Task Stack Coverage 10
CMX-TINY+ specific Menu 11
CMX-TINY+ Commands .......................................................................................................... 12
TASK.DCyclic Display cyclic timers 12
TASK.DMailbox Display mailboxes 12
TASK.DResource Display resources 13
TASK.DTask Display tasks 13
TASK.TASKState Mark task state words 13
CMX-TINY+ PRACTICE Functions ......................................................................................... 15
TASK.CONFIG(magic) Address of magic number 15
TASK.CONFIG(magicsize) Size of magic number 15
TASK.ID2MAGIC() Magic number of task ID 15
TASk.STACK() Stack address of task 16
Frequently-Asked Questions ................................................................................................. 16
RTOS Debugger for DSP/BIOS
RTOS Debugger for DSP/BIOS .........................................................................(rtos_bios.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 3
Supported Versions ................................................................................................................ 3
Configuration ........................................................................................................................... 4
Manual Configuration 4
Automatic Configuration 4
Quick Configuration Guide 5
Hooks & Internals in DSP/BIOS 5
Features ................................................................................................................................... 6
Display of Kernel Resources 6
Task Stack Coverage 6
Task Related Breakpoints 7
Dynamic Task Performance Measurement 8
DSP/BIOS specific Menu 8
TRACE32 Directory 146 ©1989-2017 Lauterbach GmbH
DSP/BIOS Commands ............................................................................................................. 10
TASK.KerNeL Display kernel information 10
TASK.LOG.DISable Disable system log events 10
TASK.LOG.ENable Enable system log events 10
TASK.LOG.View Display logs 11
TASK.MailBoX Display mailboxes 12
TASK.MEMory Display memory segments 12
TASK.SEMaphore Display semaphores 13
TASK.SWI Display SWIs 13
TASK.TaSK Display tasks 14
RTOS BIOS PRACTICE Functions ......................................................................................... 15
Frequently-Asked Questions ................................................................................................. 15
RTOS Debugger for eCos
RTOS Debugger for eCos ................................................................................(rtos_ecos.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 3
Supported Versions ................................................................................................................ 3
Configuration ........................................................................................................................... 4
Manual Configuration 4
Automatic Configuration 4
Quick Configuration Guide 5
Hooks & Internals in eCos 5
Features ................................................................................................................................... 6
Display of Kernel Resources 6
Task Stack Coverage 6
Task Related Breakpoints 7
Task Context Display 8
SMP Support 9
Dynamic Task Performance Measurement 9
Task Runtime Statistics 10
Task State Analysis 11
Function Runtime Statistics 12
eCos specific Menu 15
eCos Commands ..................................................................................................................... 16
TASK.SCHEDuler Display scheduler information 16
TASK.TASKState Mark task state words 16
TASK.THRead Display threads 17
eCos PRACTICE Functions .................................................................................................... 18
TRACE32 Directory 147 ©1989-2017 Lauterbach GmbH
Frequently-Asked Questions ................................................................................................. 18
RTOS Debugger for embOS
RTOS Debugger for embOS ........................................................................ (rtos_embos.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 3
Supported Versions ................................................................................................................ 3
Configuration ........................................................................................................................... 4
Manual Configuration 4
Automatic Configuration 5
Quick Configuration Guide 5
Hooks & Internals in embOS 5
Features ................................................................................................................................... 7
Display of Kernel Resources 7
Task Stack Coverage 7
Task Related Breakpoints 8
Task Context Display 9
Dynamic Task Performance Measurement 10
Task Runtime Statistics 10
Task State Analysis 11
Function Runtime Statistics 12
embOS specific Menu 14
embOS Commands ................................................................................................................. 15
TASK.CSema Display ’CSemaphore’ 15
TASK.MailBox Display mailbox 15
TASK.RSema Display ’RSemaphore’ 16
TASK.TaskList Display tasks 16
TASK.TIMer Display timer 17
embOS PRACTICE Functions ................................................................................................ 18
Frequently-Asked Questions ................................................................................................. 18
RTOS Debugger for FAMOS
RTOS Debugger for FAMOS ......................................................................... (rtos_famos.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 3
Supported Versions ................................................................................................................ 3
Configuration ........................................................................................................................... 4
Manual Configuration 4
TRACE32 Directory 148 ©1989-2017 Lauterbach GmbH
Automatic Configuration 4
Quick Configuration Guide 5
Hooks & Internals in FAMOS 5
Features ................................................................................................................................... 6
Display of Kernel Resources 6
Task Stack Coverage 6
Task Related Breakpoints 7
Task Context Display 8
Dynamic Task Performance Measurement 9
Task Runtime Statistics 9
Task State Analysis 11
Function Runtime Statistics 12
FAMOS Specific Menu 14
FAMOS Commands ................................................................................................................. 15
TASK.Kernel Display kernel state 15
TASK.MailBox Display mailboxes 15
TASK.MailQueues Display mailqueues 16
TASK.Semaphore Display semaphores 16
TASK.Thread Display threads 17
TASK.TIMer Display timers 18
FAMOS PRACTICE Functions ................................................................................................ 19
Frequently-Asked Questions ................................................................................................. 19
RTOS Debugger for FreeRTOS
RTOS Debugger for FreeRTOS ..................................................................(rtos_freertos.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 3
Supported Versions ................................................................................................................ 3
Configuration ........................................................................................................................... 4
Manual Configuration 4
Automatic Configuration 5
Quick Configuration Guide 5
Hooks & Internals in FreeRTOS 6
Features ................................................................................................................................... 7
Display of Kernel Resources 7
Task Stack Coverage 7
Task Related Breakpoints 8
Task Context Display 9
Dynamic Task Performance Measurement 10
Task Runtime Statistics 10
TRACE32 Directory 149 ©1989-2017 Lauterbach GmbH
Task State Analysis 11
Function Runtime Statistics 12
FreeRTOS specific Menu 14
FreeRTOS Commands ............................................................................................................ 15
TASK.Queue Display queue 15
TASK.TaskList Display tasks 16
FreeRTOS PRACTICE Functions ........................................................................................... 17
TASK.AVAIL() Availability of FreeRTOS objects 17
TASK.CONFIG(magic) Address of magic number 17
TASK.CONFIG(magicsize) Size of magic number 17
TASK.STRUCT() Structure names 18
Frequently-Asked Questions ................................................................................................. 19
RTOS Debugger for HI7000
RTOS Debugger for HI7000 ..........................................................................(rtos_hi7000.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 4
Supported Versions ................................................................................................................ 4
Configuration ........................................................................................................................... 5
Manual Configuration 5
Automatic Configuration 5
Quick Configuration Guide 6
Hooks & Internals in HI7000 6
Features ................................................................................................................................... 7
Display of Kernel Resources 7
Task Stack Coverage 7
Task Related Breakpoints 8
Dynamic Task Performance Measurement 9
Task Runtime Statistics 9
Task State Analysis 10
Function Runtime Statistics 11
HI7000 specific Menu 13
HI7000 Commands .................................................................................................................. 14
TASK.ALarM Display alarm handlers 14
TASK.CYClic Display cyclic handlers 14
TASK.DaTaQueue Display data queues 15
TASK.FLaG Display event flags 15
TASK.MailBoX Display mailboxes 16
TASK.MemPooL Display variable memory pools 16
TASK.MemPoolF Display fixed memory pools 17
TRACE32 Directory 150 ©1989-2017 Lauterbach GmbH
TASK.MsgBuFfer Display message buffers 17
TASK.MuTeX Display mutexes 18
TASK.SEMaphore Display semaphores 18
TASK.TaSK Display tasks 19
HI7000 PRACTICE Functions ................................................................................................. 20
Frequently-Asked Questions ................................................................................................. 20
RTOS Debugger for Linux
RTOS Debugger for Linux - Run Mode
RTOS Debugger for Linux - Run Mode ................................................(rtos_linux_run.pdf) 1
Debugging Modes for Embedded Linux ............................................................................ 2
Run Mode Debugging with TRACE32 as GDB Front-end 2
Stop Mode Debugging via JTAG 2
Integrated Run & Stop Mode Debugging via JTAG 3
Supported Debugging Modes sorted by Architectures 4
Basic Concepts .................................................................................................................... 5
t32server (only ARM) 5
gdbserver 5
Ethernet as Communication Interface to the gdbserver 7
DCC as Communication Interface to the t32server 9
The Space ID for Run Mode Debugging 11
Process Debugging 12
Quick Start Example for ARM ............................................................................................. 14
Quick Start Example for MIPS ............................................................................................. 16
Quick Start Example for PowerPC ...................................................................................... 18
SYStem.Option NoDebugStop 19
Switching between Run & Stop Mode Debugging ............................................................ 20
Commands for Run Mode Debugging ................................................................................ 23
Breakpoint Conventions ...................................................................................................... 24
Debugging of Multi-threaded Applications ........................................................................ 25
Frequently-Asked Questions .............................................................................................. 26
RTOS Debugger for Linux - Stop Mode
RTOS Debugger for Linux - Stop Mode ............................................. (rtos_linux_stop.pdf) 1
Debugging Modes for Embedded Linux ............................................................................ 5
Run Mode Debugging with TRACE32 as GDB Front-end 5
TRACE32 Directory 151 ©1989-2017 Lauterbach GmbH
Stop Mode Debugging via JTAG 5
Integrated Run & Stop Mode Debugging via JTAG 7
Supported Debugging Modes sorted by Architectures 8
Overview ............................................................................................................................... 9
Brief Overview of Documents for New Users .................................................................... 9
Supported Versions ............................................................................................................. 10
Configuration ........................................................................................................................ 11
Manual Configuration 11
Automatic Configuration 11
Quick Configuration Guide 12
Hooks & Internals in Linux 12
Features ................................................................................................................................ 13
Display of Kernel Resources 13
Task Related Breakpoints 13
Task Context Display 14
MU Support 16
Symbol Autoloader 23
SMP Support 24
Dynamic Task Performance Measurement 25
Task Runtime Statistics 25
Process / thread switch support for ARM using context ID register: 26
Task State Analysis 26
Function Runtime Statistics 27
Linux Specific Menu 29
Basics of HW-based Debugging ......................................................................................... 31
Debugging Linux Kernel and User Processes .................................................................. 31
Linux Kernel 32
User Processes 34
Kernel Modules 42
Trapping Segmentation Violation 45
Linux Commands ................................................................................................................. 46
TASK.CHECK Check awareness integrity 46
TASK.DMESG Display the kernel ring buffer 46
TASK.DTask Display tasks 47
TASK.DTB Display the device tree blob 48
TASK.DTS Display the device tree source 48
TASK.FS Display file system internals 48
TASK.MAPS Display process maps 49
TASK.MMU.SCAN Scan process MMU space 49
TASK.MODule Display kernel modules 49
TASK.Option Set awareness options 50
TRACE32 Directory 152 ©1989-2017 Lauterbach GmbH
TASK.Process Display processes 51
TASK.PS Display “ps” output 51
TASK.sYmbol Process/Module symbol management 52
TASK.sYmbol.DELete Unload process symbols & MMU 52
TASK.sYmbol.DELeteLib Unload library symbols 53
TASK.sYmbol.DELeteMod Unload module symbols & MMU 53
TASK.sYmbol.LOAD Load process symbols & MMU 54
TASK.sYmbol.LOADLib Load library symbols 55
TASK.sYmbol.LOADMod Load module symbols & MMU 55
TASK.sYmbol.Option Set symbol management options 56
TASK.TASKState Mark task state words 59
TASK.VMAINFO Display vmalloced areas 59
TASK.Watch Watch processes 60
TASK.Watch.ADD Add process to watch list 60
TASK.Watch.DELete Remove process from watch list 60
TASK.Watch.DISable Disable watch system 61
TASK.Watch.DISableBP Disable process creation breakpoints 61
TASK.Watch.ENable Enable watch system 61
TASK.Watch.ENableBP Enable process creation breakpoints 62
TASK.Watch.Option Set watch system options 62
TASK.Watch.View Show watched processes 63
Linux PRACTICE Functions ................................................................................................ 65
TASK.ARCHITECTURE() Target architecture 65
TASK.CONFIG(magic) Address of magic number 65
TASK.CONFIG(magicsize) Size of magic number 65
TASK.CURRENT() Magic or space ID of current task 66
TASK.ERROR.CODE() Awareness error code 66
TASK.ERROR.HELP() Awareness error help ID 66
TASK.LIB.ADDRESS() Library load address 66
TASK.LIB.CODESIZE() Library code size 67
TASK.LIB.PATH() Library target path and name 67
TASK.MOD.CODEADDR() Code start address of module 67
TASK.MOD.DATAADDR() Data start of module 68
TASK.MOD.SIZE() Size of module 68
TASK.MOD.MAGIC() Magic value of module 68
TASK.MOD.MCB() Structure address of module 68
TASK.MOD.NAME() Name of module magic 69
TASK.MOD.SECTION() Address of a specified module’s section 69
TASK.MOD.SECNAME() Name of a module section with a given number 69
TASK.MOD.SECADDR() Address of a module section with a given number 70
TASK.OS.VERSION() Version of the used Linux OS 70
TASK.PROC.CODEADDR() Code start address of process 70
TASK.PROC.CODESIZE() Code size of process 70
TRACE32 Directory 153 ©1989-2017 Lauterbach GmbH
TASK.PROC.DATAADDR() Data start address of process 71
TASK.PROC.DATASIZE() Data size of process 71
TASK.PROC.LIST() List of processes 72
TASK.PROC.MAGIC() Magic value of process 72
TASK.PROC.MAGIC2SID() Space ID of process 73
TASK.PROC.NAME() Name of process 73
TASK.PROC.NAME2TRACEID() Trace ID of process 73
TASK.PROC.PATH() Path and file name of executable on target 73
TASK.PROC.PSID() Process ID 74
TASK.PROC.SID2MAGIC() Magic value of process 74
TASK.PROC.SPACEID() Space ID of process 74
TASK.PROC.TCB() Control structure address of task 74
TASK.PROC.TRACEID() Trace ID of process 75
TASK.PROC.VMAEND() End address of a process virtual memory area 75
TASK.PROC.VMASTART() Start address of a process virtual memory area 75
TASK.VERSION.BUILD() Build number of Linux awareness 76
TASK.VERSION.DATE() Build date of Linux awareness 76
Error Messages .................................................................................................................... 77
Appendix ............................................................................................................................... 78
Appendix A: insmod patch for Linux 2.4 78
Appendix B: ARM context ID thread patch for Linux 2.6 and 3.x 82
Frequently-Asked Questions .............................................................................................. 85
Training Linux Debugging
Training Linux Debugging ............................................................ (training_rtos_linux.pdf) 1
Prolog .................................................................................................................................... 4
Basic Terms on Embedded Linux ....................................................................................... 5
1.) Linux Components 5
2.) The Linux Awareness 6
3.) Virtual Memory Management in Linux 8
4.) Run-Mode vs. Stop-Mode Debugging 14
5.) Building the Kernel, a Kernel Module and a Simple Demo Application 17
Setting up a script for Linux-aware debugging ................................................................. 19
1.) Capture of commands for a script 19
2.) Linux Setup-Steps and -Commands 20
3.) Example Linux Setup-Scripts 34
Debugging the Linux Components by TRACE32 Linux menu ......................................... 37
1.) Debugging Linux Components 37
2.) Linux specific Windows and Features 51
Troubleshooting ................................................................................................................... 55
TRACE32 Directory 154 ©1989-2017 Lauterbach GmbH
Linux Trace ........................................................................................................................... 56
Overview 56
Example 1: Context ID trace for ARM 56
Example 2: Using the LOGGER for task switch trace 57
Epilog .................................................................................................................................... 61
RTOS Debugger for LynxOS
RTOS Debugger for LynxOS ............................................................................(rtos_lynx.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 4
Supported Versions ................................................................................................................ 4
Configuration ........................................................................................................................... 5
Manual Configuration 5
Automatic Configuration 5
Quick Configuration Guide 6
Hooks & Internals in LynxOS 6
Features ................................................................................................................................... 7
Display of Kernel Resources 7
Thread Stack Coverage 7
Task Related Breakpoints 8
Task Context Display 9
MMU Support 9
Symbol Autoloader 10
Dynamic Task Performance Measurement 11
Task Runtime Statistics 12
Task State Analysis 12
Function Runtime Statistics 13
LynxOS specific Menu 15
Debugging LynxOS Kernel and User Processes ................................................................. 16
LynxOS Kernel 16
User Processes 18
LynxOS Commands ................................................................................................................ 21
TASK.Driver Display drivers 21
TASK.MMU.SCAN Scan process MMU space 21
TASK.Process Display processes 22
TASK.sYmbol Process symbol management 23
TASK.sYmbol.DELete Unload process symbols & MMU 23
TASK.sYmbol.LOAD Load process symbols & MMU 23
TASK.sYmbol.Option Set symbol management options 24
TASK.TASKState Mark thread state words 26
TRACE32 Directory 155 ©1989-2017 Lauterbach GmbH
TASK.Thread Display threads 26
TASK.Watch Watch processes 27
TASK.Watch.ADD Add process to watch list 27
TASK.Watch.DELete Remove process from watch list 27
TASK.Watch.DISable Disable watch system 28
TASK.Watch.DISableBP Disable process creation breakpoints 28
TASK.Watch.ENable Enable watch system 28
TASK.Watch.ENableBP Enable process creation breakpoints 28
TASK.Watch.View Show watched processes 29
LynxOS PRACTICE Functions ............................................................................................... 31
Frequently-Asked Questions ................................................................................................. 31
RTOS Debugger for MicroC/OS-II
RTOS Debugger for MicroC/OS-II ...................................................................(rtos_ucos.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 4
Supported Versions ................................................................................................................ 4
Configuration ........................................................................................................................... 5
Manual Configuration 5
Automatic Configuration 7
Quick Configuration Guide 7
Hooks & Internals in µC/OS-II 7
Features ................................................................................................................................... 9
Display of Kernel Resources 9
Task Stack Coverage 9
Task Related Breakpoints 10
Task Context Display 11
Dynamic Task Performance Measurement 11
Task Runtime Statistics 12
Task State Analysis 13
Function Runtime Statistics 14
µC/OS-II specific Menu 15
µC/OS-II Commands ................................................................................................................ 16
TASK.Event Display events 16
TASK.Flag Display flags 16
TASK.Memory Display memory partitions 17
TASK.PARtition Display space partitions 17
TASK.PROCess Display MPU processes 18
TASK.Task Display tasks 18
TASK.TASKState Mark task state words 19
TRACE32 Directory 156 ©1989-2017 Lauterbach GmbH
TASK.TImer Display timers 19
µC/OS-II PRACTICE Functions ............................................................................................... 20
Frequently-Asked Questions ................................................................................................. 20
RTOS Debugger for MicroC/OS-III
RTOS Debugger for MicroC/OS-III ................................................................(rtos_ucos3.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 3
Supported Versions ................................................................................................................ 3
Configuration ........................................................................................................................... 4
Manual Configuration 4
Automatic Configuration 4
Quick Configuration Guide 5
Hooks & Internals in µC/OS-II3 5
Features ................................................................................................................................... 6
Display of Kernel Resources 6
Task Stack Coverage 6
Task Related Breakpoints 7
Task Context Display 8
Dynamic Task Performance Measurement 8
Task Runtime Statistics 9
Task State Analysis 9
Function Runtime Statistics 10
µC/OS-III specific Menu 12
µC/OS-III Commands ............................................................................................................... 13
TASK.eventFLAG Display event flags 13
TASK.MEMory Display memory partitions 13
TASK.MUTEX Display mutexes 14
TASK.Queue Display message queues 14
TASK.SEMaphore Display semaphores 15
TASK.Task Display tasks 15
TASK.TiMeR Display timers 16
µC/OS-III PRACTICE Functions .............................................................................................. 18
Frequently-Asked Questions ................................................................................................. 18
RTOS Debugger for MicroC3/Compact
RTOS Debugger for MicroC3/Compact .................................................... (rtos_uc3cmp.pdf) 1
Overview .................................................................................................................................. 2
TRACE32 Directory 157 ©1989-2017 Lauterbach GmbH
Brief Overview of Documents for New Users ....................................................................... 3
Supported Versions ................................................................................................................ 3
Configuration ........................................................................................................................... 4
Manual Configuration 4
Automatic Configuration 4
Quick Configuration Guide 5
Hooks & Internals in MicroC3/Cmp 5
Features ................................................................................................................................... 6
Display of Kernel Resources 6
Task Stack Coverage 6
Task Related Breakpoints 7
Dynamic Task Performance Measurement 8
Task Runtime Statistics 8
Function Runtime Statistics 9
MicroC3/Cmp specific Menu 11
MicroC3/Cmp Commands ....................................................................................................... 12
TASK.CYClic Display cyclic handlers 12
TASK.DaTaQueue Display data queues 12
TASK.FLaG Display event flags 13
TASK.MailBoX Display mailboxes 13
TASK.MemPoolF Display fixed memory pools 14
TASK.SEMaphore Display semaphores 14
TASK.TaSK Display tasks 15
MicroC3/Cmp PRACTICE Functions ...................................................................................... 16
Frequently-Asked Questions ................................................................................................. 16
RTOS Debugger for MicroC3/Standard
RTOS Debugger for MicroC3/Standard ...................................................... (rtos_uc3std.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 4
Supported Versions ................................................................................................................ 4
Configuration ........................................................................................................................... 5
Manual Configuration 5
Automatic Configuration 5
Quick Configuration Guide 6
Hooks & Internals in MicroC3/Std 6
Features ................................................................................................................................... 7
Display of Kernel Resources 7
Task Related Breakpoints 7
TRACE32 Directory 158 ©1989-2017 Lauterbach GmbH
Dynamic Task Performance Measurement 8
Task Runtime Statistics 9
Function Runtime Statistics 10
MicroC3/Std specific Menu 12
MicroC3/Std Commands ......................................................................................................... 13
TASK.ALarM Display alarm handlers 13
TASK.CYClic Display cyclic handlers 13
TASK.DaTaQueue Display data queues 14
TASK.FLaG Display event flags 14
TASK.ISR Display interrupt service routines 15
TASK.MailBoX Display mailboxes 15
TASK.MemPoolF Display fixed memory pools 16
TASK.MemPoolL Display variable memory pools 16
TASK.MsgBuFfer Display message buffers 17
TASK.MuTeX Display mutexes 17
TASK.PORt Display rendezvous ports 18
TASK.SEMaphore Display semaphores 18
TASK.TaSK Display tasks 19
MicroC3/Std PRACTICE Functions ........................................................................................ 20
Frequently-Asked Questions ................................................................................................. 20
RTOS Debugger for MQX
RTOS Debugger for MQX ..................................................................................(rtos_mqx.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 4
Supported Versions ................................................................................................................ 4
Configuration ........................................................................................................................... 5
Manual Configuration 5
Automatic Configuration 5
Quick Configuration Guide 6
Hooks & Internals in MQX 6
Features ................................................................................................................................... 7
Display of Kernel Resources 7
Task Stack Coverage 7
Task Related Breakpoints 8
Task Context Display 9
Dynamic Task Performance Measurement 9
Task Runtime Statistics 10
Task State Analysis 10
Function Runtime Statistics 11
TRACE32 Directory 159 ©1989-2017 Lauterbach GmbH
MQX specific Menu 13
MQX Commands ...................................................................................................................... 14
TASK.EVent Display events 14
TASK.KLog Display kernel log 14
TASK.LWEvent Display light weight events 15
TASK.LWMEMPool Display light weight memory pools 16
TASK.LWMsgQ Display light weight message queues 16
TASK.LWSem Display light weight semaphores 16
TASK.MEMPool Display memory pools 17
TASK.MeSsaGe.POOL Display message pools 17
TASK.MeSsaGe.QUEUE Display message queues 18
TASK.MuteX Display mutexes 19
TASK.SEMaphore Display semaphores 19
TASK.TASK Display tasks 20
TASK.TASKQueue Display task queues 20
TASK.TASKState Mark task state words 21
MQX PRACTICE Functions ..................................................................................................... 22
Frequently-Asked Questions ................................................................................................. 22
RTOS Debugger for MTOS-UX
RTOS Debugger for MTOS-UX ....................................................................... (rtos_mtos.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 3
Configuration ........................................................................................................................... 4
Manual Configuration 4
Automatic Configuration 5
Hooks & Internals of MTOS-UX 5
Features ................................................................................................................................... 6
SYSC Terminal Emulation 6
Display of Kernel Resources 7
Task Runtime Statistics 8
Task State Analysis 9
Function Runtime Statistics 10
Task Stack Coverage 11
MTOS-UX specific Menu 11
MTOS-UX Commands ............................................................................................................. 12
TASK.DispEvent Display global event flags 12
TASK.DispFixed Display fixed pools 12
TASK.DispmBuff Display message buffers 13
TASK.DispMbx Display mailboxes 13
TRACE32 Directory 160 ©1989-2017 Lauterbach GmbH
TASK.DispPool Display common pools 14
TASK.DispSem Display semaphores 15
TASK.DispsVar Display shared variables 15
TASK.DispTask Display tasks 16
TASK.DispTIme Display time & TOD 17
TASK.DispUnit Display peripheral units 17
TASK.MAP Mapping suggestion 17
TASK.TASKState Mark task state words 18
MTOS-UX PRACTICE Functions ............................................................................................ 19
Frequently-Asked Questions ................................................................................................. 19
RTOS Debugger for NetBSD
RTOS Debugger for NetBSD ....................................................................... (rtos_netbsd.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 4
Supported Versions ................................................................................................................ 4
Configuration ........................................................................................................................... 5
Manual Configuration 5
Automatic Configuration 5
Quick Configuration Guide 6
Hooks & Internals in NetBSD 6
Features ................................................................................................................................... 7
Display of Kernel Resources 7
Task Related Breakpoints 7
MMU Support 8
Dynamic Task Performance Measurement 9
Task Runtime Statistics 9
Function Runtime Statistics 10
NetBSD specific Menu 11
Debugging NetBSD Kernel and User Processes .................................................................. 12
NetBSD Kernel 12
User Processes 13
NetBSD Commands ................................................................................................................ 15
TASK.LWP Display LWPs 15
TASK.MMU.SCAN Scan process MMU space 15
TASK.Process Display processes 16
NetBSD PRACTICE Functions ............................................................................................... 17
Frequently-Asked Questions ................................................................................................. 17
TRACE32 Directory 161 ©1989-2017 Lauterbach GmbH
RTOS Debugger for NORTi
RTOS Debugger for NORTi .............................................................................. (rtos_norti.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 4
Supported Versions ................................................................................................................ 4
Configuration ........................................................................................................................... 5
Manual Configuration 5
Automatic Configuration 5
Quick Configuration Guide 6
Hooks & Internals in NORTi 6
Features ................................................................................................................................... 7
Display of Kernel Resources 7
Task Stack Coverage 8
Task Related Breakpoints 8
Dynamic Task Performance Measurement 9
Task Runtime Statistics 10
Task State Analysis 11
Function Runtime Statistics 12
NORTi specific Menu 13
NORTi Commands ................................................................................................................... 14
TASK.ALarM Display alarm handlers 14
TASK.CYClic Display cyclic handlers 14
TASK.DaTaQueue Display data queues 14
TASK.FLaG Display event flags 15
TASK.ISR Display interrupt service routines 15
TASK.MailBoX Display mailboxes 16
TASK.MemPoolF Display fixed memory pools 16
TASK.MemPoolL Display variable memory pools 17
TASK.MsgBuFfer Display message buffers 17
TASK.MuTeX Display mutexes 18
TASK.PORt Display rendezvous ports 18
TASK.SEMaphore Display semaphores 19
TASK.TaSK Display tasks 20
NORTi PRACTICE Functions .................................................................................................. 21
Frequently-Asked Questions ................................................................................................. 21
RTOS Debugger for Nucleus PLUS
RTOS Debugger for Nucleus PLUS .......................................................... (rtos_nucleus.pdf) 1
Overview .................................................................................................................................. 3
TRACE32 Directory 162 ©1989-2017 Lauterbach GmbH
Brief Overview of Documents for New Users ....................................................................... 4
Supported Versions ................................................................................................................ 4
Configuration ........................................................................................................................... 5
Manual Configuration 5
Automatic Configuration 6
Quick Configuration Guide 6
Hooks & Internals of Nucleus PLUS 7
Features ................................................................................................................................... 8
DBUG+ Terminal Emulation 8
Display of Kernel Resources 8
Display of History Component 9
Task Stack Coverage 9
Task Related Breakpoints 10
Task Context Display 11
SMP Support 11
Dynamic Task Performance Measurement 12
Task Runtime Statistics 12
Task State Analysis 14
Function Runtime Statistics 15
Nucleus specific Menu 17
Debugging Nucleus Processes 17
Nucleus Commands ................................................................................................................ 19
TASK.DynMem Display dynamic memory status 19
TASK.EventStat Display event group status 19
TASK.HIsr Display HISRs 20
TASK.HISTory Display Nucleus history 20
TASK.MbxStat Display mailbox status 21
TASK.PartMem Display partition memory status 21
TASK.PipeStat Display pipe status 22
TASK.ProcList Display process list 22
TASK.QueueStat Display queue status 23
TASK.SemaStat Display semaphore status 24
TASK.TaskStat Display task status 24
TASK.TASKState Mark task state words 25
TASK.TImerstat Display timer status 26
Nucleus PLUS PRACTICE Functions .................................................................................... 27
Frequently-Asked Questions ................................................................................................. 27
RTOS Debugger for OKL4
RTOS Debugger for OKL4 ................................................................................(rtos_okl4.pdf) 1
TRACE32 Directory 163 ©1989-2017 Lauterbach GmbH
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 3
Supported Versions ................................................................................................................ 3
Configuration ........................................................................................................................... 4
Manual Configuration 4
Automatic Configuration 4
Quick Configuration Guide 5
Hooks & Internals in OKL4 5
Features ................................................................................................................................... 6
Display of Kernel Resources 6
Task Related Breakpoints 6
Task Context Display 7
MMU Support 8
Dynamic Task Performance Measurement 8
Task Runtime Statistics 8
Task State Analysis 9
Function Runtime Statistics 10
OKL4 Specific Menu 12
OKL4 Commands .................................................................................................................... 13
TASK.CList Display capability lists 13
TASK.MMU.SCAN Scan MMU address space 13
TASK.Space Display address spaces 14
TASK.Thread Display threads 15
OKL4 PRACTICE Functions ................................................................................................... 16
Frequently-Asked Questions ................................................................................................. 16
RTOS Debugger for OS21
RTOS Debugger for OS21 ................................................................................(rtos_os21.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 3
Supported Versions ................................................................................................................ 3
Configuration ........................................................................................................................... 4
Manual Configuration 4
Automatic Configuration 4
Quick Configuration Guide 5
Hooks & Internals in OS21 5
Features ................................................................................................................................... 6
Display of Kernel Resources 6
Task Stack Coverage 6
TRACE32 Directory 164 ©1989-2017 Lauterbach GmbH
Task Related Breakpoints 7
Task Context Display 8
Dynamic Task Performance Measurement 8
Task Runtime Statistics 8
Task State Analysis 9
Function Runtime Statistics 10
OS21 specific Menu 12
OS21 Commands ..................................................................................................................... 13
TASK.EVenT Display event groups 14
TASK.MeSsaGe Display message queue 15
TASK.MuTeX Display mutexes 16
TASK.PARTition Display partition 17
TASK.SEMaphore Display semaphores 17
TASK.Task Display tasks 18
TASK.TASKState Mark task state words 19
OS21 PRACTICE Functions .................................................................................................... 20
Frequently-Asked Questions ................................................................................................. 20
RTOS Debugger for OS-9
RTOS Debugger for OS-9 ..................................................................................(rtos_os9.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 4
Configuration ........................................................................................................................... 5
Hooks in OS-9 6
Features ................................................................................................................................... 7
Display of Kernel Resources 7
Symbol Relocation 7
Function Runtime Statistics 8
Task Runtime Analysis 8
Task State Analysis 9
Task Selective Debugging 9
System Calls 9
OS-9 Commands ..................................................................................................................... 10
sYmbol.RELOCate.Auto Control automatic relocation 10
sYmbol.RELOCate.Base Define base address 10
sYmbol.RELOCate.List List relocation info 11
sYmbol.RELOCate.Magic Define program magic 11
sYmbol.RELOCate.Passive Define passive base address 12
TASK.ALARMS Alarm table 13
TASK.CCTL Cache control 13
TRACE32 Directory 165 ©1989-2017 Lauterbach GmbH
TASK.DEVS Device table 14
TASK.EVENTS Event table 14
TASK.EXIT Exit system call 14
TASK.IRQS Interrupt polling table 15
TASK.MDIR Module table 15
TASK.MFREE Free memory 15
TASK.PROCS Process table 16
TASK.PROCSL Extended process table 16
TASK.QUEUES Process queues 17
TASK.SEND Send signal 17
TASK.SysCall Generic system call 17
TASK.SYSGLOB Display time 18
OS9 specific Functions ........................................................................................................... 19
Frequently-Asked Questions ................................................................................................. 19
RTOS Debugger for OSE Classic
RTOS Debugger for OSE Classic ........................................................(rtos_ose_classic.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 3
Supported Version .................................................................................................................. 3
Configuration ........................................................................................................................... 4
Manual Configuration 5
Automatic Configuration 6
Quick Configuration Guide 6
Hooks & Internals in OSE Classic 6
Features ................................................................................................................................... 7
Display of Kernel Resources 7
Task Runtime Statistics 7
Task State Analysis 8
Function Runtime Statistics 8
System Calls 8
OSE Classic specific Menu 9
OSE Classic Commands ......................................................................................................... 10
TASK.DProc Process table 10
TASK.DPS Process statistics table 11
TASK.DQ Signal queue table 12
TASK.DS Signal names 12
TASK.SysCall Execute OS68 system call 13
TASK.TASKState Mark task state words 13
OSE Classic PRACTICE Functions ........................................................................................ 14
TRACE32 Directory 166 ©1989-2017 Lauterbach GmbH
Frequently-Asked Questions ................................................................................................. 14
RTOS Debugger for OSE Delta
RTOS Debugger for OSE Delta .............................................................. (rtos_ose_delta.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 4
Supported Versions ................................................................................................................ 4
Configuration ........................................................................................................................... 5
Manual Configuration 5
Automatic Configuration 6
Quick Configuration Guide 6
Hooks & Internals in OSE Delta 7
Features ................................................................................................................................... 8
Terminal Emulation for dbgprintf 8
Display of Kernel Resources 8
Task Stack Coverage 8
Task Related Breakpoints 9
Task Context Display 10
MMU Support 11
SMP Support 13
Dynamic Task Performance Measurement 13
Task Runtime Statistics 14
Task State Analysis 15
Function Runtime Statistics 16
OSE Delta specific Menu 17
Debugging OSE Load Modules 17
OSE Delta Commands ............................................................................................................ 22
TASK.DBIOS Display bios modules 22
TASK.DBLock Display blocks 22
TASK.DConf Display kernel configuration 23
TASK.DLoadMod Display load modules 23
TASK.DPOOL Display pools 24
TASK.DProc Display processes 25
TASK.DProGram Display loaded programs 26
TASK.MMU.SCAN Scan OSE MMU 27
TASK.RAMLOG Display ramlog 27
TASK.SYMbol Symbol handling of load modules 28
TASK.TASKState Mark task state words 29
PRACTICE Functions 30
Frequently-Asked Questions ................................................................................................. 30
TRACE32 Directory 167 ©1989-2017 Lauterbach GmbH
RTOS Debugger for OSE Epsilon
RTOS Debugger for OSE Epsilon ...................................................... (rtos_ose_epsilon.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 3
Supported Versions ................................................................................................................ 3
Configuration ........................................................................................................................... 4
Manual Configuration 4
Automatic Configuration 4
Quick Configuration Guide 5
Hooks & Internals in OSE Epsilon 5
Features ................................................................................................................................... 6
Display of Kernel Resources 6
Task Runtime Statistics 7
Task State Analysis 8
Function Runtime Statistics 9
Task Stack Coverage 10
OSE Epsilon specific Menu 10
OSE Epsilon Commands ........................................................................................................ 11
TASK.DProc Display processes 11
TASK.DQueue Display signal queue 11
TASK.TASKState Mark task state words 12
OSE Epsilon PRACTICE Functions ....................................................................................... 13
Frequently-Asked Questions ................................................................................................. 13
RTOS Debugger for OSEck
RTOS Debugger for OSEck ...........................................................................(rtos_oseck.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 3
Supported Versions ................................................................................................................ 3
Configuration ........................................................................................................................... 4
Manual Configuration 4
Automatic Configuration 4
Quick Configuration Guide 5
Hooks & Internals in OSEck 5
Features ................................................................................................................................... 6
Display of Kernel Resources 6
Task Stack Coverage 6
Task Related Breakpoints 7
TRACE32 Directory 168 ©1989-2017 Lauterbach GmbH
Dynamic Task Performance Measurement 8
Task Runtime Statistics 9
Task State Analysis 10
Function Runtime Statistics 11
OSEck specific Menu 11
OSEck Commands .................................................................................................................. 12
TASK.PooL Display pools 12
TASK.Process Display processes 13
TASK.SysInfo Display system information 14
OSEck PRACTICE Functions ................................................................................................. 15
Frequently-Asked Questions ................................................................................................. 15
RTOS Debugger for OSEK/ORTI
RTOS Debugger for OSEK/ORTI
RTOS Debugger for OSEK/ORTI .................................................................... (rtos_orti.pdf) 1
Overview ............................................................................................................................... 2
Brief Overview of Documents for New Users .................................................................... 2
Supported Versions ............................................................................................................. 3
Configuration ........................................................................................................................ 4
Quick Configuration Guide 4
Hooks and Internals in ORTI 5
Features ................................................................................................................................ 7
Display of Kernel Resources 7
Task Stack Coverage 7
Task Related Breakpoints 8
Task Context Display 9
Dynamic Task Performance Measurement 9
Task Runtime Statistics 9
Task State Analysis 11
Service Runtime Statistics 11
ISR2 Runtime Statistics 12
Function Runtime Statistics 13
OSEK/ORTI specific Menu 15
ORTI Commands .................................................................................................................. 16
TASK.D<object> Display OSEK objects 16
ORTI PRACTICE Functions ................................................................................................. 17
Frequently-Asked Questions .............................................................................................. 17
TRACE32 Directory 169 ©1989-2017 Lauterbach GmbH
Application Note for OSEK/ORTI
Trace Export for Third-Party Timing Tools ................................. (app_timing_tools.pdf) 1
Introduction ....................................................................................................................... 2
Requirements .................................................................................................................... 4
Processing ......................................................................................................................... 5
Example .............................................................................................................................. 7
Related Documents 7
Environment 7
Step 1: Create an AUTOSAR/OSEK Application 8
Step 2: Set up TRACE32 and Run the Application 9
Step 3: Set up Real-time Trace within TRACE32 11
Step 4: Run the Program Execution to Fill the Trace 14
Step 5: Set up Markers for Trace Export 17
Step 6: Export Task Events 18
Timing Tools ...................................................................................................................... 19
Symtavision TraceAnalyzer 19
INCHRON chronVIEW 20
Timing Architects - Inspector 24
RTOS Debugger for PikeOS
RTOS Debugger for PikeOS .........................................................................(rtos_pikeos.pdf) 1
Overview .................................................................................................................................. 4
Brief Overview of Documents for New Users ....................................................................... 5
Supported Versions ................................................................................................................ 5
Configuration ........................................................................................................................... 6
Manual Configuration 6
Automatic Configuration 6
Quick Configuration Guide 7
Hooks and Internals in PikeOS 7
Features ................................................................................................................................... 9
Display of Kernel Resources 9
Task Stack Coverage 9
Task Related Breakpoints 10
Task Context Display 12
MMU Support 14
Symbol Autoloader 16
SMP Support 18
POSIX Personality 19
TRACE32 Directory 170 ©1989-2017 Lauterbach GmbH
APEX Personality 19
Linux Personality 19
Dynamic Task Performance Measurement 19
Task Runtime Statistics 20
Function Runtime Statistics 21
PikeOS specific Menu 23
Debugging PikeOS Components ........................................................................................... 24
PikeOS Kernel 24
System Extensions 25
User Tasks 26
POSIX 28
APEX 29
ELinOS 30
PikeOS Commands ................................................................................................................. 33
EXTension.AXProcess Display APEX processes 33
EXTension.ELModule Display ELinOS modules 33
EXTension.ELProcess Display ELinOS processes 34
EXTension.ELThread Display ELinOS threads 34
EXTension.PXThread Display POSIX threads 35
TASK.INFO Display system information 36
TASK.Option Set awareness options 36
TASK.ResPart Display resource partitions 37
TASK.TaskFile.ADD Map file name to task name 37
TASK.TaskFile.view Display file name to task name mapping 37
TASK.TaskList Display ‘PikeOS’ tasks 38
TASK.ThrliSt Display threads 39
PikeOS PRACTICE Functions ................................................................................................ 40
TASK.CONFIG(magic) Address of magic number 40
TASK.CONFIG(magicsize) Size of magic number 40
TASK.TASKID() ID of task 40
TASK.TASKNAME() Name of task 40
TASK.TASKFILE() Symbol file name of task 41
EXT.ELINOS.SPACEID() Space ID of ELinOS personality 41
EXT.ELPROCESS.NAME() Name of ELinOS process 41
EXT.ELLIBRARY.ADDRESS() Load address of ELinOS library 41
EXT.ELLIBRARY.SPACEID() Space ID of ELinOS library 42
EXT.ELLIBRARY.NAME() Name of ELinOS library 42
EXT.ELMODULE.MAGIC() Magic of ELinOS module 42
EXT.ELMODULE.NAME() Name of ELinOS module 42
EXT.ELMODULE.SECADDR() Section address of ELinOS module 43
Frequently-Asked Questions ................................................................................................. 43
TRACE32 Directory 171 ©1989-2017 Lauterbach GmbH
RTOS Debugger for PrKERNEL
RTOS Debugger for PrKERNEL ................................................................(rtos_prkernel.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 4
Supported Versions ................................................................................................................ 4
Configuration ........................................................................................................................... 5
Manual Configuration 5
Automatic Configuration 5
Quick Configuration Guide 6
Hooks & Internals in PrKERNEL 6
Features ................................................................................................................................... 7
Display of Kernel Resources 7
Task Stack Coverage 7
Task Related Breakpoints 8
Task Context Display 9
Dynamic Task Performance Measurement 10
Task Runtime Statistics 10
Function Runtime Statistics 11
PrKERNEL specific Menu 12
PrKERNEL Commands ........................................................................................................... 13
TASK.ALarM Display alarm handlers 13
TASK.CYClic Display cyclic handlers 13
TASK.DaTaQueue Display data queues 14
TASK.FLaG Display event flags 14
TASK.MailBoX Display mailboxes 15
TASK.MemPoolF Display fixed memory pools 15
TASK.MemPoolL Display variable memory pools 16
TASK.MsgBuFfer Display message buffers 16
TASK.MuTeX Display mutexes 17
TASK.PORt Display ports 17
TASK.SEMaphore Display semaphores 18
TASK.TaSK Display tasks 18
PrKERNEL PRACTICE Functions .......................................................................................... 20
Frequently-Asked Questions ................................................................................................. 20
RTOS Debugger for pSOS+
RTOS Debugger for pSOS+ .............................................................................(rtos_psos.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 4
TRACE32 Directory 172 ©1989-2017 Lauterbach GmbH
Supported Versions ................................................................................................................ 4
Configuration ........................................................................................................................... 5
Manual Configuration 5
Automatic Configuration 6
Quick Configuration Guide 7
Hooks & Internals in pSOS 7
Features ................................................................................................................................... 8
Display of Kernel Resources 8
TRACE32 Board Support Package with pROBE+ Terminal Emulation 9
Task Runtime Statistics 9
Task State Analysis 9
Function Runtime Statistics 10
System Calls 10
Task Selective Debugging 10
pSOS specific Menu 10
pSOS Commands for i386, M68k and PPC ........................................................................... 11
TASK.QC Configuration 11
TASK.QD Date and time 12
TASK.QO Objects 13
TASK.QP Partitions 13
TASK.QQ Queues 14
TASK.QR Regions 15
TASK.QS Semaphores 16
TASK.QT Tasks 17
TASK.QV Version 18
TASK.SC System calls 19
TASK.TASKState Mark task state words 19
pSOSx86 Commands .............................................................................................................. 20
TASK.QC Configuration 20
TASK.QP Process table 21
TASK.QX Exchange table 21
TASK.QT Time 22
TASK.QM Memory 22
TASK.TASKState Mark task state words 23
pSOS PRACTICE Functions ................................................................................................... 24
Frequently-Asked Questions ................................................................................................. 24
RTOS Debugger for PXROS
RTOS Debugger for PXROS .......................................................................... (rtos_pxros.pdf) 1
Overview .................................................................................................................................. 2
TRACE32 Directory 173 ©1989-2017 Lauterbach GmbH
Brief Overview of Documents for New Users ....................................................................... 3
Supported Versions ................................................................................................................ 3
Configuration ........................................................................................................................... 4
Manual Configuration 4
Automatic Configuration 5
Quick Configuration Guide 5
Hooks & Internals in PXROS 6
Features ................................................................................................................................... 7
Display of Kernel Resources 7
Task Stack Coverage 7
Task Related Breakpoints 8
Task Context Display 9
Dynamic Task Performance Measurement 9
Task Runtime Statistics 10
Function Runtime Statistics 11
PXROS Specific Menu 14
PXROS Commands ................................................................................................................. 15
TASK.ListmbX Display mailboxes 15
TASK.ListObject List objects 15
TASK.ListObj.DeLaY Display delay objects 16
TASK.ListObj.MailBoX Display mailboxes 16
TASK.ListObj.MemClass Display memory classes 17
TASK.ListObj.MeSsaGe Display message objects 17
TASK.ListObj.OPool Display object pools 18
TASK.ListTask Display task table 18
Frequently-Asked Questions ................................................................................................. 18
RTOS Debugger for QNX - Run Mode
RTOS Debugger for QNX - Run Mode ......................................................(rtos_qnx_run.pdf) 1
Basic Concepts ....................................................................................................................... 2
pdebug ..................................................................................................................................... 2
Switching to Run Mode Debugging ....................................................................................... 2
The Space ID for Run Mode Debugging 3
Process Debugging 4
Quick Start Example for ARM ................................................................................................ 6
Switching between Run & Stop Mode Debugging ............................................................... 8
Commands for Run Mode Debugging ................................................................................... 12
Breakpoint Conventions ......................................................................................................... 13
TRACE32 Directory 174 ©1989-2017 Lauterbach GmbH
Frequently-Asked Questions ................................................................................................. 13
RTOS Debugger for QNX - Stop Mode
RTOS Debugger for QNX - Stop Mode ................................................... (rtos_qnx_stop.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 4
Supported Versions ................................................................................................................ 4
Configuration ........................................................................................................................... 5
Manual Configuration 5
Automatic Configuration 5
Quick Configuration Guide 6
Hooks & Internals in QNX 6
Features ................................................................................................................................... 7
Display of Kernel Resources 7
Task Stack Coverage 7
Task Related Breakpoints 8
Task Context Display 9
MMU Support 11
Symbol Autoloader 14
SMP Support 16
Dynamic Task Performance Measurement 17
Task Runtime Statistics 17
Task State Analysis 19
Function Runtime Statistics 20
QNX specific Menu 21
Debugging QNX Components ................................................................................................ 24
Initial Program Loader (IPL) 24
QNX Kernel 24
User Processes 26
Trapping Segmentation Violation 29
QNX Commands ...................................................................................................................... 31
TASK.MMU.SCAN Scan process MMU space 31
TASK.Option Set awareness options 31
TASK.PIDIN Display “pidin” like information 32
TASK.Process Display processes 33
TASK.sYmbol Process symbol management 34
TASK.sYmbol.DELete Unload process symbols & MMU 34
TASK.sYmbol.DELeteLib Unload library symbols 34
TASK.sYmbol.LOAD Load process symbols & MMU 35
TASK.sYmbol.LOADLib Load library symbols 35
TRACE32 Directory 175 ©1989-2017 Lauterbach GmbH
TASK.sYmbol.Option Set symbol management options 36
TASK.TASKState Mark thread state words 38
TASK.Thread Display threads 39
TASK.TLOGger Display tracelogger buffer 40
TASK.TLOGger.VMLOGger Copy tracelogger buffer to LOGGER 41
TASK.Watch Watch processes 42
TASK.Watch.ADD Add process to watch list 42
TASK.Watch.DELete Remove process from watch list 42
TASK.Watch.DISable Disable watch system 43
TASK.Watch.DISableBP Disable process creation breakpoints 43
TASK.Watch.ENable Enable watch system 43
TASK.Watch.ENableBP Enable process creation breakpoints 44
TASK.Watch.View Show watched processes 44
QNX PRACTICE Functions ..................................................................................................... 47
TASK.CONFIG(magic) Address of magic number 47
TASK.CONFIG(magicsize) Size of magic number 47
TASK.CURRENT() Current process or thread 47
TASK.PROC.SPACE() Space ID of process 48
TASK.PROC.MAGIC() Magic number of process 48
TASK.PROC.NAME() Name of process 48
TASK.PROC.THREADS() List of threads 48
TASK.PROC.SID2MAGIC() Process of space ID 49
TASK.LIB.ADDRESS() Address of library 49
Appendix .................................................................................................................................. 50
Appendix A: Kernel debug information 50
Frequently-Asked Questions ................................................................................................. 51
RTOS Debugger for REALOS
RTOS Debugger for REALOS ....................................................................... (rtos_realos.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 4
Supported Versions ................................................................................................................ 4
Configuration ........................................................................................................................... 5
Manual Configuration 5
Automatic Configuration 5
Quick Configuration Guide 6
Hooks & Internals in REALOS 6
Features ................................................................................................................................... 7
Display of Kernel Resources 7
Task Related Breakpoints 8
TRACE32 Directory 176 ©1989-2017 Lauterbach GmbH
Task Stack Coverage 9
Task Context Display 9
Dynamic Task Performance Measurement 10
Task Runtime Statistics 10
Function Runtime Statistics 12
REALOS specific Menu 13
REALOS Commands ............................................................................................................... 14
TASK.ALarM Display alarm handlers 14
TASK.CYClic Display cyclic handlers 14
TASK.DaTaQueue Display data queues 15
TASK.FLaG Display event flags 15
TASK.MailBoX Display mailboxes 16
TASK.MemPoolF Display fixed memory pools 16
TASK.MemPoolL Display variable memory pools 17
TASK.MsgBuFfer Display message buffers 17
TASK.MuTeX Display mutexes 18
TASK.SEMaphore Display semaphores 19
TASK.TaSK Display tasks 19
MicroC3/Std PRACTICE Functions ........................................................................................ 20
Frequently-Asked Questions ................................................................................................. 20
RTOS Debugger for RealTimeCraft
RTOS Debugger for RealTimeCraft .................................................. (rtos_realtimecraft.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 2
Configuration ........................................................................................................................... 4
Quick Configuration 4
Hooks in XEC 68 5
Features ................................................................................................................................... 6
Display of Kernel Resources 6
Function Runtime Statistics 6
Task Runtime Analysis 6
Task State Analysis 7
System Call Trace 7
XEC 68 Commands ................................................................................................................. 8
TASK.DeLaY Delay table 8
TASK.MailBoX Mailbox table 8
TASK.SEMaphore Semaphore table 9
TASK.SysCall Execute XEC 68 system call 9
TASK.TASK Task table 10
TRACE32 Directory 177 ©1989-2017 Lauterbach GmbH
Frequently-Asked Questions ................................................................................................. 10
RTOS Debugger for RTEMS
RTOS Debugger for RTEMS .......................................................................... (rtos_rtems.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 4
Supported Versions ................................................................................................................ 4
Configuration ........................................................................................................................... 5
Manual Configuration 5
Automatic Configuration 5
Quick Configuration Guide 6
Hooks & Internals in RTEMS 6
Features ................................................................................................................................... 7
Display of Kernel Resources 7
Task Stack Coverage 8
Task Related Breakpoints 8
Dynamic Task Performance Measurement 9
Task Runtime Statistics 9
Function Runtime Statistics 10
Task State Analysis 11
RTEMS specific Menu 13
RTEMS Commands ................................................................................................................. 14
TASK.INFO Display API information 14
TASK.INTernal.Mutex Display internal mutexes 14
TASK.INTernal.Thread Display internal threads 15
TASK.Posix.CondVar Display POSIX condition variables 15
TASK.Posix.Mutex Display POSIX mutexes 15
TASK.Rtems.Extension Display RTEMS extensions 16
TASK.Rtems.MsgQueue Display RTEMS message queues 17
TASK.Rtems.Partition Display RTEMS partitions 17
TASK.Rtems.PEriod Display RTEMS periods 18
TASK.Rtems.POrt Display RTEMS ports 18
TASK.Rtems.Region Display RTEMS regions 18
TASK.Rtems.Semaphore Display RTEMS semaphores 19
TASK.Rtems.Task Display RTEMS tasks 20
TASK.Rtems.TImer Display RTEMS timers 20
TASK.Thread Display all threads 21
RTEMS PRACTICE Functions ................................................................................................ 22
Frequently-Asked Questions ................................................................................................. 22
TRACE32 Directory 178 ©1989-2017 Lauterbach GmbH
RTOS Debugger for RTOS/7700
RTOS Debugger for RTOS/7700 ................................................................... (rtos_rt7700.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 2
Configuration ........................................................................................................................... 4
Automatic Configuration 4
Quick Configuration 5
Hooks in RTOS/7700 5
Features ................................................................................................................................... 6
Display of Kernel Resources 6
Function Runtime Statistics 6
Task Runtime Analysis 6
Task State Analysis 7
Task Stack Coverage 7
RTOS/7700 specific Menu 7
RTOS/7700 Commands ........................................................................................................... 8
TASK.MailBox Display mailbox 8
TASK.TaskList Display task list 8
PRACTICE Functions .............................................................................................................. 9
Frequently-Asked Questions ................................................................................................. 9
RTOS Debugger for RTX166
RTOS Debugger for RTX166 ......................................................................... (rtos_rtx166.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 3
Configuration ........................................................................................................................... 4
Quick Configuration 6
Hooks in RTX166 6
Features ................................................................................................................................... 7
Display of Kernel Resources 7
Function Runtime Statistics 7
Task Runtime Analysis 7
Task State Analysis 8
System Call Trace 8
RTX166 Commands ................................................................................................................. 9
TASK.DynTaskD Dynamic task descriptors 9
TASK.IniTaskD Initial task descriptors 9
TASK.MailboxD Mailbox descriptors 10
TRACE32 Directory 179 ©1989-2017 Lauterbach GmbH
TASK.MemPoolD Memory pool descriptors 11
TASK.SemaD Semaphore descriptors 11
TASK.SysCall Execute RTX166 system call 12
Frequently-Asked Questions ................................................................................................. 12
RTOS Debugger for RTX166 tiny
RTOS Debugger for RTX166 tiny ......................................................... (rtos_rtx166_tiny.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 3
Configuration ........................................................................................................................... 5
Quick Configuration 6
Hooks in RTX166tiny 6
Features ................................................................................................................................... 7
Display of Kernel Resources 7
Function Runtime Statistics 7
Task Runtime Analysis 7
Task State Analysis 8
System Call Trace 8
RTX166tiny Commands .......................................................................................................... 9
TASK.StateTab Task state table 9
TASK.SysCall Execute RTX166tiny system call 9
TASK.TaskInfo Task information table 9
Frequently-Asked Questions ................................................................................................. 10
RTOS Debugger for RTX51
RTOS Debugger for RTX51 ............................................................................. (rtos_rtx51.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 3
Configuration ........................................................................................................................... 5
Quick Configuration 6
Hooks in RTX51 6
Features ................................................................................................................................... 7
Display of Kernel Resources 7
Function Runtime Statistics 7
Task Runtime Analysis 7
Task State Analysis 8
System Call Trace 8
RTX51 Commands ................................................................................................................... 9
TRACE32 Directory 180 ©1989-2017 Lauterbach GmbH
TASK.MailBoX Display mailbox table 9
TASK.SEMaphore Display semaphore table 9
TASK.SysCall Execute RTX51 system call 9
TASK.TASK Display task table 10
Frequently-Asked Questions ................................................................................................. 10
RTOS Debugger for RTX51 tiny
RTOS Debugger for RTX51 tiny ............................................................. (rtos_rtx51_tiny.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 3
Configuration ........................................................................................................................... 5
Quick Configuration 5
Hooks in RTX51 tiny 6
Features ................................................................................................................................... 7
Display of Kernel Resources 7
Function Runtime Statistics 7
Task Runtime Analysis 7
Task State Analysis 8
System Call Trace 8
RTX51 tiny Commands ........................................................................................................... 9
TASK.StateTab Task state table 9
TASK.SysCall Execute RTX51 tiny system call 9
TASK.TaskInfo Task information table 10
Frequently-Asked Questions ................................................................................................. 10
RTOS Debugger for RTX-ARM
RTOS Debugger for RTX-ARM .....................................................................(rtos_rtxarm.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 2
Supported Versions ................................................................................................................ 3
Configuration ........................................................................................................................... 4
Manual Configuration 4
Automatic Configuration 4
Quick Configuration Guide 5
Hooks & Internals in RTX-ARM 5
Features ................................................................................................................................... 6
Display of Kernel Resources 6
Task Stack Coverage 6
TRACE32 Directory 181 ©1989-2017 Lauterbach GmbH
Task Related Breakpoints 7
Dynamic Task Performance Measurement 8
Task Runtime Statistics 8
Task State Analysis 9
Function Runtime Statistics 10
RTX-ARM Specific Menu 12
RTX-ARM Commands ............................................................................................................. 13
TASK.Task Display tasks 13
RTX-ARM PRACTICE Functions ............................................................................................ 14
TASK.CONFIG(magic) Address of magic number 14
TASK.CONFIG(magicsize) Size of magic number 14
Frequently-Asked Questions ................................................................................................. 14
RTOS Debugger for RTXC
RTOS Debugger for RTXC ................................................................................ (rtos_rtxc.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 4
Supported Versions ................................................................................................................ 4
Configuration ........................................................................................................................... 5
Manual Configuration 5
Automatic Configuration 6
Quick Configuration Guide 6
Hooks & Internals in RTXC 6
Features ................................................................................................................................... 7
Display of Kernel Resources 7
Task Stack Coverage 7
Task Context Display 8
Task Runtime Statistics 8
Task State Analysis 8
Function Runtime Statistics 9
System Calls and Function Calls 9
RTXC specific Menu 9
RTXC Commands .................................................................................................................... 10
TASK.CLock Display clock/timers 10
TASK.taskLIST Display tasks 10
TASK.MBOX Display mailboxes 11
TASK.PART Display partitions 11
TASK.QUEUE Display queues 12
TASK.RSRC Display resources 12
TASK.SEMA Display semaphores 13
TRACE32 Directory 182 ©1989-2017 Lauterbach GmbH
TASK.SysCall Execute RTXC system call 13
TASK.TASKState Mark task state words 14
RTXC PRACTICE Functions ................................................................................................... 15
Frequently-Asked Questions ................................................................................................. 15
RTOS Debugger for RTXC Quadros
RTOS Debugger for RTXC Quadros .........................................................(rtos_quadros.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 4
Supported Versions ................................................................................................................ 4
Configuration ........................................................................................................................... 5
Manual Configuration 5
Automatic Configuration 5
Quick Configuration Guide 6
Hooks & Internals in RTXC Quadros 6
Features ................................................................................................................................... 7
Terminal Emulation 7
Display of Kernel Resources 7
Task Stack Coverage 8
Task Related Breakpoints 8
Task Context Display 9
Dynamic Task Performance Measurement 10
Task Runtime Statistics 10
Function Runtime Statistics 11
Task State Analysis 12
RTXC Quadros specific Menu 14
RTXC Quadros Commands .................................................................................................... 15
TASK.ALaRm Display alarms 15
TASK.CouNTer Display counters 16
TASK.EVenT Display event sources 17
TASK.EXCeption Display exceptions 17
TASK.LeVeL Display levels 18
TASK.MailBoX Display mailboxes 19
TASK.MuTeX Display mutex 19
TASK.PaRTition Display partitions 20
TASK.PIPe Display pipes 20
TASK.QUEue Display queues 21
TASK.SEMaphore Display semaphores 21
TASK.TaSK Display tasks 22
TASK.THRead Display threads 23
TRACE32 Directory 183 ©1989-2017 Lauterbach GmbH
RTXC Quadros PRACTICE Functions ................................................................................... 24
Frequently-Asked Questions ................................................................................................. 26
RTOS Debugger for Rubus OS
RTOS Debugger for Rubus OS ..................................................................... (rtos_rubus.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 2
Supported Versions ................................................................................................................ 3
Configuration ........................................................................................................................... 4
Manual Configuration 4
Automatic Configuration 5
Hooks in Rubus OS 5
Features ................................................................................................................................... 6
Display of Kernel Resources 6
Thread Runtime Statistics 7
Thread State Analysis 8
Function Runtime Statistics 9
Thread Stack Coverage 11
Rubus specific Menu 12
Rubus Commands ................................................................................................................... 13
TASK.MonDev I/O device list 13
TASK.MonFile Open file list 13
TASK.MonLabel Rubus information 14
TASK.MonMsg Message queue information 15
TASK.MonMuteX Blue MUTEX table 15
TASK.MonRSched Red thread table 16
TASK.MonRSList Red schedule table 16
TASK.MonThread Blue thread table 16
TASK.TASKState Mark task state words 17
Rubus PRACTICE Functions .................................................................................................. 18
Frequently-Asked Questions ................................................................................................. 18
RTOS Debugger for Sciopta
RTOS Debugger for Sciopta ....................................................................... (rtos_sciopta.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 3
Supported Versions ................................................................................................................ 3
Configuration ........................................................................................................................... 4
TRACE32 Directory 184 ©1989-2017 Lauterbach GmbH
Manual Configuration 4
Automatic Configuration 4
Quick Configuration Guide 5
Hooks & Internals in Sciopta 5
Features ................................................................................................................................... 6
Display of Kernel Resources 6
Task Stack Coverage 6
Task Related Breakpoints 7
Dynamic Task Performance Measurement 8
Task Runtime Statistics 8
Function Runtime Statistics 9
Sciopta Specific Menu 10
Sciopta Commands ................................................................................................................. 11
TASK.ERRmsg Display last error 11
TASK.ModList Display module list 11
TASK.POOL Display pool contents 11
TASK.POolList Display pool list 12
TASK.POolStat Display pool statistics 12
TASK.Process Display process 13
TASK.ProcList Display process list 13
TASK.Queue Display queue contents 14
Sciopta PRACTICE Functions ................................................................................................ 15
RTOS Debugger for SMX
RTOS Debugger for SMX ..................................................................................(rtos_smx.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 4
Supported Versions ................................................................................................................ 4
Configuration ........................................................................................................................... 5
Manual Configuration 5
Automatic Configuration 5
Quick Configuration Guide 6
Hooks & Internals in SMX 6
Features ................................................................................................................................... 7
Display of Kernel Resources 7
Task Stack Coverage 7
Task Related Breakpoints 8
Task Context Display 9
Task Runtime Statistics 10
Task State Analysis 11
TRACE32 Directory 185 ©1989-2017 Lauterbach GmbH
Function Runtime Statistics 12
SMX specific Menu 13
SMX Commands ...................................................................................................................... 14
TASK.BLOCK Display blocks 14
TASK.BUCKet Display buckets 14
TASK.ConFigtab Display configuration 15
TASK.EvtQueue Display event queues 15
TASK.EvtTable Display event tables 16
TASK.eXCHanGe Display exchanges 17
TASK.LSR Display LSRs 17
TASK.MeSsaGe Display messages 18
TASK.PIPE Display pipes 18
TASK.POOL Display pools 19
TASK.SEMAphore Display semaphores 20
TASK.TASK Display tasks 21
TASK.TASKState Mark task state words 22
TASK.TIMer Display timers 22
TASK.TRACE Display event buffer 22
TASK.TRACEVM Copy event buffer to LOGGER 23
SMX PRACTICE Functions ..................................................................................................... 24
Frequently-Asked Questions ................................................................................................. 24
RTOS Debugger for Symbian OS EKA1
RTOS Debugger for Symbian OS EKA1 .........................................................(rtos_epoc.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 4
Supported Versions ................................................................................................................ 4
Configuration ........................................................................................................................... 5
Manual Configuration 5
Automatic Configuration 6
Quick Configuration Guide 6
Hooks & Internals in Symbian OS 7
Features ................................................................................................................................... 8
Display of Kernel Resources 8
Task Context Display 8
Task Stack Coverage 9
Dynamic Task Performance Measurement 9
Task Runtime Statistics 10
Task State Analysis 11
Function Runtime Statistics 12
TRACE32 Directory 186 ©1989-2017 Lauterbach GmbH
Autoloader 13
Dynamic Autoloader 14
MMU Support 15
Symbian OS specific Menu 17
Debugging Symbian OS Kernel and User Processes .......................................................... 18
Symbian OS Kernel 18
User Processes 20
Symbian OS Commands ......................................................................................................... 26
TASK.CHUNK Display chunks 26
TASK.LIBrary Display libraries 27
TASK.PROCess Display processes 27
TASK.TASKState Mark thread state words 28
TASK.THRead Display threads 29
Symbian OS PRACTICE Functions ........................................................................................ 30
Frequently-Asked Questions ................................................................................................. 30
RTOS Debugger for Symbian OS EKA2 - Run Mode
RTOS Debugger for Symbian OS EKA2 - Run Mode .........................(rtos_symb2_run.pdf) 1
Basic Concepts ....................................................................................................................... 2
TRK Monitor 2
The Debug Communications Channel (DCC) 2
Switching to Run Mode Debugging 2
Process Debugging 3
Quick Start Example ............................................................................................................... 4
Switching between Run & Stop Mode ................................................................................... 5
Commands for Run Mode Debugging ................................................................................... 8
Breakpoint Conventions ......................................................................................................... 9
Frequently-Asked Questions ................................................................................................. 9
RTOS Debugger for Symbian OS EKA2 - Stop Mode
RTOS Debugger for Symbian OS EKA2 - Stop Mode ...................... (rtos_symb2_stop.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 4
Supported Versions ................................................................................................................ 4
Configuration ........................................................................................................................... 5
Manual Configuration 5
Automatic Configuration 6
TRACE32 Directory 187 ©1989-2017 Lauterbach GmbH
Quick Configuration Guide 6
Hooks & Internals in Symbian OS 7
Features ................................................................................................................................... 8
Display of Kernel Resources 8
Display Of Symbian OS Descriptors 8
Thread Stack Coverage 9
Task Context Display 9
MMU Support 10
Autoloader 11
SMP Support 13
ODP Support 13
Dynamic Task Performance Measurement 14
Task Runtime Statistics 15
Task State Analysis 16
Function Runtime Statistics 17
Symbian OS specific Menu 18
Debugging Symbian OS Kernel and User Processes .......................................................... 19
Symbian OS Kernel 19
User Processes 21
Symbian OS Commands ......................................................................................................... 26
TASK.CHUNK Display chunks 26
TASK.CodeSeg Display modules 26
TASK.CONDition Display pre- and postconditions 28
TASK.CurTHRead Display current thread 28
TASK.LIBrary Display libraries 28
TASK.PROCess Display processes 29
TASK.StopMode Set the debug event handler 30
TASK.THRead Display threads 31
TASK.Watch Watch processes 32
TASK.Watch.ADD Add process to watch list 32
TASK.Watch.DELete Remove process from watch list 32
TASK.Watch.DISable Disable watch system 33
TASK.Watch.DISableBP Disable process creation breakpoints 33
TASK.Watch.ENable Enable watch system 33
TASK.Watch.ENableBP Enable process creation breakpoints 34
TASK.Watch.View Show watched processes 34
Symbian OS PRACTICE Functions ........................................................................................ 37
Frequently-Asked Questions ................................................................................................. 37
RTOS Debugger for SYS/BIOS
RTOS Debugger for SYS/BIOS ...................................................................(rtos_sysbios.pdf) 1
TRACE32 Directory 188 ©1989-2017 Lauterbach GmbH
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 3
Supported Versions ................................................................................................................ 3
Configuration ........................................................................................................................... 4
Manual Configuration 4
Automatic Configuration 4
Quick Configuration Guide 5
Hooks & Internals in SYS/BIOS 5
Features ................................................................................................................................... 6
Display of Kernel Resources 6
Task Stack Coverage 6
Task Related Breakpoints 7
Task Context Display 8
Dynamic Task Performance Measurement 9
Task Runtime Statistics 9
Function Runtime Statistics 10
SYS/BIOS Specific Menu 11
SYS/BIOS Commands ............................................................................................................. 13
TASK.CLocK Display clocks 13
TASK.EVenT Display events 13
TASK.MailBoX Display mailboxes 14
TASK.MODule Display used modules 14
TASK.SEMaphore Display semaphores 15
TASK.SWI Display SWIs 15
TASK.SYSMIN Display SysMin buffer 16
TASK.TaSK Display tasks 16
SYS/BIOS PRACTICE Functions ............................................................................................ 17
Frequently-Asked Questions ................................................................................................. 17
RTOS Debugger for ThreadX
RTOS Debugger for ThreadX ..................................................................... (rtos_threadx.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 4
Supported Versions ................................................................................................................ 4
Configuration ........................................................................................................................... 5
Manual Configuration 5
Automatic Configuration 5
Quick Configuration Guide 6
Hooks & Internals in ThreadX 6
TRACE32 Directory 189 ©1989-2017 Lauterbach GmbH
Features ................................................................................................................................... 7
Display of Kernel Resources 7
Task Stack Coverage 7
Task Related Breakpoints 8
Task Context Display 9
SMP Support 9
Dynamic Task Performance Measurement 10
Task Runtime Statistics 10
Task State Analysis 11
Function Runtime Statistics 12
ThreadX specific Menu 14
ThreadX Commands ............................................................................................................... 15
TASK.BLockmem Display block memory pools 15
TASK.BYtemem Display byte memory pools 15
TASK.EVent Display event flags 16
TASK.ExecLOG Display thread performance log 16
TASK.MUtex Display mutexes 17
TASK.QUeue Display queues 17
TASK.SEmaphore Display semaphores 18
TASK.TASKState Mark thread state words 18
TASK.THread Display threads 19
TASK.TImer Display application timers 20
TASK.TRACE Display event trace buffer 20
TASK.TRACEVM Copy event trace buffer to LOGGER 20
ThreadX PRACTICE Functions .............................................................................................. 22
TASK.CONFIG(magic) Address of magic number 22
TASK.CONFIG(magicsize) Size of magic number 22
TASK.TH.MAGIC() Magic number of thread 22
TASK.BY.MAGIC() Magic number of byte pool 22
TASK.BL.MAGIC() Magic number of block pool 23
Frequently-Asked Questions ................................................................................................. 23
RTOS Debugger for uClinux
RTOS Debugger for uClinux ....................................................................... (rtos_uclinux.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 4
Supported Versions ................................................................................................................ 4
Configuration ........................................................................................................................... 5
Manual Configuration 5
Automatic Configuration 5
TRACE32 Directory 190 ©1989-2017 Lauterbach GmbH
Quick Configuration Guide 6
Hooks & Internals in uCLinux 6
Features ................................................................................................................................... 7
Terminal Emulation 7
Display of Kernel Resources 7
Task Stack Coverage 7
Task Related Breakpoints 8
Task Context Display 9
Symbol Autoloader 9
Dynamic Task Performance Measurement 10
Task Runtime Statistics 11
Task State Analysis 11
Function Runtime Statistics 12
uCLinux specific Menu 14
Debugging uCLinux Kernel and User Processes ................................................................. 16
uCLinux Kernel 16
User Processes 17
Kernel Modules 19
uCLinux Commands ............................................................................................................... 20
TASK.DMESG Display the kernel ring buffer 20
TASK.DTask Display tasks 20
TASK.DTB Display the device tree blob 21
TASK.DTS Display the device tree source 21
TASK.FS Display file system internals 22
TASK.FS.MountDevs Display mounted devices 22
TASK.FS.PROC Display /proc file system 22
TASK.FS.Types Display file system types 22
TASK.MODule Display kernel modules 23
TASK.MAPS Display process maps 23
TASK.Option Set awareness options 24
TASK.PS Display “ps” output 24
TASK.sYmbol Process/Module symbol management 26
TASK.sYmbol.DELete Unload process symbols 26
TASK.sYmbol.DELeteLib Unload library symbols 27
TASK.sYmbol.DELeteMod Unload module symbols 27
TASK.sYmbol.LOAD Load process symbols 28
TASK.sYmbol.LOADLib Load library symbols 28
TASK.sYmbol.LOADMod Load module symbols 29
TASK.sYmbol.Option Set symbol management options 30
TASK.TASKState Mark task state words 32
TASK.VMAINFO Display vmalloced areas 32
TASK.Watch Watch processes 33
TRACE32 Directory 191 ©1989-2017 Lauterbach GmbH
TASK.Watch.ADD Add process to watch list 34
TASK.Watch.DELete Remove process from watch list 34
TASK.Watch.DISable Disable watch system 34
TASK.Watch.DISableBP Disable process creation breakpoints 34
TASK.Watch.ENable Enable watch system 35
TASK.Watch.ENableBP Enable process creation breakpoints 35
TASK.Watch.Option Set watch system options 35
TASK.Watch.View Show watched processes 36
uCLinux PRACTICE Functions .............................................................................................. 38
Frequently-Asked Questions ................................................................................................. 39
RTOS Debugger for uiPLUS
RTOS Debugger for uiPLUS ......................................................................... (rtos_uiplus.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 3
Supported Versions ................................................................................................................ 3
Configuration ........................................................................................................................... 4
Manual Configuration 4
Automatic Configuration 4
Quick Configuration Guide 5
Hooks & Internals of µiPLUS 5
Features ................................................................................................................................... 6
Display of Kernel Resources 6
Task Runtime Statistics 6
Task State Analysis 7
Function Runtime Statistics 7
Task Stack Coverage 7
µiPLUS specific Menu 7
µiPLUS Commands ................................................................................................................. 8
TASK.UIDTQ Display data queues 8
TASK.UIFLG Display event flags 8
TASK.UIMBX Display mailboxes 9
TASK.UIMPF Display fixed memory pools 9
TASK.UIMPL Display variable memory pools 9
TASK.UISEM Display semaphores 10
TASK.UITSK Display tasks 10
µiPLUS PRACTICE Functions ................................................................................................ 12
Frequently-Asked Questions ................................................................................................. 12
TRACE32 Directory 192 ©1989-2017 Lauterbach GmbH
RTOS Debugger for VDK
RTOS Debugger for VDK ...................................................................................(rtos_vdk.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 3
Supported Versions ................................................................................................................ 3
Configuration ........................................................................................................................... 4
Manual Configuration 4
Automatic Configuration 4
Quick Configuration Guide 5
Hooks & Internals in VDK 5
Features ................................................................................................................................... 6
Display of Kernel Resources 6
Task Stack Coverage 6
Task Related Breakpoints 7
Dynamic Task Performance Measurement 8
VDK Specific Menu 8
VDK Commands ...................................................................................................................... 9
TASK.DevFlag Display device flags 9
TASK.MemPool Display memory pools 9
TASK.Semaphore Display semaphores 10
TASK.Thread Display threads 10
VDK PRACTICE Functions ..................................................................................................... 11
Frequently-Asked Questions ................................................................................................. 11
RTOS Debugger for VRTX32/68K
RTOS Debugger for VRTX32/68K ..................................................................... (rtos_vrtx.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 3
Configuration ........................................................................................................................... 4
Quick Configuration 4
Hooks in VRTX32 5
Features ................................................................................................................................... 6
Display of Kernel Resources 6
System Calls 6
Function Runtime Statistics 6
Task Runtime Analysis 7
Task State Analysis 7
System Call Trace 7
TRACE32 Directory 193 ©1989-2017 Lauterbach GmbH
Task Selective Debugging 7
VRTX32 Commands ................................................................................................................ 8
TASK.DCONF Display configuration table 8
TASK.DFLAG Display flag groups 8
TASK.DIN Display the input buffer 9
TASK.DMBOX Display pending mailboxes 9
TASK.DOUT Display the output buffer 10
TASK.DPART Display memory partitions 10
TASK.DQUEUE Display queues 11
TASK.DSEM Display semaphores 11
TASK.DSYStem Display system activity 11
TASK.DTASK Display tasks 12
TASK.SysCall Execute VRTX32 system call 12
Frequently-Asked Questions ................................................................................................. 12
RTOS Debugger for VRTX80
RTOS Debugger for VRTX80 ...................................................................... (rtos_vrtx_80.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 3
Configuration ........................................................................................................................... 4
Quick Configuration 4
Hooks in VRTX80 4
Features ................................................................................................................................... 6
Display of Kernel Resources 6
Function Runtime Statistics 6
Task Runtime Analysis 6
Task State Analysis 7
System Call Trace 7
VRTX80 Commands ................................................................................................................ 8
TASK.DMBOX Display mailboxes 8
TASK.DQUEUE Display queues 8
TASK.DTASK Display tasks 9
Frequently-Asked Questions ................................................................................................. 9
RTOS Debugger for VRTXmc/68K
RTOS Debugger for VRTXmc/68K ............................................................ (rtos_vrtx_mc.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 3
TRACE32 Directory 194 ©1989-2017 Lauterbach GmbH
Configuration ........................................................................................................................... 4
Automatic Configuration 4
Quick Configuration 5
Hooks in VRTXmc 5
Features ................................................................................................................................... 6
Display of Kernel Resources 6
Function Runtime Statistics 6
Task Runtime Analysis 6
Task State Analysis 7
System Call Trace 7
Task Stack Coverage 7
VRTXmc Commands ............................................................................................................... 8
TASK.DFlag Display event flag groups 8
TASK.DMbox Display mailboxes 8
TASK.DPart Display memory partitions 8
TASK.DQueue Display queues 9
TASK.DSYStem Display system activity 9
TASK.DTask Display tasks 10
TASK.DVTimer Display timers 10
PRACTICE Functions .............................................................................................................. 11
Frequently-Asked Questions ................................................................................................. 11
RTOS Debugger for VRTXsa
RTOS Debugger for VRTXsa ...................................................................... (rtos_vrtx_sa.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 4
Supported Versions ................................................................................................................ 4
Configuration ........................................................................................................................... 5
Automatic Configuration 6
Quick Configuration 6
Hooks in VRTXsa 6
Features ................................................................................................................................... 7
Display of kernel resources 7
System calls 7
Function Runtime Statistics 8
Task Runtime Analysis 8
Task State Analysis 9
System Call Trace 9
Task Stack Coverage 9
VRTXsa specific Menu 9
TRACE32 Directory 195 ©1989-2017 Lauterbach GmbH
VRTXsa Commands ................................................................................................................ 10
TASK.DFlag Display event flag groups 10
TASK.DHeap Display memory heaps 10
TASK.DIBuff Display the input buffer 10
TASK.DMbox Display mailboxes 11
TASK.DMUtex Display mutexes 12
TASK.DOBuff Display the output buffer 12
TASK.DPart Display memory partitions 13
TASK.DQueue Display queues 13
TASK.DSem Display semaphores 13
TASK.DSYStem Display system activity 14
TASK.DTask Display tasks 14
TASK.DWaitc Display wait character 15
TASK.SysCall Execute VRTXsa system call 15
PRACTICE Functions .............................................................................................................. 16
Frequently-Asked Questions ................................................................................................. 16
RTOS Debugger for VxWorks
RTOS Debugger for VxWorks ...................................................................(rtos_vxworks.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 4
Supported Versions ................................................................................................................ 4
Configuration ........................................................................................................................... 5
Quick Configuration Guide 6
Hooks & Internals in VxWorks 6
Features ................................................................................................................................... 7
Display of Kernel Resources 7
Task Stack Coverage 7
Task Related Breakpoints 8
Task Context Display 9
MMU Support 10
Symbol Autoloader 13
SMP Support 14
Debugging Modules 14
Debugging Real Time Processes 15
Debugging Protection Domains 16
Dynamic Task Performance Measurement 17
Task Runtime Statistics 18
Function Runtime Statistics 21
Task State Analysis 22
TRACE32 Directory 196 ©1989-2017 Lauterbach GmbH
VxWorks specific Menu 24
VxWorks Commands .............................................................................................................. 25
TASK.LKUP Show system symbol table 25
TASK.MemPShow Show memory partition 25
TASK.MMU.SCAN Scan RTP MMU entries 26
TASK.MMU.SCANSPACE Scan PD MMU entries 26
TASK.ModShow Show loaded modules 27
TASK.MsgQShow Show message queues 27
TASK.Option Set awareness options 28
TASK.PDShow Show protection domains 28
TASK.RELOC Relocate system symbols 29
TASK.RTPShow Show loaded RTPs 29
TASK.SemShow Show semaphores 30
TASK.SHLShow Show loaded libraries 30
TASK.TaskInfo Task information 30
TASK.TASKState Mark task state words 31
TASK.WDShow Show watchdogs 32
VxWorks PRACTICE Functions ............................................................................................. 33
TASK.AVAIL() Availability of object lists 33
TASK.CONFIG() Address for magic number of core 33
TASK.CONFIG(magicsize) Size of magic number 33
TASK.MODLIST() Next module magic 34
TASK.MODNAME() Module name of module 34
TASK.MODULE() Segment address of module 34
TASK.RTP.ID() RTP ID of rtp name 35
TASK.RTP.SEGADDR() Segment address of RTP 35
TASK.RTP.SEGSIZE() Segment size of RTP 35
TASK.RTP.SPACEID() Space ID of RTP ID 36
TASK.RTP.TTB() TTB address of RTP ID 36
TASK.SHL.ID() ID of library name 36
TASK.SHL.SEGADDR() Segment address of library 37
TASK.SHL.SEGSIZE() Segment size of library 37
TASK.TASKLIST() Next magic number in task list 37
TASK.TASKNAME() Task name of task 38
Frequently-Asked Questions ................................................................................................. 38
RTOS Debugger for Windows CE4/CE5
RTOS Debugger for Windows CE4/CE5 ............................................(rtos_windows_ce.pdf) 1
Overview .................................................................................................................................. 4
Brief Overview of Documents for New Users ....................................................................... 5
Supported Versions ................................................................................................................ 5
TRACE32 Directory 197 ©1989-2017 Lauterbach GmbH
Configuration ........................................................................................................................... 6
Manual Configuration 6
Automatic Configuration 7
Quick Configuration Guide 7
Hooks & Internals in Windows CE 8
Features ................................................................................................................................... 9
Display of Kernel Resources 9
Task Stack Coverage 9
Task Context Display 10
MMU Support 11
Symbol Autoloader 14
Dynamic Task Performance Measurement 15
Task Runtime Statistics 15
Task State Analysis 17
Function Runtime Statistics 18
Windows CE specific Menu 19
Debugging Windows CE Kernel and User Processes ......................................................... 20
Windows CE Kernel 20
User Processes 24
Trapping Unhandled Exceptions 27
Windows CE Commands ........................................................................................................ 28
TASK.DLL Display libraries 28
TASK.Event Display events 28
TASK.MMU.SCAN Scan process MMU space 29
TASK.MODule Display libraries 30
TASK.Mutex Display mutexes 30
TASK.Option Set awareness options 31
TASK.Process Display processes 31
TASK.ROM.FILE Display built-in files 33
TASK.ROM.MODule Display built-in modules 33
TASK.Semaphore Display semaphores 33
TASK.sYmbol Process/DLL symbol management 34
TASK.sYmbol.DELete Unload process symbols & MMU 34
TASK.sYmbol.DELeteDLL Unload DLL symbols & MMU 34
TASK.sYmbol.LOAD Load process symbols & MMU 35
TASK.sYmbol.LOADDLL Load DLL symbols & MMU 37
TASK.sYmbol.Option Set symbol management options 38
TASK.TASKState Mark thread state words 39
TASK.Thread Display threads 40
TASK.Watch Watch processes 41
TASK.Watch.ADD Add process to watch list 41
TASK.Watch.DELete Remove process from watch list 41
TRACE32 Directory 198 ©1989-2017 Lauterbach GmbH
TASK.Watch.DISable Disable watch system 42
TASK.Watch.DISableBP Disable process creation breakpoints 42
TASK.Watch.ENable Enable watch system 42
TASK.Watch.ENableBP Enable process creation breakpoints 43
TASK.Watch.Option Set watch system options 43
TASK.Watch.View Show watched processes 43
TASK.WatchDLL Watch DLLs 46
TASK.WatchDLL.ADD Add DLL to watch list 46
TASK.WatchDLL.DELete Remove DLL from watch list 46
TASK.WatchDLL.DISable Disable DLL watch system 47
TASK.WatchDLL.DISableBP Disable DLL creation breakpoints 47
TASK.WatchDLL.ENableBP Enable DLL creation breakpoints 48
TASK.WatchDLL.ENable Enable DLL watch system 48
TASK.WatchDLL.Option Set DLL watch system options 48
TASK.WatchDLL.View Show watched DLLs 49
Windows CE PRACTICE Functions ....................................................................................... 51
TASK.CONFIG(magic) Address of magic number 51
TASK.CONFIG(magicsize) Size of magic number 51
TASK.CURRENT() ‘vmbase’ address of process 51
TASK.DLL.CODEADDR() Address of code segment 51
TASK.DLL.DATAADDR() Address of data segment 52
TASK.LOG2PHYS() Convert virtual address to physical address 52
TASK.PROC.CODEADDR() Address of code segment 52
TASK.PROC.DATAADDR() Address of data segment 53
TASK.PROC.SPACEID() Space ID of process 53
TASK.ROM.ADDR() Section address of ROM module 53
TASK.Y.O() Symbol option parameters 54
Frequently-Asked Questions ................................................................................................. 54
RTOS Debugger for Windows CE6/EC7/EC2013
RTOS Debugger for Windows CE6/EC7/EC2013 ............................(rtos_windows_ce6.pdf) 1
Overview .................................................................................................................................. 4
Brief Overview of Documents for New Users ....................................................................... 5
Supported Versions ................................................................................................................ 5
Configuration ........................................................................................................................... 6
Manual Configuration 6
Automatic Configuration 7
Quick Configuration Guide 7
Hooks & Internals in Windows CE 7
Features ................................................................................................................................... 8
TRACE32 Directory 199 ©1989-2017 Lauterbach GmbH
Display of Kernel Resources 8
Task Related Breakpoints 8
Thread Stack Coverage 9
Task Context Display 10
MMU Support 11
Symbol Autoloader 15
SMP Support 16
Dynamic Task Performance Measurement 16
Task Runtime Statistics 16
Function Runtime Statistics 18
Windows CE specific Menu .................................................................................................... 20
Debugging Eboot .................................................................................................................... 22
Debugging Windows CE Kernel ............................................................................................. 23
Downloading the Kernel 23
Debugging the Kernel Startup 24
Debugging the Kernel 25
Debugging User Processes and DLLs .................................................................................. 26
Debugging the Process 26
Debugging DLLs 28
Trapping Unhandled Exceptions 29
Windows CE Commands ........................................................................................................ 30
TASK.HaNDle Display global handles 30
TASK.MMU.SCAN Scan process MMU space 30
TASK.Option Set awareness options 31
TASK.Process Display processes 32
TASK.ROM.FILE Display built-in files 33
TASK.ROM.MODule Display built-in modules 33
TASK.sYmbol Process/DLL symbol management 34
TASK.sYmbol.DELete Unload process symbols & MMU 34
TASK.sYmbol.DELeteDLL Unload DLL symbols & MMU 34
TASK.sYmbol.DELeteRM Unload ROM module symbols 35
TASK.sYmbol.LOAD Load process symbols & MMU 35
TASK.sYmbol.LOADDLL Load DLL symbols & MMU 35
TASK.sYmbol.LOADRM Load ROM module symbols 36
TASK.sYmbol.Option Set symbol management options 36
TASK.Thread Display threads 37
TASK.Watch Watch processes 38
TASK.Watch.ADD Add process to watch list 38
TASK.Watch.DELete Remove process from watch list 38
TASK.Watch.DISable Disable watch system 39
TASK.Watch.DISableBP Disable process creation breakpoints 39
TASK.Watch.ENable Enable watch system 39
TRACE32 Directory 200 ©1989-2017 Lauterbach GmbH
TASK.Watch.ENableBP Enable process creation breakpoints 39
TASK.Watch.Option Set watch system options 40
TASK.Watch.View Show watched processes 40
TASK.WatchDLL Watch DLLs 43
TASK.WatchDLL.ADD Add DLL to watch list 43
TASK.WatchDLL.DELete Remove DLL from watch list 43
TASK.WatchDLL.DISable Disable DLL watch system 44
TASK.WatchDLL.DISableBP Disable DLL creation breakpoints 44
TASK.WatchDLL.ENable Enable DLL watch system 44
TASK.WatchDLL.ENableBP Enable DLL creation breakpoints 45
TASK.WatchDLL.Option Set DLL watch system options 45
TASK.WatchDLL.View Show watched DLLs 46
Windows CE PRACTICE Functions ....................................................................................... 48
TASK.CONFIG(magic) Address of magic number 48
TASK.CONFIG(magicsize) Size of magic number 48
TASK.DLL.CODEADDR() Address of code segment 48
TASK.DLL.CURRENT() ‘magic’ of DLL 48
TASK.DLL.DATAADDR() Address of data segment 49
TASK.DLL.MAGIC() ‘magic’ of DLL 49
TASK.DLL.SECADDR() Address of section 49
TASK.DLL.SECNUM() Number of sections 50
TASK.LOG2PHYS() Convert virtual address to physical address 50
TASK.PROC.CODEADDR() Address of code segment 50
TASK.PROC.DATAADDR() Address of data segment 50
TASK.PROC.M2S() Convert magic to space ID 51
TASK.PROC.MAGIC() ‘magic’ value of process 51
TASK.PROC.S2M() Convert space ID to process magic 51
TASK.PROC.SPACEID() Space ID of process 51
TASK.ROM.ADDR() Section address of ROM module 52
TASK.ROM.MAGIC() ‘Magic’ of ROM module 52
TASK.ROM.SECADDR() Address of section 52
TASK.ROM.SECNUM() Number of sections 53
TASK.THREAD.LIST() Thread list 53
TASK.THREAD.PROC() Process of thread 53
TASK.Y.O() Symbol option parameters 53
Frequently-Asked Questions ................................................................................................. 54
RTOS Debugger for Windows Standard
RTOS Debugger for Windows Standard .................................................(rtos_windows.pdf) 1
Overview .................................................................................................................................. 4
Brief Overview of Documents for New Users ....................................................................... 4
TRACE32 Directory 201 ©1989-2017 Lauterbach GmbH
Supported Versions ................................................................................................................ 5
Configuration ........................................................................................................................... 6
Quick Configuration Guide 6
Hooks & Internals in Windows 6
Features ................................................................................................................................... 8
Display of Kernel Resources 8
Task Related Breakpoints 8
Task Context Display 10
MMU Support 10
Symbol Autoloader 12
SMP Support 14
Dynamic Task Performance Measurement 14
Task Runtime Statistics 15
Function Runtime Statistics 16
Windows Specific Menu 18
Debugging Windows Components ........................................................................................ 20
Windows Kernel 20
User Processes 20
Kernel Modules 24
Windows Commands .............................................................................................................. 26
TASK.KDBG.SET Set kernel debugger data block address 26
TASK.MODule Display kernel modules 26
TASK.NTBASE Set kernel base address 27
TASK.Process Display processes 28
TASK.sYmbol Process/module symbol management 29
TASK.sYmbol.DELete Unload process symbols 29
TASK.sYmbol.DELeteDLL Unload library symbols 29
TASK.sYmbol.DELeteKM Unload module symbols 30
TASK.sYmbol.LOAD Load process symbols 30
TASK.sYmbol.LOADDLL Load library symbols 31
TASK.sYmbol.LOADKM Load module symbols 31
TASK.sYmbol.LOADNT Load kernel symbols 31
TASK.sYmbol.Option Set symbol management options 32
TASK.Thread Display threads 33
PRACTICE Functions .............................................................................................................. 34
TASK.CONFIG(magic) Address of magic number 34
TASK.CONFIG(magicsize) Size of magic number 34
TASK.KDBG() Kernel debugger data block 34
TASK.LIB.GUID() GUID of library 34
TASK.LIB.MACHINE() 32bit or 64bit setting of library 35
TASK.LIB.MAGIG() Magic number of library 35
TASK.LIB.PDBPATH() Path to PDB file of library 36
TRACE32 Directory 202 ©1989-2017 Lauterbach GmbH
TASK.MOD.BASE() Base address of module 36
TASK.MOD.ENTRY() Entry address of module 36
TASK.MOD.GUID() GUID of module 36
TASK.MOD.MACHINE() 32bit or 64bit setting of the module 37
TASK.MOD.MAGIC() Magic number of module name 37
TASK.MOD.PDBPATH() Path to PDB file of module 37
TASK.MOD.YF2M() Magic number of module symbol file 38
TASK.NTBASE() Kernel base address 38
TASK.PHYMEMBLOCK() Kernel physical memory descriptor 38
TASK.PROC.GUID() GUID of the process magic 38
TASK.PROC.MACHINE() 32bit or 64bit setting of process 39
TASK.PROC.MAGIC() Magic value of process 39
TASK.PROC.PDBPATH() Path to PDB file of process 39
TASK.PROC.SID2MAGIC() Magic number of process 40
TASK.PROC.SPACEID() Space ID of process 40
Frequently-Asked Questions ................................................................................................. 40
RTOS Debugger for XOS
RTOS Debugger for XOS ...................................................................................(rtos_xos.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 2
Supported Versions ................................................................................................................ 3
Configuration ........................................................................................................................... 4
Manual Configuration 4
Automatic Configuration 4
Quick Configuration Guide 5
Hooks & Internals in XOS 5
Features ................................................................................................................................... 6
Display of Kernel Resources 6
Task Stack Coverage 6
Task Related Breakpoints 7
Dynamic Task Performance Measurement 8
Task Runtime Statistics 8
Function Runtime Statistics 9
XOS specific Menu 11
XOS Commands ...................................................................................................................... 12
TASK.Thread Display threads 12
TASK.TIMER Display timers 12
XOS PRACTICE Functions ..................................................................................................... 13
Frequently-Asked Questions ................................................................................................. 13
TRACE32 Directory 203 ©1989-2017 Lauterbach GmbH
RTOS Debugger for ZeOS
RTOS Debugger for ZeOS ............................................................................... (rtos_zeos.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 3
Supported Versions ................................................................................................................ 3
Configuration ........................................................................................................................... 4
Manual Configuration 4
Automatic Configuration 4
Quick Configuration Guide 5
Hooks & Internals in ZeOS 5
Features ................................................................................................................................... 6
Display of Kernel Resources 6
Task Stack Coverage 6
Task Related Breakpoints 7
Task Context Display 7
Dynamic Task Performance Measurement 8
Task Runtime Statistics 8
Task State Analysis 9
Function Runtime Statistics 10
ZeOS specific Menu 10
ZeOS Commands .................................................................................................................... 11
TASK.Event Display events 11
TASK.Pipe Display pipes 11
TASK.Semaphore Display semaphores 11
TASK.Task Display tasks 12
ZeOS PRACTICE Functions ................................................................................................... 13
Frequently-Asked Questions ................................................................................................. 13
RTOS Debugger for Zephyr
RTOS Debugger for Zephyr ......................................................................... (rtos_zephyr.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 4
Supported Versions ................................................................................................................ 4
Configuration ........................................................................................................................... 5
Manual Configuration 5
Automatic Configuration 5
Quick Configuration Guide 6
Hooks & Internals in Zephyr 6
TRACE32 Directory 204 ©1989-2017 Lauterbach GmbH
Features ................................................................................................................................... 7
Display of Kernel Resources 7
Task Stack Coverage 8
Task Related Breakpoints 8
Dynamic Task Performance Measurement 9
Task Runtime Statistics 10
Function Runtime Statistics 11
Zephyr specific Menu 12
Zephyr Commands for v1.0 .................................................................................................... 13
TASK.Context Display contexts 13
TASK.Event Display microkernel events 13
TASK.Fiber Display fibers 14
TASK.FIFO Display microkernel FIFOs 14
TASK.MailBoX Display microkernel mailboxes 15
TASK.Map Display microkernel maps 15
TASK.MuTeX Display microkernel mutexes 16
TASK.NanoFifo Display nanokernel FIFOs 16
TASK.NanoLifo Display nanokernel LIFOs 17
TASK.NanoSem Display nanokernel semaphores 17
TASK.NanoSTacK Display nanokernel stacks 18
TASK.PIPE Display microkernel pipes 19
TASK.Pool Display microkernel pools 19
TASK.Semaphore Display microkernel semaphores 20
TASK.Task Display tasks 20
TASK.TIMer Display microkernel timers 21
Zephyr Commands for v1.7 .................................................................................................... 22
TASK.ALERT Display alerts 22
TASK.MailBOX Display mailboxes 22
TASK.MEMSLAB Display memslabs 23
TASK.MSGQ Display msgqs 23
TASK.MUTEX Display mutexes 23
TASK.SEMaphore Display semaphores 24
TASK.THREAD Display threads 24
TASK.TIMER Display timers 25
TASK.PIPE Display pipes 25
TASK.QUEUE Display qeues 25
TASK.ZSTACK Display zstacks 26
Zephyr PRACTICE Functions ................................................................................................. 27
TASK.CONFIG() Get task configuration values 27
TASK.PIPE.NAME() Name of pipe 27
TASK.PIPE.ID2MAGIC() Magic of pipe ID 27
Frequently-Asked Questions ................................................................................................. 28
TRACE32 Directory 205 ©1989-2017 Lauterbach GmbH
Hypervisor Support
Hypervisor Awareness for Wind River Hypervisor
Hypervisor Awareness for Wind River Hypervisor ..................................(hv_windriver.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 4
Supported Versions ................................................................................................................ 4
Configuration ........................................................................................................................... 5
Manual Configuration 5
Automatic Configuration 5
Quick Configuration Guide 6
Hooks and Internals in Wind River Hypervisor 6
Features ................................................................................................................................... 7
Display of Hypervisor Resources 7
Task Stack Coverage 7
Task Related Breakpoints 8
Task Context Display 10
MMU Support 10
Symbol Autoloader 14
SMP Support 16
Dynamic Task Performance Measurement 16
Task Runtime Statistics 17
Function Runtime Statistics 18
Wind River Hypervisor specific Menu 20
Debugging Wind River Hypervisor Components ................................................................. 21
Hypervisor 21
Virtual Boards 22
Wind River Hypervisor Commands ....................................................................................... 24
TASK.ThrList Display hypervisor threads 24
TASK.VirtBoard Display virtual boards 25
TASK.ConfigVec Display configuration vector files 25
TASK.REGistry Display registry 26
TASK.SysInfo Display system information 26
TASK.CoreState Display core information 26
Wind River Hypervisor PRACTICE Functions ...................................................................... 27
Frequently-Asked Questions ................................................................................................. 27
TRACE32 Directory 206 ©1989-2017 Lauterbach GmbH
VM Debugging
VM Debugger Dalvik
VM Debugger Dalvik .......................................................................................... (vmdalvik.pdf) 1
Introduction ............................................................................................................................. 2
Dalvik VM Awareness Extension 2
Preparation 3
Development Note 3
TRACE32 Extension Commands 4
Added TRACE32 JAVA Functionality 4
Dalvik Extension Commands ................................................................................................. 5
EXTension.VMList List virtual machine threads 5
EXTension.VMView View virtual machine thread stack 6
EXTension.VMClass Load class symbols for a task 7
EXTension.VMAInfo View virtual machine awareness information 7
Application Note for Android
TRACE32 JTAG Bridge for Android
TRACE32 JTAG Bridge for Android .......................................... (android_jtag_bridge.pdf) 1
Intended Audience ............................................................................................................... 3
Concept ................................................................................................................................. 3
Prerequisites ......................................................................................................................... 3
Patch and Build adb and adbd ............................................................................................ 4
Configure adbd (Android Target) ........................................................................................ 4
Static adbd Configuration (root file system) 4
Dynamic adbd Configuration (Terminal or ’adb shell’) 5
Running adbd in JTAG Bridge Mode on SMP Targets 6
Configure adb (Development Host) .................................................................................... 7
Linux 7
Windows 7
Configure TRACE32 ............................................................................................................. 8
Configure Eclipse ................................................................................................................. 8
Sample Debugging Sequence (HOST) ............................................................................... 9
Linux 9
Windows 10
Troubleshooting Tips ........................................................................................................... 11
TRACE32 Directory 207 ©1989-2017 Lauterbach GmbH
Eclipse Warning 11
Logging adbd messages 11
Logging adb[.exe] messages 11
Pipe Communication Debugging 11
Patch breaks USB Debugging [host] 12
Patch breaks USB Debugging [target] 13
Android Application Install Fails 13
Backlog ................................................................................................................................. 14
Timeline ................................................................................................................................. 14
GDB Support
TRACE32 as GDB Front-End
TRACE32 as GDB Front-End .....................................................................(frontend_gdb.pdf) 1
Operation Theory .................................................................................................................... 3
GDB Server .............................................................................................................................. 3
Starting TRACE32 ................................................................................................................... 3
Quick Start of the TRACE32 GDB Front-end 5
Troubleshooting ...................................................................................................................... 7
FAQ ........................................................................................................................................... 7
Background ............................................................................................................................. 8
Multi Process Debugging 8
GDB Front-end Commands .................................................................................................... 9
SYStem.CPU Select target CPU 9
SYStem.Mode Establish communication to debug agent 9
SYStem.CpuAccess Run-time memory access (intrusive) 10
SYStem.Option BigEndian Define byte order (endianness) 10
SYStem.Option DisMode Define disassembler mode 11
SYStem.Option MMUSPACES Enable space IDs 11
SYStem.GDBconfig EXTENDED Enable/disable gdb extended mode 12
SYStem.GDBconfig GDBSERVER Remote target is a gdbserver 12
SYStem.GDBconfig NONSTOP Enable/disable non-stop mode 13
SYStem.PORT Set communication settings 13
SYStem.GDBFILE Set the name of the debugged application 14
SYStem.GDBLibPath Set the path to the target libraries on the host 14
SYStem.GDBSIGnal Define signal handling 14
TASK.List.tasks List the running processes on the target 15
TASK.SELect Select a task for debugging 15
TRACE32 Directory 208 ©1989-2017 Lauterbach GmbH
TASK.RUN Start a new process 15
TASK.KILL Kill a running process 15
TASK.Attach Attach to a running process 16
TASK.DETACH Detach from a a running process 16
TASK.COPYUP Copy a file from the target into the host 16
TASK.COPYDOWN Copy a file from the host into the target 16
TASK.Go Start a single task 16
TASK.Break Stop a single task 17
Support ..................................................................................................................................... 18
Compiler 18
Products ................................................................................................................................... 22
Product Information 22
Order Information 23
TRACE32 as GDB Back-End
TRACE32 as GDB Back-End .....................................................................(backend_gdb.pdf) 1
Basic Concepts ....................................................................................................................... 2
Introduction 2
Operating of the API Requests 3
Communication Setup ............................................................................................................ 4
Preparing TRACE32 Device Driver 4
Starting GDB 4
Supported architectures 4
Supported GDB Packets 5
Converter from GDB to PRACTICE
Converter from GDB to PRACTICE .........................................................(converter_gdb.pdf) 1
Introduction ............................................................................................................................. 2
Launching Converter .............................................................................................................. 3
Converter Limitations ............................................................................................................. 4
Converter-Specific Reserved Identifiers ............................................................................... 5
Using History Convenience Variables in CMM Script .......................................................... 7
Using PRACTICE Commands from GDB Scripts ................................................................. 8
Supported Commands ............................................................................................................ 9
Getting In and Out of GDB (TRACE32) 9
Running Programs Under GDB (TRACE32) 9
Stopping and Continuing 10
Examining the Stack 13
TRACE32 Directory 209 ©1989-2017 Lauterbach GmbH
Examining Source Files 14
Examining Data 15
C Preprocessor Macros 16
Examining the Symbol Table 17
Using GDB (TRACE32) with Different Languages 18
Altering Execution 18
GDB (TRACE32) Files 18
Specifying a Debugger Target 19
Controlling GDB (TRACE32) 19
Command Files 20
Controlled Output 20
User Interface 21
Others 21
Virtual Targets
Virtual Targets User's Guide
Virtual Targets User's Guide ................................................................... (virtual_targets.pdf) 1
Introduction ............................................................................................................................. 3
Audience of This Manual 4
How This Manual is Organized 4
Related Documents 4
Contacting Support 5
List of Abbreviations ............................................................................................................... 6
Installing TRACE32 Front-End ............................................................................................... 7
Installing TRACE32 Front-End in MS Windows 7
Installing TRACE32 Front-End in Linux 11
Starting TRACE32 Front-End ................................................................................................. 17
Starting TRACE32 Front-End in Windows 18
Starting TRACE32 Front-End in Linux 19
Connecting to Virtual Targets ................................................................................................ 20
Tracing Virtual Targets ........................................................................................................... 22
GDI, MDI, MCD, and VaST 22
CADI 22
VDI 23
Supported Virtual Targets ...................................................................................................... 24
ARC 24
ARM 24
CEVA-X 25
TRACE32 Directory 210 ©1989-2017 Lauterbach GmbH
Hexagon 25
MIPS 25
Power Architecture 25
RH850 26
StarCore 26
TeakLite 27
TriCore 27
V850 27
x86 28
x64 28
Products ................................................................................................................................... 29
Product Information 29
Order Information 31
Debug Back-Ends
GTL Debug Back-End
GTL Debug Back-End ..................................................................................(backend_gtl.pdf) 1
Introduction ............................................................................................................................. 2
Related Documents 2
Contacting Support 2
Abbreviations and Definitions ............................................................................................... 4
System Architecture ............................................................................................................... 5
PowerView System Configurations ....................................................................................... 6
Configuring the GTL Plug-in .................................................................................................. 9
Keep the graphical user interface responsive ...................................................................... 13
Timing Adaption ...................................................................................................................... 14
Troubleshooting the GTL Back-End ...................................................................................... 15
JTAG specific 15
Products ................................................................................................................................... 17
Order Information 17
Debugging via USB User´s Guide
Debugging via USB User´s Guide ......................................................... (usbdebug_user.pdf) 1
Introduction ............................................................................................................................. 4
System Requirements 4
Contacting Support 5
TRACE32 Directory 211 ©1989-2017 Lauterbach GmbH
Installation of the USB Driver ................................................................................................. 6
Install the USB Driver on Windows 6
Install the USB Driver on Linux 6
Start a TRACE32 Session for USB Debugging ..................................................................... 7
Overview of Configuration Scenarios 7
Start the TRACE32 Session via T32Start 10
Start the TRACE32 Session without T32Start 15
Troubleshooting ...................................................................................................................... 17
Select a USB Device via the GUI ............................................................................................ 18
Select a USB Device via the TRACE32 Commands ............................................................. 20
USB Specific SYStem.CONFIG Commands .......................................................................... 21
SYStem.CONFIG.state Open configuration window for USB debugging 21
SYStem.CONFIG.USB USB configuration 23
SYStem.CONFIG.USB.RESet Reset configuration of interface type 25
SYStem.CONFIG.USB.SELect Connected USB devices 26
SYStem.CONFIG.USB.SELect.view List connected USB devices 26
SYStem.CONFIG.USB.SELect.SHOWDEVice Filter the USB device tree 28
SYStem.CONFIG.USB.SELect.ExpandAll Expand tree 28
SYStem.CONFIG.USB.SELect.CollapseAll Collapse tree 29
SYStem.CONFIG.USB.SET Configure device by all parameters 30
SYStem.CONFIG.USB.SETBusPort Configure device by bus port 30
SYStem.CONFIG.USB.SETDEVice Configure device by VID/PID 31
XCP Debug Back-End
XCP Debug Back-End ................................................................................ (backend_xcp.pdf) 1
Introduction ............................................................................................................................. 3
Related Documents 3
Contacting Support 4
Supported Tools ...................................................................................................................... 5
PowerView System Configurations ....................................................................................... 6
Configuring XCP ...................................................................................................................... 9
Reducing XCP Traffic .............................................................................................................. 10
Command Reference .............................................................................................................. 11
SYStem.CONFIG.state Open XCP configuration window 11
SYStem.CONFIG XCP XCP specific settings 12
SYStem.CONFIG XCP.Connect Explicitly try to connect to an XCP slave 12
SYStem.CONFIG XCP.ConnectMode Configure automatic (dis)connect 13
SYStem.CONFIG XCP.DBGWRITE Set DBGWRITE behavior manually 13
SYStem.CONFIG XCP.DisConnect Explicitly disconnect from the XCP slave 14
TRACE32 Directory 212 ©1989-2017 Lauterbach GmbH
SYStem.CONFIG XCP.SLAVE Network address 14
SYStem.CONFIG XCP.slaveINFO Slave information 15
SYStem.CONFIG XCP.TEXTserviceFilter Filter text service output 15
XCP Specific Functions .......................................................................................................... 16
In This Section 16
SYStem.CONFIG.XCP.Connected() Current XCP connection state 16
SYStem.CONFIG.XCP.ConnectMode() XCP connection mode 16
SYStem.CONFIG.XCP.INFO() Numeric value of slave property 17
SYStem.CONFIG.XCP.INFO.STR() String value of slave property 17
Products ................................................................................................................................... 18
Product Information 18
Order Information 19
Target Server
EPOC Target Server
EPOC Target Server .................................................................................. (monitor_epoc.pdf) 1
Brief Overview of Documents for New Users ....................................................................... 2
WARNING ................................................................................................................................. 3
Quick Start of the EPOC Monitor ........................................................................................... 4
Troubleshooting ...................................................................................................................... 6
FAQ ........................................................................................................................................... 6
Basics ....................................................................................................................................... 7
Monitor Features 7
Configuration of TRACE32 EPOC Monitor 7
Configuration of EPOC GDB Stub 7
Loading Application Symbols 8
Specific SYStem Commands ................................................................................................. 9
SYStem.EPOCCOPY Copy file from host to target 9
SYStem.EPOCDLL Define DLL for debugging 9
SYStem.EPOCFILE Define application for debugging 9
SYStem.EPOCTID Define process to attach to 9
SYStem.Mode Establish the communication with the CPU 10
SYStem.CPU CPU type 10
SYStem.PORT Set serial port settings 10
General Settings and Restrictions ......................................................................................... 11
Memory Classes 11
TRACE32 Directory 213 ©1989-2017 Lauterbach GmbH
Products ................................................................................................................................... 12
Product Information 12
Order Information 12
OSE Target Server
OSE Target Server ....................................................................................... (monitor_ose.pdf) 1
Quick Start of the OSE Monitor .............................................................................................. 3
Troubleshooting ...................................................................................................................... 5
Error Messages 5
FAQ 5
Basics ....................................................................................................................................... 6
Monitor Features 6
Configuration of TRACE32 OSE Monitor 6
Configuration of OSE SDM Debug Server 7
Loading Application Symbols 7
OSE Awareness 7
Specific System Commands .................................................................................................. 8
SYStem.CPU CPU type 8
SYStem.MemAccess Run-time memory access 8
SYStem.Mode Establish the communication with the CPU 9
SYStem.PID Define process ID 9
SYStem.PROCESS Define process name 10
SYStem.TARGET Set target IP name or address 10
Memory Classes ...................................................................................................................... 11
Products ................................................................................................................................... 12
Product Information 12
Order Information 12
TRACE32 pdebug Target Server for ARM
TRACE32 pdebug Target Server for ARM ..................................(monitor_pdebug_arm.pdf) 1
Operation Theory .................................................................................................................... 2
Quick Start of the TRACE32 pdebug Front-end 3
Troubleshooting ...................................................................................................................... 5
FAQ ........................................................................................................................................... 5
Pdebug Front-end Specific Commands ................................................................................ 6
SYStem.CPU Select target CPU 6
SYStem.Mode Establish communication to debug agent 6
SYStem.CpuAccess Run-time memory access (intrusive) 7
SYStem.PORT Set communication settings 7
TRACE32 Directory 214 ©1989-2017 Lauterbach GmbH
SYStem.Option DisMode Define disassembler mode 8
TASK.List.tasks Display the list of the running processes 8
TASK.RUN Load a new process 8
TASK.SELect Attach to a running process 8
TASK.DETach Detach from selected process 9
TASK.KILL Kill the selected process 9
CPU specific MMU Commands .............................................................................................. 10
SYStem.Option MMUSPACES Enable space IDs 10
MMU.DUMP Page wise display of MMU translation table 11
MMU.List Compact display of MMU translation table 12
MMU.SCAN Load MMU table from CPU 13
Support ..................................................................................................................................... 14
Compiler 14
Products ................................................................................................................................... 15
Product Information 15
Order Information 17
Native Process Debugger
Native Process Debugger .................................................................. (windows_debugger.pdf) 1
Operation Theory ....................................................................................................................... 3
Quick Start .................................................................................................................................. 4
Starting a new process 4
Attach to a running process 5
Restrictions ................................................................................................................................. 6
Troubleshooting ......................................................................................................................... 7
FAQ .............................................................................................................................................. 7
Specific Commands ................................................................................................................... 8
SYStem.Mode Establish the communication with the process 8
SYStem.PROCess Set the process command line 9
SYStem.CurrentDir Set the current directory for the process 9
SYStem.CpuAccess Run-time memory access (intrusive) 9
SYStem.Option MMUSPACES Enable space IDs 10
SYStem.Option IMASKASM Disable interrupts while single stepping 11
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 11
TASK.Attach Attach to a running process 11
TASK.ListPROC Display the list of running processes 11
TASK.List.tasks Display the list of the running threads 12
TASK.LIB Display the list loaded libraries 12
TRACE32 Directory 215 ©1989-2017 Lauterbach GmbH
TASK.RUN Start a new process for debugging 12
TASK.DETACH Detach from process 12
TASK.KILL Kill a running process 12
TASK.SELect Select a process from the list 13
TASK.Go Start process 14
TASK.Break Stop a process 14
TASK.FREEZE Feeze a selected thread 14
TASK.THAW Resume a frozen thread 14
sYmbol.AutoLoad.CHECKWIN Set the autoloader script 14
Support ........................................................................................................................................ 15
Compiler 15
Products ...................................................................................................................................... 16
Product Information 16
Order Information 16
TRACE32 as TCF Agent
TRACE32 as TCF Agent .............................................................................. (app_tcf_setup.pdf) 1
Introduction ................................................................................................................................ 3
Restrictions 4
Documentation Updates 4
Related Documents and Tutorials 5
Initial Setup and Requirements ................................................................................................. 6
TRACE32 6
Eclipse 7
Wind River Workbench 9
Synopsys MetaWare IDE 11
TRACE32 Setup .......................................................................................................................... 13
Installing the TRACE32 TCF Eclipse Plug-In 13
Option A: Manual Configuration 15
Option B: Select Executable and Configuration File 20
Establish a Debug Session ....................................................................................................... 22
Start TRACE32 22
TCF Discovery 23
Manual Debug Target Setup 25
Open Debug Perspective Automatically 27
Troubleshooting ......................................................................................................................... 28
TRACE32 28
Eclipse 28
Help Us to Help You 29
TRACE32 Directory 216 ©1989-2017 Lauterbach GmbH
TCF Commands .......................................................................................................................... 31
SYStem.TCFconfig TCF-specific setups 31
SYStem.TCFconfig.TASKCONTEXT Enable/disable task contexts 31
3rd Party Tool Integrations
Integration for CodeBlocks
Integration for CodeBlocks ......................................................................(int_codeblock.pdf) 1
Overview .................................................................................................................................. 2
Supported Code::Blocks versions ........................................................................................ 3
Plug-in Installation .................................................................................................................. 4
Plug-in and TRACE32 Configuration ..................................................................................... 5
Plug-in Configuration 5
TRACE32 Configuration 6
Plug-in Menu and Windows .................................................................................................... 7
Plug-in Menu 7
Memory window 10
Watches window 11
Registers window 11
Breakpoints List 12
Debugging Example Application ........................................................................................... 13
Integration for CodeWright
Integration for CodeWright ..................................................................... (int_codewright.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 3
Operation Theory .................................................................................................................... 4
Installation ............................................................................................................................... 5
Startup Sequence .................................................................................................................... 6
Menu Commands .................................................................................................................... 7
Go Continue application 7
Break Stop application 7
Step Into Step into function call 7
Step Over Step over function call 7
Set Breakpoint Set breakpoint on current line 8
Delete Breakpoint Delete breakpoint on current line 8
TRACE32 Directory 217 ©1989-2017 Lauterbach GmbH
Add Watch Add variable to watch window 8
Delete Watch Delete variable from watch window 8
Restart Target Do a target restart 8
Start TRACE32 Start display driver 9
Connect Connect to TRACE32 9
Disconnect Disconnect TRACE32 9
Synchronize Synchronize editor and PC 9
Get Selection Load source of TRACE32 selection 10
About trace32.dll Information about 'trace32.dll' 10
Working with the Codewright extensions ............................................................................. 11
Known Bugs ............................................................................................................................ 12
Integration for EasyCase
Integration for EasyCase ........................................................................... (int_easycase.pdf) 1
Overview .................................................................................................................................. 3
Brief Overview of Documents for New Users ....................................................................... 3
Operation Theory .................................................................................................................... 4
Installation ............................................................................................................................... 5
Startup Sequence .................................................................................................................... 6
Menu Commands .................................................................................................................... 7
EasyCODE V6.x Menu 7
EasyCODE V7.x Menu 10
Working with the EasyCODE Integration .............................................................................. 13
Known Bugs ............................................................................................................................ 13
Integration for eXDI2 on Windows CE Platform Builder
Integration for eXDI2 on Windows CE Platform Builder ................................ (int_exdi2.pdf) 1
Overview .................................................................................................................................. 2
Concept of hardware-assisted debugging ............................................................................ 3
How hardware-assisted debugging modifies eXDI Architecture? ...................................... 4
Driver installation and configuration ..................................................................................... 6
Getting necessary files ........................................................................................................... 9
Creating OS Design ................................................................................................................. 10
Downloading Windows CE image to target and booting system ....................................... 15
Adding example application to Windows CE image ............................................................ 18
Debugging Windows CE ......................................................................................................... 21
TRACE32 Directory 218 ©1989-2017 Lauterbach GmbH
Loading EXE/DLL modules symbols in TRACE32 21
Preparing Windows CE image 22
Driver configuration 22
Debugging session 23
Debugging hardware bring-up ............................................................................................... 29
Hardware-assisted debugging and KITL ............................................................................... 31
Using TRACE32 FDX for KITL Kernel Transport .................................................................. 32
FDX Overview 33
Architecture of KITL over FDX 33
Enabling KITL over FDX 34
Download service .................................................................................................................... 37
Debugging timings .................................................................................................................. 38
Memory caching ...................................................................................................................... 38
Troubleshooting ...................................................................................................................... 39
Integration with LabView
Integration with LabView .............................................................................. (int_labview.pdf) 1
Overview .................................................................................................................................. 3
Installation and Configuration VIs for TRACE32 .................................................................. 4
Virtual Instruments for TRACE32 Description ...................................................................... 7
Trace32_Init.vi 7
Trace32_Terminate.vi 10
Trace32_AddBreakpoint.vi 11
Trace32_ClrBreakpoint.vi 13
Trace32_ControlBreakpoint.vi 15
Trace32_MemoryRead.vi 17
Trace32_MemoryWrite.vi 20
Trace32_ReadCpuRegister.vi 22
Trace32_WriteCpuRegister.vi 23
Trace32_ReadVariable.vi 25
Trace32_WriteVariable.vi 27
Trace32_ReadVariableFloat.vi 28
Trace32_WriteVariableFloat.vi 30
Trace32_ReadVariableDouble.vi 32
Trace32_WriteVariableDouble.vi 33
Trace32_GetState.vi 35
Trace32_GetSymbol.vi 36
Trace32_GetSymbolFromAddress.vi 38
Trace32_GetAddressFromFile.vi 40
TRACE32 Directory 219 ©1989-2017 Lauterbach GmbH
Trace32_GetSourceFileName.vi 41
Trace32_PracticeCmd.vi 43
Trace32_PracticeScript.vi 45
Trace32_PracticeGetState.vi 47
Trace32_RunControl.vi 48
Trace32_System.vi 50
Trace32_Quit.vi 53
Additional Controls for TRACE32 .......................................................................................... 55
Trace32_RunCmd.ctl 55
Trace32_SystemCmd.ctl 55
Trace32_OperationBreakpoint.ctl 56
Trace32_State.ctl 57
Trace32_Error.ctl 57
Quick Start ............................................................................................................................... 59
Integration with OSE Illuminator
Integration with OSE Illuminator ......................................................................... (int_ose.pdf) 1
Requirements .......................................................................................................................... 3
Brief Overview of Documents for New Users ....................................................................... 3
Operation Theory .................................................................................................................... 4
Restrictions to the functionality of OSE Illuminator ............................................................ 4
Installation ............................................................................................................................... 5
Startup Sequence .................................................................................................................... 5
Menu Commands (WINDOWS) ............................................................................................... 6
Connect to Illuminator 6
Disconnect to Illuminator 6
Configuration 6
Commands (UNIX) ................................................................................................................... 7
Working with the OSE Illuminator extension ........................................................................ 8
Troubleshooting ...................................................................................................................... 8
Known Bugs ............................................................................................................................ 8
Integration for Rhapsody in C/C++
Integration for Rhapsody in C/C++ ...................................................(int_rhapsody_cpp.pdf) 1
Overview .................................................................................................................................. 2
Architecture of Driver ............................................................................................................. 3
Driver Installation .................................................................................................................... 4
TRACE32 Directory 220 ©1989-2017 Lauterbach GmbH
First Run of Integration Driver ............................................................................................... 5
Preparing Rhapsody Environment ........................................................................................ 7
Preparing TRACE32 ................................................................................................................ 8
Rhapsody Helpers Configuration .......................................................................................... 10
Rebuilding OXF LangCpp libraries for eCos, GCC and PPC .............................................. 13
Rebuilding OXF LangC Libraries for OSE, DIAB and PPC .................................................. 15
Rebuilding OXF LangC Libraries for eCos, GCC and PPC .................................................. 16
Preparing C/C++ Application for Animation ......................................................................... 24
Integration Features ................................................................................................................ 27
Locating Source in Rhapsody 28
Go and Break in TRACE32 33
Integration for Rhapsody in MicroC
Integration for Rhapsody in MicroC .................................................. (int_rhapsody_mc.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 2
Operation Theory .................................................................................................................... 3
Installation ............................................................................................................................... 4
Command Line Parameters .................................................................................................... 5
Startup Sequence .................................................................................................................... 6
Working with the TRACE32 GBA Driver ................................................................................ 6
FAQ ........................................................................................................................................... 7
Integration for Simulink
Integration for Simulink ...............................................................................(int_simulink.pdf) 1
Introduction ............................................................................................................................. 3
Installation ............................................................................................................................... 4
System Requirements 4
License Requirements 4
Installing the TRACE32 Integration for Simulink 5
Updating the TRACE32 Integration for Simulink 5
Deinstallation ........................................................................................................................... 5
TRACE32 PIL ........................................................................................................................... 6
Harness for Back-to-Back Testing 6
Back-to-Back Testing 8
TRACE32 Directory 221 ©1989-2017 Lauterbach GmbH
Interface Configuration 10
Start TRACE32 PIL .................................................................................................................. 12
Configure the PIL Simulation Mode ....................................................................................... 13
Select an Extension (PIL) 13
Create a Harness (PIL) 14
Processor-In-the-Loop (PIL) 16
TRACE32 XIL ........................................................................................................................... 18
Build Process 18
Configuration of Models 18
Code Coverage Measurement 19
Code Execution Profiling 20
Stack Profiling 20
Troubleshooting ...................................................................................................................... 23
Frequently Asked Questions 23
Known Issues 24
Help Us Help You - Export TRACE32 Information 25
Integration for Tornado I, agentless
Integration for Tornado I, agentless ............................................................ (int_tornado.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 2
Operation Theory .................................................................................................................... 3
Installation ............................................................................................................................... 4
Installation of the Backend on Windows NT 4
Startup Sequence .................................................................................................................... 5
Simple Example ....................................................................................................................... 5
Working with the TRACE32 Back-end ................................................................................... 6
Known Problems and Bugs .................................................................................................... 6
Integration for Tornado I, WDB-agent
Integration for Tornado I, WDB-agent ............................................. (int_tornado_agent.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 2
Operation Theory .................................................................................................................... 3
Installation ............................................................................................................................... 4
Installation of Target Resident wdb Debug Agent 4
Installation of the Back-end on Windows 4
TRACE32 Directory 222 ©1989-2017 Lauterbach GmbH
Startup Sequence .................................................................................................................... 5
Simple Example ....................................................................................................................... 5
Working with the TRACE32 Back-end ................................................................................... 6
Known Problems and Bugs .................................................................................................... 6
Integration for Visual Basic Interface
Integration for Visual Basic Interface .................................................... (int_visualbasic.pdf) 1
Brief Overview of Documents for New Users ....................................................................... 3
Release Information ................................................................................................................ 3
Introduction ............................................................................................................................. 4
Restrictions in Demo Mode 4
Interfaces 5
Operation of the VBI Requests 6
Building an Application with VBI ........................................................................................... 7
VBI Files 7
Connecting VBI and Application 8
Communication Setup ............................................................................................................ 9
Preparing TRACE32 Device Driver 9
Configuring VBI 9
Troubleshooting 10
Generic VBI Functions ............................................................................................................ 12
T32SetDLLDebug Configure debug information output 12
T32Config Configure Driver 13
T32Init Initialize Driver and Connect 14
T32Exit Close Connection 14
T32Attach Attach TRACE32 device 15
T32Nop Send empty message 16
T32Ping Send ping message 16
T32Stop Stop PRACTICE script 17
T32Cmd Execute PRACTICE command 17
T32EvalGet Get evaluation result 18
T32GetMessage Get message line contents 19
ICD/ICE VBI Functions ............................................................................................................ 20
T32GetState Get state of debugger 20
T32GetCpuInfo Get information about used CPU 21
T32GetRam Get memory mapping 22
T32ResetCPU Prepare for emulation 23
T32ReadMemory Read target memory 24
T32WriteMemory Write to target memory 25
TRACE32 Directory 223 ©1989-2017 Lauterbach GmbH
T32WriteMemoryPipe Write to target memory pipelined 26
T32ReadRegister Read CPU registers 27
T32WriteRegister Write CPU registers 28
T32ReadPP Read program pointer 29
T32ReadBreakpoint Read breakpoints 30
T32WriteBreakpoint Write breakpoints 31
T32Step Single step 32
T32StepMode Single step with mode control 33
T32Go Start realtime 34
T32Break Stop realtime 34
T32GetSymbol Get symbol information 35
T32GetSource Get source filename and line 36
T32GetSelectedSource Get source filename and line of selection 37
T32AnaStatusGet Get state of state analyzer 38
T32AnaRecordGet Get one record of state analyzer 39
Functions for using the API with Multiple Debuggers ......................................................... 41
T32SetChannel Set Channel to TRACE32 Instance 41
T32ExitAllChannels Cleanup all channels 42
Version Control ....................................................................................................................... 43
Integration for Xilinx ISE
Integration for Xilinx ISE ....................................................................................... (int_ise.pdf) 1
Introduction ............................................................................................................................. 2
Getting Started ........................................................................................................................ 3
Usage on 64-bit Machines ...................................................................................................... 4
Configuring TRACE32 ............................................................................................................. 5
Configuring Xilinx ISE 11 and ISE 12 .................................................................................... 6
Configuring Xilinx ISE 13 and ISE 14 .................................................................................... 7
Using Xilinx iMPACT via the TRACE32 Debug Interface ..................................................... 8
Using Xilinx ChipScope via the TRACE32 Debug Interface ................................................ 9
Compatibility ............................................................................................................................ 10
Troubleshooting ...................................................................................................................... 11
Contacting Support ................................................................................................................. 13
Integration for Xilinx Vivado
Integration for Xilinx Vivado ........................................................................... (int_vivado.pdf) 1
Introduction ............................................................................................................................. 3
TRACE32 Directory 224 ©1989-2017 Lauterbach GmbH
Restrictions 3
System Requirements 4
Setting up the XVCD Bridge ................................................................................................... 5
Installation 5
Configuration 7
Starting the XVCD Bridge ....................................................................................................... 10
Starting the Bridge with Automatic Start of hw_server 10
Starting the Bridge with Manual Start of hw_server 12
Connecting to Vivado 14
Troubleshooting ...................................................................................................................... 15
Closing the XVCD Bridge ....................................................................................................... 16
Integration for X-Tools and X32
Integration for X-Tools and X32 ......................................................................(int_xtools.pdf) 1
Overview .................................................................................................................................. 2
Brief Overview of Documents for New Users ....................................................................... 2
Operation Theory .................................................................................................................... 3
Installation ............................................................................................................................... 3
Startup Sequence .................................................................................................................... 4
Menu Commands .................................................................................................................... 5
Set Breakpoint Set breakpoint on current line 5
Delete Breakpoint Delete breakpoint on current line 5
List of all Breakpoints Lists the breakpoints 5
Go Continue application 5
Break Stop application 5
Go until Cursor Continue application until this line 6
Step Over Step over function call 6
Step Into Step into function call 6
Watch Variable Add variable to watch window 6
Working with the X-TOOLS extensions ................................................................................. 7
Known Problems ..................................................................................................................... 8
TRACE32 Instruction Set Simulators
API for TRACE32 Instruction Set Simulator
API for TRACE32 Instruction Set Simulator ........................................... (simulator_api.pdf) 1
TRACE32 Directory 225 ©1989-2017 Lauterbach GmbH
Overview .................................................................................................................................. 2
Peripheral Simulation Model .................................................................................................. 6
Standard function 6
Registers 10
Timers 13
Stall 14
Ports 15
Terminals 17
Communication 18
Files 18
Deprecated functions 20
Practical script commands ..................................................................................................... 21
Peripheral model example ...................................................................................................... 25
Environment 25
Source code listing 28
Initialization scripts 37
Simulator for 68K/ColdFire
Simulator for 68K/ColdFire .......................................................................(simulator_68k.pdf) 1
TRACE32 Simulator License .................................................................................................. 4
Quick Start of the Simulator ................................................................................................... 5
Peripheral Simulation ............................................................................................................. 7
Troubleshooting ...................................................................................................................... 8
FAQ 8
68K and HC16 specific Implementations .............................................................................. 9
Memory Classes 9
68K and HC16 specific SYStem Commands ......................................................................... 10
SYStem.CONFIG Configure debugger according to target topology 10
SYStem.CPU Select CPU type 10
SYStem.CpuAccess Run-time memory access (intrusive) 10
SYStem.LOCK Lock and tristate the debug port 11
SYStem.MemAccess Real-time memory access (non-intrusive) 11
SYStem.Mode Establish the communication with the simulator 12
SYStem.Option BASE Select peripheral base address 12
SYStem.Option MMUSPACES Enable space IDs 13
SYStem.RESetOut CPU reset command for 68K simulator 14
SYStem.RESetOut Assert nRESET/nSRST on JTAG connector 14
CPU specific MMU Commands .............................................................................................. 15
MMU.DUMP Page wise display of MMU translation table 15
TRACE32 Directory 226 ©1989-2017 Lauterbach GmbH
MMU.List Compact display of MMU translation table 16
MMU.SCAN Load MMU table from CPU 17
Support ..................................................................................................................................... 18
Available Tools 18
Compilers 22
Target Operating Systems 68K 25
Target Operating Systems ColdFire 25
3rd Party Tool Integrations 68K 26
3rd Party Tool Integrations ColdFire 27
Products ................................................................................................................................... 28
Product Information 28
Order Information 28
Simulator for ARC
Simulator for ARC ..................................................................................... (simulator_arc.pdf) 1
Introduction ............................................................................................................................. 3
Supported ARC Cores 3
Brief Overview of Documents for New Users 4
Demo and Start-up Scripts 5
TRACE32 License .................................................................................................................... 6
Troubleshooting ...................................................................................................................... 7
FAQ ........................................................................................................................................... 7
Quick Start ............................................................................................................................... 8
Configure the Debugger to Use the ARCINT Interface ........................................................ 12
Use T32Start 12
Modify an Existing Configuration File 13
Create a New Configuration File 13
ARCINT specific SYStem Commands ................................................................................... 14
SYStem.LIBrary Set path to debug driver of simulator 14
SYStem.PROPertieS Control properties of the used simulator (usually nSIM) 15
SYStem.PROPertieS.ADD Add a property to configure the simulator 15
SYStem.PROPertieS.Delete Remove a property to configure the simulator 15
SYStem.PROPertieS.List Show all property sets to configure the simulator 16
SYStem.PROPertieS.Modify Change property set to configure simulator 16
Access Classes ....................................................................................................................... 17
CPU specific SYStem Commands ......................................................................................... 18
SYStem.CPU Select CPU type 18
SYStem.CpuAccess Run-time memory access (intrusive) 19
SYStem.MemAccess Real-time memory access (non-intrusive) 20
TRACE32 Directory 227 ©1989-2017 Lauterbach GmbH
SYStem.Mode Select target reset mode 21
SYStem.Option Set a target specific option 22
SYStem.Option AHBHPROT Select AHB-AP HPROT bits 22
SYStem.Option AXIACEEnable ACE enable flag of the AXI-AP 22
SYStem.Option AXICACHEFLAGS Select AXI-AP CACHE bits 23
SYStem.Option AXIHPROT Select AXI-AP HPROT bits 24
SYStem.Option DAPDBGPWRUPREQ Force debug power in DAP 24
SYStem.Option DAPREMAP Rearrange DAP memory map 25
SYStem.Option DAPSYSPWRUPREQ Force system power in DAP 25
SYStem.Option DAPNOIRCHECK No DAP instruction register check 25
SYStem.Option DEBUGPORTOptions Options for debug port handling 26
SYStem.Option detectOTrace Disable auto-detection of on-chip trace 26
SYStem.Option Endianness Set the target endianness 27
SYStem.Option HotBreakPoints Set breakp. when CPU is running 27
SYStem.Option IMASKASM Disable interrupts while single stepping 28
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 28
SYStem.Option LimmBreakPoints Software breakpoints with extra NOPs 28
SYStem.Option MMUSPACES Enable space IDs 29
SYStem.Option OVERLAY Enable overlay support 30
SYStem.Option RegNames Enable trivial names for core registers 30
SYStem.Option TIMEOUT Define maximum time for core response 31
SYStem.state Show SYStem settings window 31
On-chip Breakpoints/Actionpoints ........................................................................................ 32
Using On-chip Breakpoints 32
Breakpoints in a ROM Area 32
Limitations 33
TrOnchip.CONVert Allow extension of address range of breakpoint 34
TrOnchip.VarCONVert Convert breakpoints on scalar variables 36
TrOnchip.OnchipBP Number of on-chip breakpoints used by debugger 37
TrOnchip.RESet Set on-chip trigger to default state 38
TrOnchip.state Display on-chip trigger window 38
CPU specific MMU Commands .............................................................................................. 39
MMU.DUMP Page wise display of MMU translation table 39
MMU.List Compact display of MMU translation table 40
MMU.SCAN Load MMU table from CPU 41
Support ..................................................................................................................................... 42
Available Tools 42
Compilers 42
Target Operating Systems 42
3rd Party Tool Integrations 43
Products ................................................................................................................................... 44
Product Information 44
TRACE32 Directory 228 ©1989-2017 Lauterbach GmbH
Order Information 44
Simulator for ARM and XSCALE
Simulator for ARM and XSCALE ............................................................. (simulator_arm.pdf) 1
TRACE32 Simulator License .................................................................................................. 4
Quick Start of the Simulator ................................................................................................... 5
Peripheral Simulation ............................................................................................................. 7
Troubleshooting ...................................................................................................................... 8
FAQ 8
Memory Classes 9
Virtual Terminal 10
Semihosting 10
Coprocessors 11
ARM specific SYStem Commands ......................................................................................... 12
SYStem.CPU Select the used CPU 12
SYStem.CONFIG Configure debugger according to target topology 12
SYStem.MemAccess Run-time memory access 13
SYStem.Mode Establish the communication with the simulator 13
SYStem.Option Alignment Enable alignment exceptions 14
SYStem.Option BigEndian Define byte order (endianness) 14
SYStem.Option DisMode Define disassembler mode 15
SYStem.Option DUALPORT Implicitly use run-time memory access 15
SYStem.Option MMUSPACES Enable space IDs 16
SYStem.Option OVERLAY Enable overlay support 17
SYStem.Option ZoneSPACES Enable symbol management for ARM zones 18
SYStem.RESetOut Assert nRESET/nSRST on JTAG connector 23
CPU specific MMU Commands .............................................................................................. 24
MMU.DUMP Page wise display of MMU translation table 24
MMU.List Compact display of MMU translation table 25
MMU.SCAN Load MMU table from CPU 27
CPU specific SMMU Commands ............................................................................................ 28
SMMU Hardware system MMU (SMMU) 28
SMMU.ADD Define a new hardware system MMU 32
SMMU.Clear Delete an SMMU 33
SMMU.Register Peripheral registers of an SMMU 34
SMMU.Register.ContextBank Display registers of context bank 35
SMMU.Register.Global Display global registers of SMMU 36
SMMU.Register.StreamMapRegGrp Display registers of an SMRG 37
SMMU.RESet Delete all SMMU definitions 38
SMMU.SSDtable Display security state determination table 39
TRACE32 Directory 229 ©1989-2017 Lauterbach GmbH
SMMU.StreamMapRegGrp Access to stream map table entries 41
SMMU.StreamMapRegGrp.ContextReg Display context bank registers 42
SMMU.StreamMapRegGrp.Dump Page-wise display of SMMU page table 44
SMMU.StreamMapRegGrp.List List the page table entries 46
SMMU.StreamMapTable Display a stream map table 47
Support ..................................................................................................................................... 54
Available Tools 54
Compilers 73
Target Operating Systems 75
3rd Party Tool Integrations 77
Products ................................................................................................................................... 78
Product Information 78
Order Information 78
Simulator for C166/ST10
Simulator for C166/ST10 .........................................................................(simulator_c166.pdf) 1
TRACE32 Simulator License .................................................................................................. 3
Quick Start of the Simulator ................................................................................................... 4
Peripheral Simulation ............................................................................................................. 6
Troubleshooting ...................................................................................................................... 7
FAQ ........................................................................................................................................... 7
General SYStem Settings and Restrictions .......................................................................... 8
SYStem.CONFIG Configure debugger according to target topology 8
SYStem.CONFIG.DAP Describe the target configuration 8
SYStem.CPU CPU type 8
SYStem.CpuAccess Run-time memory access (intrusive) 8
SYStem.Down Disables monitor 9
SYStem.LOCK Lock and tristate the debug port 9
SYStem.MemAccess Real-time memory access (non-intrusive) 10
SYStem.Option DUALPORT Run-time memory access for all windows 10
SYStem.Option IMASKASM Disable interrupts while single stepping 10
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 11
SYStem.Option SGT Segmentation 11
Special Functions 12
Memory Classes ...................................................................................................................... 13
Support ..................................................................................................................................... 14
Available Tools 14
Compilers 19
Target Operating Systems 20
TRACE32 Directory 230 ©1989-2017 Lauterbach GmbH
3rd Party Tool Integrations 21
Products ................................................................................................................................... 22
Product Information 22
Order Information 22
Simulator for H8/300, H8/300H and H8S
Simulator for H8/300, H8/300H and H8S ................................................... (simulator_h8.pdf) 1
TRACE32 Simulator License .................................................................................................. 4
Quick Start of the Simulator ................................................................................................... 5
Peripheral Simulation ............................................................................................................. 7
Troubleshooting ...................................................................................................................... 8
FAQ ........................................................................................................................................... 8
Basics ....................................................................................................................................... 9
Specific SYStem Commands ................................................................................................. 10
SYStem.CONFIG Configure debugger according to target topology 10
SYStem.CPU CPU type 10
SYStem.CpuAccess Run-time memory access (intrusive) 10
SYStem.LOCK Lock and tristate the debug port 11
SYStem.MemAccess Real-time memory access (non-intrusive) 11
SYStem.Mode Establish the communication with the simulator 12
SYStem.Option Advanced Advanced addressing mode 12
SYStem.Option EXR EXR mode setting 13
SYStem.Option IMASKASM Disable interrupts while single stepping 13
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 13
Memory Classes ...................................................................................................................... 14
Support ..................................................................................................................................... 15
Available Tools 15
Compilers 20
Target Operating Systems 21
3rd Party Tool Integrations 21
Products ................................................................................................................................... 23
Product Information 23
Order Information 23
Simulator for HC08/MSC08
Simulator for HC08/MSC08 .................................................................... (simulator_hc08.pdf) 1
TRACE32 Simulator License .................................................................................................. 3
Quick Start of the Simulator ................................................................................................... 4
TRACE32 Directory 231 ©1989-2017 Lauterbach GmbH
Peripheral Simulation ............................................................................................................. 6
Troubleshooting ...................................................................................................................... 7
FAQ ........................................................................................................................................... 7
General SYStem Settings and Restrictions .......................................................................... 8
SYStem.CPU Select CPU type 8
SYStem.Mode Establish the communication with the simulator 8
SYStem.Option IMASKASM Disable interrupts while single stepping 9
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 9
Memory Classes ...................................................................................................................... 10
Support ..................................................................................................................................... 11
Available Tools 11
Compilers 13
Target Operating Systems 13
3rd Party Tool Integration 14
Products ................................................................................................................................... 15
Product Information 15
Order Information 15
Simulator for HC12/MCS12
Simulator for HC12/MCS12 .................................................................... (simulator_hc12.pdf) 1
TRACE32 Simulator License .................................................................................................. 4
Quick Start of the Simulator ................................................................................................... 5
Peripheral Simulation ............................................................................................................. 7
Troubleshooting ...................................................................................................................... 8
FAQ ........................................................................................................................................... 8
General SYStem Settings and Restrictions .......................................................................... 9
SYStem.CPU Select CPU type 9
SYStem.CpuAccess Run-time memory access (intrusive) 9
SYStem.LOCK Lock and tristate the debug port 10
SYStem.MemAccess Real-time memory access (non-intrusive) 10
SYStem.Option BASE Base address of internal registers 10
SYStem.Option DUALPORT Run-time memory access for all windows 11
Memory Classes ...................................................................................................................... 12
Banked Applications ............................................................................................................... 13
Background and Compatibility Information 13
SYStem.Option PAGING Banked applications 13
SYStem.Option ROMHM ROM in second half of map 13
SYStem.Option TRANS Transparent mode 14
TRACE32 Directory 232 ©1989-2017 Lauterbach GmbH
Using the MMU for HC12DA/DG/DT128 16
SYStem.Option MEMEXP Memory expansion 16
SYStem.Option ROMTST FLASH EEPROM test mode 17
Using the MMU for HC12A4/F8 17
Support ..................................................................................................................................... 23
Available Tools 23
Compilers 26
Target Operating Systems 27
3rd Party Tool Integrations 27
Products ................................................................................................................................... 29
Product Information 29
Order Information 29
Simulator for Intel® x86/x64
Simulator for Intel® x86/x64 .....................................................................(simulator_x86.pdf) 1
TRACE32 Simulator License .................................................................................................. 4
Quick Start of the Simulator ................................................................................................... 5
Peripheral Simulation ............................................................................................................. 7
x86 Specific Implementations ................................................................................................ 8
Access Classes 8
Memory Model 19
Segmentation 20
Troubleshooting ...................................................................................................................... 22
FAQ 22
Emulation Modes ..................................................................................................................... 23
SYStem.CONFIG Configure debugger according to target topology 23
SYStem.CPU CPU type 23
SYStem.CpuAccess Run-time memory access (intrusive) 23
SYStem.LOCK Lock and tristate the debug port 24
SYStem.MemAccess Real-time memory access (non-intrusive) 24
SYStem.Mode Establish the communication with the simulator 25
General SYStem Settings and Restrictions .......................................................................... 26
SYStem.Option REL Relocation register 26
SYStem.Option MEMoryMODEL Define memory model 26
SYStem.Option MMUSPACES Enable space IDs 29
SYStem.Option ZoneSPACES Enable symbol management for zones 30
Support ..................................................................................................................................... 32
Available Tools 32
Compilers 35
TRACE32 Directory 233 ©1989-2017 Lauterbach GmbH
Target Operating Systems 35
3rd Party Tool Integrations 36
Products ................................................................................................................................... 37
Product Information 37
Order Information 37
Simulator for MIPS
Simulator for MIPS ................................................................................. (simulator_mips.pdf) 1
TRACE32 Simulator License .................................................................................................. 4
Quick Start of the Simulator ................................................................................................... 5
Peripheral Simulation ............................................................................................................. 7
Troubleshooting ...................................................................................................................... 8
FAQ ........................................................................................................................................... 8
Memory Classes ...................................................................................................................... 9
Belated Trace Analysis ........................................................................................................... 10
MIPS specific SYStem Commands ........................................................................................ 11
SYStem.CONFIG Configure debugger according to target topology 11
SYStem.CPU Select the used CPU 11
SYStem.CpuAccess Run-time memory access (intrusive) 11
SYStem.LOCK Lock and tristate the debug port 12
SYStem.MemAccess Real-time memory access (non-intrusive) 12
SYStem.Option MMUSPACES Enable space IDs 13
SYStem.Mode Establish the communication with the target 14
SYStem.Option Address32 Use 32-bit addresses 14
SYStem.Option DisMode Define disassembler mode 15
SYStem.Option Endianness Define endianness of target memory 16
SYStem.Option IMASKASM Disable interrupts while ASM single stepping 16
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 16
SYStem.RESetOut CPU reset command for MIPS simulator 16
SYStem.RESetOut Assert nRESET/nSRST on JTAG connector 17
CPU specific MMU Commands .............................................................................................. 18
MMU.DUMP Page wise display of MMU translation table 18
MMU.List Compact display of MMU translation table 19
MMU.SCAN Load MMU table from CPU 20
Support ..................................................................................................................................... 21
Available Tools 21
Compilers 26
Target Operating Systems 26
3rd Party Tool Integrations 27
TRACE32 Directory 234 ©1989-2017 Lauterbach GmbH
Products ................................................................................................................................... 28
Product Information 28
Order Information 28
Simulator for NIOS-II
Simulator for NIOS-II ............................................................................... (simulator_nios.pdf) 1
Introduction ............................................................................................................................. 3
TRACE32 Simulator License .................................................................................................. 3
Start the Prepared Demo ........................................................................................................ 4
Quick Start ............................................................................................................................... 6
General Restrictions ............................................................................................................... 8
General System Commands ................................................................................................... 9
SYStem.CPU Select CPU type 9
SYStem.CpuAccess Run-time memory access (intrusive) 9
SYStem.LOCK Lock and tristate the debug port 9
SYStem.MemAccess Real-time memory access (non-intrusive) 10
SYStem.Mode Establish the communication with the simulator 11
SYStem.CONFIG Configure debugger according to target topology 11
SYStem.Option DCFLUSH Flush data cache before Step or Go 12
SYStem.Option Endianness Select endianness of core 12
SYStem.Option EXCADDR Define exception address 12
SYStem.Option FEXCADDR Define fast TLB miss exception address 12
SYStem.Option ICFLUSH Invalidate instruction cache before go/step 13
SYStem.Option IMASKASM Mask interrupts during assembler step 13
SYStem.Option IMASKHLL Mask interrupts during HLL step 13
SYStem.Option IVRCode Define code for interrupt vector instruction 14
SYStem.Option MMUSPACES Enable space IDs 14
SYStem.Option MULDIV Define if mul and div instructions are supported 15
SYStem.Option SIMMMU Define properties of simulated MMU 16
CPU specific MMU Commands .............................................................................................. 17
MMU.DUMP Page wise display of MMU translation table 17
MMU.List Compact display of MMU translation table 18
MMU.SCAN Load MMU table from CPU 19
Memory Classes ...................................................................................................................... 20
Overview 20
Peripheral Simulation ............................................................................................................. 21
FAQ ........................................................................................................................................... 21
Support ..................................................................................................................................... 22
Available Tools 22
TRACE32 Directory 235 ©1989-2017 Lauterbach GmbH
Compilers 22
Target Operating Systems 22
3rd Party Tool Integrations 23
Products ................................................................................................................................... 24
Product Information 24
Order Information 24
Simulator for PowerPC
Simulator for PowerPC ............................................................................ (simulator_ppc.pdf) 1
TRACE32 Simulator License .................................................................................................. 4
Quick Start of the Simulator ................................................................................................... 5
Peripheral Simulation ............................................................................................................. 7
Troubleshooting ...................................................................................................................... 7
FAQ ........................................................................................................................................... 7
Memory Classes ...................................................................................................................... 8
General SYStem Commands .................................................................................................. 9
SYStem.CPU Select CPU type 9
SYStem.CpuAccess Run-time memory access (intrusive) 9
SYStem.MemAccess Real-time memory access (non-intrusive) 10
SYStem.Mode Establish the communication with the simulator 10
SYStem.Option DisMode Simulator operation mode 11
SYStem.Option DUALPORT Run-time memory access for all windows 11
SYStem.Option IMASKASM Disable interrupts while single stepping 12
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 12
SYStem.Option MMUSPACES Enable space IDs 12
SYStem.Option.NOTRAP Use alternative software breakpoint instruction 13
SYStem.Option OVERLAY Enable overlay support 14
CPU specific MMU Commands .............................................................................................. 15
MMU.DUMP Page wise display of MMU translation table 15
MMU.List Compact display of MMU translation table 16
MMU.SCAN Load MMU table from CPU 17
Support ..................................................................................................................................... 19
Available Tools 19
Compilers 19
Target Operating Systems 21
3rd Party Tool Integrations 22
Products ................................................................................................................................... 23
Product Information 23
Order Information 23
TRACE32 Directory 236 ©1989-2017 Lauterbach GmbH
Simulator for SuperH
Simulator for SuperH ................................................................................. (simulator_sh.pdf) 1
TRACE32 Simulator License .................................................................................................. 4
Quick Start of the Simulator ................................................................................................... 5
Peripheral Simulation ............................................................................................................. 7
Troubleshooting ...................................................................................................................... 8
FAQ ........................................................................................................................................... 8
CPU specific SYStem Commands ......................................................................................... 9
SYStem.CONFIG Configure debugger according to target topology 9
SYStem.CPU CPU type 9
SYStem.CpuAccess Run-time memory access (intrusive) 9
SYStem.MemAccess Real-time memory access (non-intrusive) 10
SYStem.Option IMASKASM Disable interrupts while single stepping 10
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 10
SYStem.Option LittleEnd Selection of little endian mode 11
SYStem.Option MMUSPACES Enable space IDs 11
SYStem.RESetOut Assert nRESET/nSRST on JTAG connector 12
CPU specific MMU Commands .............................................................................................. 13
MMU.DUMP Page wise display of MMU translation table 13
MMU.List Compact display of MMU translation table 14
MMU.SCAN Load MMU table from CPU 15
General Settings and Restrictions ......................................................................................... 17
Memory Classes ...................................................................................................................... 18
Support ..................................................................................................................................... 19
Available Tools 19
Compilers 21
Target Operating Systems 22
3rd Party Tool Integrations 23
Products ................................................................................................................................... 24
Product Information 24
Order Information 24
Simulator for TriCore
Simulator for TriCore .......................................................................... (simulator_tricore.pdf) 1
TRACE32 Simulator License .................................................................................................. 4
Brief Overview of Documents for New Users ....................................................................... 5
Example Scripts ...................................................................................................................... 5
TRACE32 Directory 237 ©1989-2017 Lauterbach GmbH
Quick Start ............................................................................................................................... 6
1. Select the Device Prompt B: for the ICD Debugger 6
2. Select the CPU Type to load the CPU specific Settings 6
3. Enter Debug Mode 6
4. Load your Application Program 6
5. Write a Start-up Script 7
OCDS-L1 Debugger ................................................................................................................. 8
Troubleshooting 8
Memory Classes 9
Breakpoints 10
Trace ......................................................................................................................................... 11
FAQ ........................................................................................................................................... 12
CPU specific Trace Commands ............................................................................................. 13
Analyzer.Mode PCP Select PCP trace 13
SYStem.Option DataTrace Enable data tracing 13
SYStem.Option INTSTART Start address of interrupt routines 13
SYStem.Option INTUSE Number of implemented interrupts 13
SYStem.Option MCDSKeyHigh Key (high part) for unlocking MCDS 13
SYStem.OptionMCDSKeyLow Key (low part) for unlocking MCDS 13
SYStem.Option TRAPSTART Start address of trap vectors 13
CPU specific SYStem Commands ......................................................................................... 14
SYStem.BdmClock Define JTAG frequency 14
SYStem.CONFIG Configure debugger according to target topology 14
SYStem.CONFIG.DAP.BreakPINS Define mapping of break pins 14
SYStem.CONFIG.DAP.DAPENable Enable DAP mode on PORST 14
SYStem.CONFIG.DAP.USERn Configure and set USER pins 14
SYStem.CONFIG.Interface Set debug cable interface mode 14
SYStem.CPU Select CPU 15
SYStem.CpuAccess Run-time CPU access (intrusive) 15
SYStem.JtagClock Set the JTAG frequency 16
SYStem.LOCK Tristate the JTAG port 16
SYStem.MemAccess Run-time memory access (non-intrusive) 17
SYStem.Mode Establish the communication with the CPU 18
SYStem.Option CPU specific commands 19
SYStem.Option DCFREEZE Do not invalidate cache 19
SYStem.Option DIAG Diagnosis function 19
SYStem.Option DUALPORT Run-time memory access for all windows 19
SYStem.Option ETK Debugging together with ETK from ETAS 19
SYStem.Option HeartBeat Bug fix to avoid FPI bus conflict 20
SYStem.Option ICFLUSH Flush instruction cache at “Go” or “Step” 20
SYStem.Option IMASKASM Disable interrupts while single stepping 20
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 20
TRACE32 Directory 238 ©1989-2017 Lauterbach GmbH
SYStem.Option PERSTOP Enable global peripheral suspend 20
SYStem.Option SOFTLONG Set 32 bit software breakpoints 20
SYStem.Option STEPSOFT Step with software breakpoints 20
SYStem.Option TB1766FIX Bug fix for some TC1766 TriBoards 20
SYStem.Option TC1796FIX Bug fix for disabling the watchdog 21
SYStem.Option TC19XXFIX Bug fix required for some TC19XX derivatives 21
SYStem.RESetOut Assert nRESET/nSRST on JTAG connector 21
SYStem.Option WATCHDOGFIX Disables the watchdog on SYStem.Up 21
SYStem.state Open SYStem.state window 21
CPU specific TrOnchip Commands ....................................................................................... 22
TrOnchip.BreakBusN.BreakIN Configure break pin of BreakBus N 22
TrOnchip.BreakBusN.BreakOUT Configure break pin of BreakBus N 22
TrOnchip.BreakIN.<target> Connect break target to BreakBus 22
TrOnchip.BreakOUT.<source> Connect break source to BreakBus 22
TrOnchip.CONVert Not relevant for the TRICORE architecture 22
TrOnchip.CountX Event X counter value 22
TrOnchip.CountY Event Y counter value 22
TrOnchip.EXTernal Configure TriCore break on BreakBus event 23
TrOnchip.RESet Reset settings for the on-chip trigger unit 23
TrOnchip.SusSWitch Enable or disable suspend switch 23
TrOnchip.SusSWitch.FORCE Force generation of suspend signal 23
TrOnchip.SusSWitch.Mode Set suspend switch mode 23
TrOnchip.SusTarget Connect special targets to the suspend bus 23
TrOnchip.TCompress Trace data compression 23
TrOnchip.TDelay Trace trigger delay (obsolete) 23
TrOnchip.TExtMode Mode for external trigger input 24
TrOnchip.TExtPol Polarity of external trigger input 24
TrOnchip.TMode Trace mode (obsolete) 24
TrOnchip.TR0 Specify trigger event 0 24
TrOnchip.TR1 Specify trigger event 1 24
TrOnchip.state Show on-chip trigger window 24
TrOnchip.X Select trigger source X 24
TrOnchip.Y Select trigger source Y 24
Support ..................................................................................................................................... 25
Available Tools 25
Compilers 28
Target Operating Systems 28
3rd Party Tool Integrations 29
Products ................................................................................................................................... 30
Product Information 30
Order Information 30
TRACE32 Directory 239 ©1989-2017 Lauterbach GmbH
Simulator for Z80+
Simulator for Z80+ .....................................................................................(simulator_z80.pdf) 1
TRACE32 Simulator License .................................................................................................. 3
Quick Start of the Simulator ................................................................................................... 4
Peripheral Simulation ............................................................................................................. 6
Troubleshooting ...................................................................................................................... 7
FAQ ........................................................................................................................................... 7
Emulation Modes ..................................................................................................................... 8
SYStem.Mode Establish the communication with the simulator 8
SYStem.CPU CPU type 8
General SYStem Settings and Restrictions .......................................................................... 9
SYStem.Option BASE Base address of internal registers 9
Using the MMU for Z180 ......................................................................................................... 10
Memory Classes ...................................................................................................................... 12
Support ..................................................................................................................................... 13
Available Tools 13
Compilers 14
Realtime Operation System 14
3rd Party Tool Integrations 15
Products ................................................................................................................................... 16
Product Information 16
Order Information 16
ICD In-Circuit Debugger
ICD Debugger User's Guide
ICD Debugger User's Guide ...................................................................(debugger_user.pdf) 1
Warning .................................................................................................................................... 4
Basics ....................................................................................................................................... 5
Restrictions .............................................................................................................................. 5
Debugger Licenses ................................................................................................................. 6
Configuration ........................................................................................................................... 8
Configuration Overview 8
ICD Configuration for JTAG/BDM Debugger 10
ICD Configuration for ROM Monitor 11
TRACE32 Directory 240 ©1989-2017 Lauterbach GmbH
Expanded Configurations 13
Installation ............................................................................................................................... 14
Software Installation 14
Command Line Arguments for Starting TRACE32 15
PODBUS Interface Card 20
Parallel PODBUS Converter 21
Serial Line Configuration 22
Using Multiple Devices on one PODBUS 22
Multicore Debugging 23
Multiprocessor Debugging 53
Program Start and End 54
Installation as TRACE32-ICE Extension ................................................................................ 55
Software Installation 55
Working with the ICD Debug System .................................................................................... 58
Available Device Prompts 58
ICD Commands and Procedures ........................................................................................... 59
Mapping the EPROM Simulator 60
Break 62
eXception 63
RESet 65
SYStem 66
Trigger 69
Trigger.Out (BDM only) 69
Trace Methods ......................................................................................................................... 70
ART - Advanced Register Trace 71
SNOOPer 74
Software Trace ......................................................................................................................... 84
The Trace Format 86
Operation Modes 87
Software Trace Configuration 89
Display the Software Trace 97
Software Trace as a Flow Trace for the SH4 98
Software Trace as a Flow Trace for the MPC860 105
ICD Add-Ons
EPROM/FLASH Simulator
EPROM/FLASH Simulator .................................................................(eprom_simulator.pdf) 1
Introduction .......................................................................................................................... 4
Basics 4
TRACE32 Directory 241 ©1989-2017 Lauterbach GmbH
Warning 4
Configuration ........................................................................................................................ 5
ICD Configuration for ROM Monitor 5
Mapping ................................................................................................................................. 7
Mapping the EPROM Simulator 7
Mapping the EPROM Simulator for BDM/ROM 8
Mapper Commands 11
Data Access .......................................................................................................................... 12
Break and Exception Control .............................................................................................. 13
Break 13
Count ..................................................................................................................................... 14
Counter 14
Counter Commands 15
eXception .............................................................................................................................. 16
eXception.ICEINTPOL Polarity of ICEINT line 16
eXception.NMIBREAK Break through NMI 17
eXception.NMIDTR Break through DTR line 17
eXception.NMIPOL Polarity selection of NMI signal 17
eXception.NMIRTS Break through RTS line 17
eXception.RESet Default settings 17
eXception.RESetDTR Reset through DTR line 18
eXception.RESetPOL Polarity of RESET signal 18
eXception.RESetRTS Reset through RTS line 18
eXception.view Show exception settings 18
RESET ................................................................................................................................... 19
RESet Initialize simulator 19
SYStem Commands ............................................................................................................. 20
SYStem.Down Deactivates simulator 20
SYStem.Mode Selects operation mode 20
SYStem.Up Activates simulator 21
SYStem.state Shows operation mode 21
Store Settings ....................................................................................................................... 22
AutoSTOre Autosave of settings 22
ClipSTOre Store a setting to clipboard 23
STOre Store a setting 23
Adapters ................................................................................................................................ 25
Adapter Configuration 25
Pinout Adapters 29
ESICON Adapter Function 38
Dimensions 43
TRACE32 Directory 242 ©1989-2017 Lauterbach GmbH
Products 43
Order Information 44
TPU Debugger
TPU Debugger .......................................................................................................... (tpu.pdf) 1
TPU Basics ............................................................................................................................ 3
Entering TEST-Mode 4
TPU.BASE Base address 4
TPU.SCAN Scanning TPU 4
TPU.view View TPU channels 5
TPU.Register.ALL Register operation mode 5
TPU.Register.NEWSTEP New debugging mode 6
TPU.Register.view Register display 7
TPU.Register.Set Register modification 8
TPU.Dump Memory display 8
TPU.ListEntry Table display 9
TPU.List View microcode 9
TPU.Break Break TPU 10
TPU.Go Start TPU 10
TPU.SELect Select TPU for debugging 11
TPU.Step Single step TPU 11
TPU.RESet Disable TPU debugger 11
Processor Architecture Manuals
78K
78K0R/RL78 Debugger ....................................................................... (debugger_78k.pdf) 1
Brief Overview of Documents for New Users ................................................................. 3
Warning .............................................................................................................................. 4
General Notes/Target Design Requirements/Recommendations ................................. 5
General 5
Target Design Requirements 5
Limitations 5
Quick Start ......................................................................................................................... 6
Troubleshooting ................................................................................................................ 8
Communication between Debugger and Processor can not be established 8
FAQ ..................................................................................................................................... 9
78K0R/RL78 Specific Implementations ........................................................................... 11
Breakpoints 11
TRACE32 Directory 243 ©1989-2017 Lauterbach GmbH
Runtime Measurement 13
Memory Classes 13
General SYStem Commands ............................................................................................ 14
SYStem.CONFIG.state Display target configuration 14
SYStem.CONFIG Configure debugger according to target topology 14
SYStem.CPU Select the used CPU 15
SYStem.CpuAccess Run-time CPU access (intrusive) 16
SYStem.DebugClock Set debug clock frequency 17
SYStem.LOCK Lock and tristate the debug port 17
SYStem.MemAccess Run-time memory access 18
SYStem.Mode Establish the communication with the target 19
SYStem.state Display SYStem.state window 19
78K0R/RL78 specific SYStem Commands ...................................................................... 20
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 20
SYStem.Option.KEYCODE Define 10 byte on-chip security ID 20
SYStem.Option.ResetMASK Disable internal reset 20
SYStem.Option.SerialFreeze Stops serial transmissions during break 21
SYStem.Option.TimerFreeze Stops all internal timers during break 21
Debug Connection ............................................................................................................ 22
Support ............................................................................................................................... 23
Available Tools 23
Compilers 39
Target Operating Systems 39
3rd Party Tool Integrations 40
Products ............................................................................................................................. 41
Product Information 41
Order Information 42
Andes
Andes Debugger ............................................................................. (debugger_andes.pdf) 1
Brief Overview of Documents for New Users ................................................................. 3
Warning .............................................................................................................................. 4
Quick Start of the JTAG Debugger .................................................................................. 5
Troubleshooting ................................................................................................................ 7
Communication between Debugger and Processor can not be established 7
FAQ ..................................................................................................................................... 8
AndesCore Specific Implementations ............................................................................. 9
Registers 9
Breakpoints 9
TRACE32 Directory 244 ©1989-2017 Lauterbach GmbH
Runtime Measurement 12
Standby Mode 13
Memory Classes 14
Interruption Handling in Hardware 15
AndesCore specific SYStem Commands ........................................................................ 22
SYStem.CONFIG Configure debugger according to target topology 22
SYStem.CPU Select the used CPU 25
SYStem.CpuAccess Run-time memory access (intrusive) 26
SYStem.JtagClock Define JTAG frequency 27
SYStem.LOCK Tristate the JTAG port 28
SYStem.MemAccess Run-time memory access 29
SYStem.Mode Establish the communication with the target 29
SYStem.Option ArchVersion Configure version of architecture 31
SYStem.Option ArchMcu Configure MCU architecture 31
SYStem.Option ArchRdreg Configure reduced register set 32
SYStem.Option DIMBR Define base address of debug instruction memory 32
SYStem.Option IMASKASM Disable interrupts while single stepping 32
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 33
SYStem.Option MMUSPACES Enable space IDs 33
SYStem.Option SCRATCH Define address for dummy reads 34
SYStem.Option TURBO Speed up memory access 34
SYStem.state Display SYStem window 35
AndesCore Specific TrOnchip Commands ..................................................................... 36
TrOnchip.ContextID Enable context ID comparison 36
TrOnchip.CONVert Extend the breakpoint range 36
TrOnchip.RESet Reset on-chip trigger settings 37
TrOnchip.StepVector Halt on exception entry when single-stepping 37
TrOnchip.VarCONVert Convert variable breakpoints 37
TrOnchip.state Display on-chip trigger window 38
CPU specific MMU Commands ........................................................................................ 39
MMU.DUMP Page wise display of MMU translation table 39
MMU.List Compact display of MMU translation table 40
MMU.SCAN Load MMU table from CPU 41
JTAG Connection .............................................................................................................. 42
Support ............................................................................................................................... 43
Available Tools 43
Compilers 43
Target Operating Systems 43
3rd Party Tool Integrations 44
Products ............................................................................................................................. 45
Product Information 45
TRACE32 Directory 245 ©1989-2017 Lauterbach GmbH
Order Information 46
APEX
APEX Debugger ................................................................................ (debugger_apex.pdf) 1
Brief Overview of Documents for New Users ................................................................. 3
Warning .............................................................................................................................. 4
Quick Start of the JTAG Debugger .................................................................................. 5
Troubleshooting ................................................................................................................ 8
SYStem.Up Errors 8
FAQ ..................................................................................................................................... 9
APEX Specific Implementations ...................................................................................... 11
Breakpoints 11
Runtime Measurement 12
Memory Classes 13
Bus Width Mapping 14
CPU specific SYStem Commands ................................................................................... 15
SYStem.CONFIG.state Display target configuration 15
SYStem.CONFIG Configure debugger according to target topology 16
SYStem.CPU Select the used CPU 52
SYStem.CpuAccess Run-time memory access (intrusive) 53
SYStem.JtagClock Define JTAG frequency 54
SYStem.LOCK Tristate the JTAG port 56
SYStem.MemAccess Run-time memory access(non-intrusive) 57
SYStem.Mode Establish the communication with the target 58
SYStem.Option AHBHPROT Select AHB-AP HPROT bits 58
SYStem.Option AXIACEEnable ACE enable flag of the AXI-AP 59
SYStem.Option AXICACHEFLAGS Select AXI-AP CACHE bits 59
SYStem.Option AXIHPROT Select AXI-AP HPROT bits 59
SYStem.Option DAPSYSPWRUPREQ Force system power in DAP 59
SYStem.Option EnReset Allow the debugger to drive nRESET/nSRST 60
SYStem.Option IMASKASM Disable interrupts while single stepping 60
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 61
SYStem.Option MEMoryMODEL Select view of memory classes 62
SYStem.Option MEMORYHPROT Select memory-AP HPROT bits 63
SYStem.Option ResBreak Halt the core after reset 63
SYStem.Option TRST Allow debugger to drive TRST 64
SYStem.Option WaitReset Wait with JTAG activities after deasserting reset 64
SYStem.RESetOut Assert nRESET/nSRST on JTAG connector 65
SYStem.state Display SYStem window 65
APEX Vector Registers ..................................................................................................... 66
TRACE32 Directory 246 ©1989-2017 Lauterbach GmbH
VPU Vector registers 66
VPU.Init Initialize vector registers 66
VPU.Set Modify vector registers 66
VPU.view Display vector register window 67
APEX Specific TrOnchip Commands .............................................................................. 68
TrOnchip.state Display on-chip trigger window 68
TrOnchip.RESet Set on-chip trigger to default state 68
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 68
Target Adaption ................................................................................................................. 69
Interface Standards JTAG, Serial Wire Debug, cJTAG 69
Pinout 69
Support ............................................................................................................................... 70
Available Tools 70
Compilers 70
3rd Party Tool Integrations 71
Products ............................................................................................................................. 72
Product Information 72
Order Information 72
APS
APS Debugger .................................................................................... (debugger_aps.pdf) 1
Brief Overview of Documents for New Users ................................................................. 3
Warning .............................................................................................................................. 4
Quick Start ......................................................................................................................... 5
Troubleshooting ................................................................................................................ 7
FAQ ..................................................................................................................................... 8
General SYStem Settings ................................................................................................. 9
SYStem.CONFIG.state Display target configuration 9
SYStem.CONFIG Configure debugger according to target topology 10
SYStem.CPU Select the used CPU 13
SYStem.CpuAccess Run-time memory access (intrusive) 14
SYStem.JtagClock Define JTAG clock 15
SYStem.MemAccess Real-time memory access (non-intrusive) 15
SYStem.Mode Establish the communication with the target 16
SYStem.LOCK Lock and tristate the debug port 16
SYStem.Option.IMASKASM Disable interrupts while single stepping 17
SYStem.Option.IMASKHLL Disable interrupts while HLL single stepping 18
SYStem.Option IntelSOC Core is part of Intel® SoC 18
SYStem.Option.MonType Selects monitor type 19
TRACE32 Directory 247 ©1989-2017 Lauterbach GmbH
SYStem.Option.MonBase Register base address 20
Breakpoints ........................................................................................................................ 22
Software breakpoints 22
On-chip breakpoints for instructions 22
Onchip Trace ..................................................................................................................... 23
Onchip.Mode Type of trace records 23
Memory Classes ................................................................................................................ 24
JTAG Connector ................................................................................................................ 25
JTAG Connector for ARM-like Designs 25
JTAG Connector for Atom-like Designs 26
Support ............................................................................................................................... 27
Available Tools 27
Compilers 27
Realtime Operating System 28
3rd Party Tool Integrations 28
Products ............................................................................................................................. 30
Product Information 30
Order Information 30
ARC
ARC Debugger ..................................................................................... (debugger_arc.pdf) 1
Introduction ....................................................................................................................... 4
Supported ARC Cores 4
Brief Overview of Documents for New Users 5
Demo and Start-up Scripts 6
Warning .............................................................................................................................. 7
Troubleshooting ................................................................................................................ 8
SYStem.Up Errors 8
FAQ ..................................................................................................................................... 9
Quick Start ......................................................................................................................... 14
Access Classes ................................................................................................................. 18
CPU specific SYStem Commands ................................................................................... 19
SYStem.CONFIG.state Display target configuration 19
SYStem.CONFIG Configure debugger according to target topology 21
SYStem.CONFIG.MULTITAP Select type of JTAG multi-TAP network 32
SYStem.CPU Select CPU type 33
SYStem.CpuAccess Run-time memory access (intrusive) 33
SYStem.JtagClock Select clock for JTAG communication 35
TRACE32 Directory 248 ©1989-2017 Lauterbach GmbH
SYStem.LOCK Lock and tristate the debug port 36
SYStem.MemAccess Real-time memory access (non-intrusive) 37
SYStem.Mode Select target reset mode 38
SYStem.Option Set a target specific option 40
SYStem.Option AHBHPROT Select AHB-AP HPROT bits 40
SYStem.Option AXIACEEnable ACE enable flag of the AXI-AP 40
SYStem.Option AXICACHEFLAGS Select AXI-AP CACHE bits 41
SYStem.Option AXIHPROT Select AXI-AP HPROT bits 42
SYStem.Option DAPDBGPWRUPREQ Force debug power in DAP 42
SYStem.Option DAPREMAP Rearrange DAP memory map 43
SYStem.Option DAPSYSPWRUPREQ Force system power in DAP 43
SYStem.Option DAPNOIRCHECK No DAP instruction register check 44
SYStem.Option DEBUGPORTOptions Options for debug port handling 44
SYStem.Option detectOTrace Disable auto-detection of on-chip trace 45
SYStem.Option Endianness Set the target endianness 45
SYStem.Option EnReset Allow the debugger to drive nRESET (nSRST) 45
SYStem.Option HotBreakPoints Set breakp. when CPU is running 46
SYStem.Option IMASKASM Disable interrupts while single stepping 46
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 47
SYStem.Option IntelSOC Core is part of Intel® SoC 47
SYStem.Option LimmBreakPoints Software breakpoints with extra NOPs 47
SYStem.Option MMUSPACES Enable space IDs 48
SYStem.Option OVERLAY Enable overlay support 49
SYStem.Option RegNames Enable trivial names for core registers 49
SYStem.Option PowerDetection Choose method to detect the target power 50
SYStem.Option ResetDetection Choose method to detect a target reset 50
SYStem.Option TIMEOUT Define maximum time for core response 51
SYStem.POWER Control target power 52
SYStem.state Show SYStem settings window 52
On-chip Breakpoints/Actionpoints .................................................................................. 53
Using On-chip Breakpoints 53
Breakpoints in a ROM Area 53
Limitations 54
TrOnchip.CONVert Allow extension of address range of breakpoint 55
TrOnchip.VarCONVert Convert breakpoints on scalar variables 57
TrOnchip.OnchipBP Number of on-chip breakpoints used by debugger 58
TrOnchip.RESet Set on-chip trigger to default state 59
TrOnchip.state Display on-chip trigger window 59
CPU specific MMU Commands ........................................................................................ 60
MMU.DUMP Page wise display of MMU translation table 60
MMU.List Compact display of MMU translation table 61
MMU.SCAN Load MMU table from CPU 62
TRACE32 Directory 249 ©1989-2017 Lauterbach GmbH
CPU specific JTAG.CONFIG Commands ........................................................................ 63
JTAG.CONFIG Electrical characteristics of MIPI-60 debug signals 63
JTAG.CONFIG.DRiVer Set slew rate of JTAG signals 63
JTAG.CONFIG.Voltage.HooKTHreshold Set hook threshold voltages 64
JTAG.CONFIG.Voltage.THreshold Set JTAG threshold voltages 64
JTAG.CONFIG.Voltage.REFerence Voltage level of signals send to target 65
Connector Type and Pinout ............................................................................................. 66
Normal 20-Pin Connector 66
MIPI10 / MIPI20 / MIPI34 Connector 68
Converged MIPI60-Cv2 Connector 68
Legacy MIPI60-C Connector 68
XDP Connector 68
Support ............................................................................................................................... 69
Available Tools 69
Compilers 70
Target Operating Systems 70
3rd Party Tool Integrations 71
Products ............................................................................................................................. 72
Product Information 72
Order Information 73
ARM/CORTEX/XSCALE
ARM Debugger ...................................................................................(debugger_arm.pdf) 1
Warning .............................................................................................................................. 8
Introduction ....................................................................................................................... 9
Brief Overview of Documents for New Users 9
Demo and Start-up Scripts 10
Quick Start of the JTAG Debugger .................................................................................. 12
Troubleshooting ................................................................................................................ 14
Communication between Debugger and Processor can not be established 14
FAQ ..................................................................................................................................... 15
ARM 15
Trace Extensions ............................................................................................................... 18
Symmetric Multiprocessing ............................................................................................. 19
ARM Specific Implementations ........................................................................................ 20
Breakpoints 20
Trigger 30
Virtual Terminal 31
Semihosting 32
TRACE32 Directory 250 ©1989-2017 Lauterbach GmbH
Runtime Measurement 35
Coprocessors 36
Access Classes 38
TrustZone Technology 46
Large Physical Address Extension (LPAE) 49
Virtualization Extension, Hypervisor 50
big.LITTLE 51
ARM specific SYStem Commands ................................................................................... 53
SYStem.CLOCK Inform debugger about core clock 53
SYStem.CONFIG.state Display target configuration 53
SYStem.CONFIG Configure debugger according to target topology 54
SYStem.CPU Select the used CPU 90
SYStem.CpuAccess Run-time memory access (intrusive) 92
SYStem.JtagClock Define JTAG frequency 93
SYStem.LOCK Tristate the JTAG port 95
SYStem.MemAccess Run-time memory access 96
SYStem.Mode Establish the communication with the target 100
SYStem.Option Special setup 103
SYStem.Option ABORTFIX Do not access memory area from 0x0 to 0x1f 103
SYStem.Option AHBHPROT Select AHB-AP HPROT bits 103
SYStem.Option AMBA Select AMBA bus mode 103
SYStem.Option ASYNCBREAKFIX Asynchronous break bugfix 104
SYStem.Option AXIACEEnable ACE enable flag of the AXI-AP 104
SYStem.Option AXICACHEFLAGS Select AXI-AP CACHE bits 104
SYStem.Option AXIHPROT Select AXI-AP HPROT bits 105
SYStem.Option BUGFIX Breakpoint bug fix 105
SYStem.Option BUGFIXV4 Asynch. break bug fix for ARM7TDMI-S REV4 106
SYStem.Option BigEndian Define byte order (endianness) 107
SYStem.Option BOOTMODE Define boot mode 107
SYStem.Option CINV Invalidate the cache after memory modification 108
SYStem.Option CFLUSH FLUSH the cache before step/go 108
SYStem.Option CacheParam Define external cache 108
SYStem.Option DACR Debugger ignores DACR access permission settings 109
SYStem.Option DAPDBGPWRUPREQ Force debug power in DAP 109
SYStem.Option DAP2DBGPWRUPREQ Keep forcing debug power in DAP2 110
SYStem.Option DAPSYSPWRUPREQ Force system power in DAP 110
SYStem.Option DAP2SYSPWRUPREQ Force system power in DAP2 111
SYStem.Option DAPNOIRCHECK No DAP instruction register check 111
SYStem.Option DAPREMAP Rearrange DAP memory map 112
SYStem.Option DBGACK DBGACK active on debugger memory accesses 113
SYStem.Option DBGNOPWRDWN DSCR bit 9 will be set in debug mode 113
SYStem.Option DBGUNLOCK Unlock debug register via OSLAR 113
SYStem.Option DCDIRTY Bugfix for erroneously cleared dirty bits 114
TRACE32 Directory 251 ©1989-2017 Lauterbach GmbH
SYStem.Option DCFREEZE Disable data cache linefill in debug mode 114
SYStem.Option DEBUGPORTOptions Options for debug port handling 114
SYStem.Option DIAG Activate more log messages 115
SYStem.Option DisMode Define disassembler mode 116
SYStem.Option DynVector Dynamic trap vector interpretation 117
SYStem.Option EnReset Allow the debugger to drive nRESET (nSRST) 117
SYStem.Option ETBFIXMarvell Read out on-chip trace data 117
SYStem.Option ETMFIX Shift data of ETM scan chain by one 118
SYStem.Option ETMFIXWO Bugfix for write-only ETM register 118
SYStem.Option ETMFIX4 Use only every fourth ETM data package 118
SYStem.Option EXEC EXEC signal can be used by bustrace 118
SYStem.Option EXTBYPASS Switch off the fake TAP mechanism 119
SYStem.Option FASTBREAKDETECTION Fast core halt detection 119
SYStem.Option HRCWOVerRide Enable override mechanism 119
SYStem.Option ICEBreakerETMFIXMarvell Lock on-chip breakpoints 120
SYStem.Option ICEPICK Enable/disable assertions and wait-in-reset 120
SYStem.Option ICEPICKONLY Only ICEPick registers accessible 121
SYStem.Option IMASKASM Disable interrupts while single stepping 121
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 121
SYStem.Option INTDIS Disable all interrupts 122
SYStem.Option IRQBREAKFIX Break bugfix by using IRQ 122
SYStem.Option KEYCODE Define key code to unsecure processor 122
SYStem.Option L2Cache L2 cache used 123
SYStem.Option L2CacheBase Define base address of L2 cache register 123
SYStem.Option LOCKRES Go to 'Test-Logic Reset' when locked 123
SYStem.Option MACHINESPACES Address extension for guest OSes 124
SYStem.Option MEMORYHPROT Select memory-AP HPROT bits 125
SYStem.Option MemStatusCheck Check status bits during memory access 125
SYStem.Option MMUSPACES Enable space IDs 125
SYStem.Option MonitorHoldoffTime Delay between monitor accesses 126
SYStem.Option MPU Debugger ignores MPU access permission settings 127
SYStem.Option MultiplesFIX No multiple loads/stores 127
SYStem.Option NODATA No data connected to the trace 127
SYStem.Option NOIRCHECK No JTAG instruction register check 128
SYStem.Option NoPRCRReset Do not cause reset by PRCR 128
SYStem.Option NoRunCheck No check of the running state 128
SYStem.Option NoSecureFix Do not switch to secure mode 129
SYStem.Option OVERLAY Enable overlay support 129
SYStem.Option PALLADIUM Extend debugger timeout 130
SYStem.Option PC Define address for dummy fetches 130
SYStem.Option PROTECTION Sends an unsecure sequence to the core 130
SYStem.Option PWRCHECK Check power and clock 131
SYStem.Option PWRCHECKFIX Check power and clock 131
TRACE32 Directory 252 ©1989-2017 Lauterbach GmbH
SYStem.Option PWRDWN Allow power-down mode 131
SYStem.Option PWRDWNRecover Mode to handle special power recovery 132
SYStem.Option PWRDWNRecoverTimeOut Timeout for power recovery 132
SYStem.Option PWROVR Specifies power override bit 132
SYStem.Option ResBreak Halt the core after reset 133
SYStem.Option ResetDetection Choose method to detect a target reset 134
SYStem.Option RESetREGister Generic software reset 134
SYStem.Option RESTARTFIX Wait after core restart 135
SYStem.Option RisingTDO Target outputs TDO on rising edge 135
SYStem.Option ShowError Show data abort errors 135
SYStem.Option SOFTLONG Use 32-bit access to set breakpoint 136
SYStem.Option SOFTQUAD Use 64-bit access to set breakpoint 136
SYStem.Option SOFTWORD Use 16-bit access to set breakpoint 136
SYStem.Option SPLIT Access memory depending on CPSR 136
SYStem.Option StandByTraceDelaytime Trace activation after reset 137
SYStem.Option STEPSOFT Use software breakpoints for ASM stepping 137
SYStem.Option SYSPWRUPREQ Force system power 137
SYStem.Option TIDBGEN Activate initialization for TI derivatives 138
SYStem.Option TIETMFIX Bug fix for customer specific ASIC 138
SYStem.Option TIDEMUXFIX Bug fix for customer specific ASIC 138
SYStem.Option TraceStrobe Deprecated command 139
SYStem.Option TRST Allow debugger to drive TRST 139
SYStem.Option TURBO Speed up memory access 139
SYStem.Option WaitReset Wait with JTAG activities after deasserting reset 140
SYStem.Option ZoneSPACES Enable symbol management for ARM zones 141
SYStem.Option ZYNQJTAGINDEPENDENT Configure JTAG cascading 146
SYStem.RESetOut Assert nRESET/nSRST on JTAG connector 146
SYStem.state Display SYStem window 147
ARM Specific Benchmarking Commands ....................................................................... 148
BMC.EXPORT Export benchmarking events from event bus 148
BMC.MODE Define the operating mode of the benchmark counter 149
BMC.<counter>.EVENT Configure the performance monitor 150
BMC.PRESCALER Prescale the measured cycles 154
BMC.<counter>.RATIO Set two counters in relation 154
BMC.TARA Calibrate the benchmark counter 155
ARM Specific TrOnchip Commands ................................................................................ 156
TrOnchip.A Programming the ICE breaker module 156
TrOnchip.A.Value Define data selector 156
TrOnchip.A.Size Define access size for data selector 156
TrOnchip.A.CYcle Define access type 157
TrOnchip.A.Address Define address selector 158
TrOnchip.A.Trans Define access mode 158
TrOnchip.A.Extern Define the use of EXTERN lines 159
TRACE32 Directory 253 ©1989-2017 Lauterbach GmbH
TrOnchip.AddressMask Define an address mask 159
TrOnchip.ASID Extend on-chip breakpoint/trace filter by ASID 159
TrOnchip.ContextID Enable context ID comparison 160
TrOnchip.CONVert Allow extension of address range of breakpoint 161
TrOnchip.Mode Configure unit A and B 162
TrOnchip.RESet Reset on-chip trigger settings 162
TrOnchip.Set Set bits in the vector catch register 163
TrOnchip.TEnable Define address selector for bus trace 164
TrOnchip.TCYcle Define cycle type for bus trace 165
TrOnchip.VarCONVert Convert breakpoints on scalar variables 166
TrOnchip.state Display on-chip trigger window 167
CPU specific MMU Commands ........................................................................................ 168
MMU.DUMP Page wise display of MMU translation table 168
MMU.List Compact display of MMU translation table 171
MMU.SCAN Load MMU table from CPU 172
CPU specific SMMU Commands ...................................................................................... 174
SMMU Hardware system MMU (SMMU) 174
SMMU.ADD Define a new hardware system MMU 178
SMMU.Clear Delete an SMMU 179
SMMU.Register Peripheral registers of an SMMU 180
SMMU.Register.ContextBank Display registers of context bank 181
SMMU.Register.Global Display global registers of SMMU 182
SMMU.Register.StreamMapRegGrp Display registers of an SMRG 183
SMMU.RESet Delete all SMMU definitions 184
SMMU.SSDtable Display security state determination table 185
SMMU.StreamMapRegGrp Access to stream map table entries 187
SMMU.StreamMapRegGrp.ContextReg Display context bank registers 188
SMMU.StreamMapRegGrp.Dump Page-wise display of SMMU page table 190
SMMU.StreamMapRegGrp.List List the page table entries 192
SMMU.StreamMapTable Display a stream map table 193
Target Adaption ................................................................................................................. 200
Probe Cables 200
Interface Standards JTAG, Serial Wire Debug, cJTAG 200
Connector Type and Pinout 200
Support ............................................................................................................................... 202
Available Tools 202
Compilers 240
Target Operating Systems 241
UEFIs 242
3rd Party Tool Integrations 243
Products ............................................................................................................................. 244
Product Information 244
TRACE32 Directory 254 ©1989-2017 Lauterbach GmbH
Order Information 250
ARM and XSCALE Monitor ...................................................................(monitor_arm.pdf) 1
Brief Overview of Documents for New Users ................................................................. 3
Quick Start of the Serial ROM-Monitor ............................................................................ 4
Quick Start of the ESI ROM-Monitor ................................................................................ 6
Troubleshooting ................................................................................................................ 8
FAQ ..................................................................................................................................... 8
Basics ................................................................................................................................. 9
Monitor Features 9
Monitor Source Files 9
Hardware Breakpoints 9
Address Layout 10
Interrupt Table 10
Interrupt Priority 10
Configuration 10
General SYStem Commands ............................................................................................ 11
SYStem.Mode Establish the communication with the CPU 11
SYStem.Option IMASKASM Disable interrupts while single stepping 11
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 12
SYStem.CPU CPU type 12
SYStem.PORT Set serial port settings 12
SYStem.Option BigEndian Define byte order (endianness) 13
SYStem.Option DisMode Define disassembler mode 13
SYStem.Option MMUSPACES Enable space IDs 14
SYStem.RESetOut Reset target without reset of debug port 15
CPU specific MMU Commands ........................................................................................ 16
MMU.DUMP Page wise display of MMU translation table 16
MMU.List Compact display of MMU translation table 17
MMU.SCAN Load MMU table from CPU 18
CPU specific TrOnchip Commands ................................................................................. 20
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 20
TrOnchip.RESet Set on-chip trigger to default state 20
TrOnchip.state Display on-chip trigger window 21
TrOnchip.VarCONVert Adjust complex breakpoint in on-chip resource 21
General Settings and Restrictions ................................................................................... 22
Memory Classes ................................................................................................................ 22
ARM specific Implementations ........................................................................................ 23
Breakpoints 23
Coprocessors 27
TRACE32 Directory 255 ©1989-2017 Lauterbach GmbH
Support ............................................................................................................................... 28
Available Tools 28
Compilers 49
Target Operating Systems 51
3rd Party Tool Integrations 53
Products ............................................................................................................................. 54
Product Information 54
Order Information 54
ARMv8-A/-R Debugger .................................................................(debugger_armv8a.pdf) 1
Warning .............................................................................................................................. 7
Introduction ....................................................................................................................... 8
Brief Overview of Documents for New Users 8
Demo and Start-up Scripts 9
Quick Start of the JTAG Debugger .................................................................................. 10
Troubleshooting ................................................................................................................ 14
Communication between Debugger and Processor cannot be established 14
FAQ ..................................................................................................................................... 15
Cortex-A/R (ARMv8, 32/64-bit) 15
Trace Extensions 17
Quick Start for Multicore Debugging ............................................................................... 19
SMP Debugging - Quick Start 20
AMP Debugging - Quick Start 23
ARM Specific Implementations ........................................................................................ 26
AArch Mode Support 26
Breakpoints 29
Trigger 37
Virtual Terminal 38
Semihosting 39
Runtime Measurement 44
System Registers (AArch64 Mode) 45
Coprocessors (AArch32 Mode) 47
Access Classes 50
Debug Field 58
TrustZone Technology 59
Large Physical Address Extension (LPAE) 63
Virtualization Extension, Hypervisor 64
big.LITTLE 65
ARM specific SYStem Commands ................................................................................... 67
SYStem.CLOCK Inform debugger about core clock 67
SYStem.CONFIG.state Display target configuration 67
TRACE32 Directory 256 ©1989-2017 Lauterbach GmbH
SYStem.CONFIG Configure debugger according to target topology 68
SYStem.CPU Select the used CPU 105
SYStem.CpuAccess Run-time memory access (intrusive) 106
SYStem.JtagClock Define JTAG frequency 107
SYStem.LOCK Tristate the JTAG port 108
SYStem.MemAccess Run-time memory access 109
SYStem.Mode Establish the communication with the target 110
SYStem.Option Special setup 112
SYStem.Option Address32 Define address format display 112
SYStem.Option AHBHPROT Select AHB-AP HPROT bits 112
SYStem.Option AXIACEEnable ACE enable flag of the AXI-AP 112
SYStem.Option AXICACHEFLAGS Select AXI-AP CACHE bits 113
SYStem.Option AXIHPROT Select AXI-AP HPROT bits 114
SYStem.Option BreakOS Allow break during OS-unlock 115
SYStem.Option CFLUSH FLUSH the cache before step/go 116
SYStem.Option CLTAPKEY Set key values for CLTAP operation 116
SYStem.Option DAPDBGPWRUPREQ Force debug power in DAP 117
SYStem.Option DAP2DBGPWRUPREQ Force debug power in DAP2 118
SYStem.Option DAPNOIRCHECK No DAP instruction register check 118
SYStem.Option DAPREMAP Rearrange DAP memory map 119
SYStem.Option DAPSYSPWRUPREQ Force system power in DAP 119
SYStem.Option DAP2SYSPWRUPREQ Force system power in DAP2 120
SYStem.Option DBGSPR Use debugger view for SPR access 120
SYStem.Option DBGUNLOCK Unlock debug register via OSLAR 121
SYStem.Option DCacheMaintenance Data cache maintenance strategy 121
SYStem.Option DEBUGPORTOptions Options for debug port handling 121
SYStem.Option DIAG Activate more log messages 122
SYStem.Option DUALPORT Implicitly use run-time memory access 123
SYStem.Option DisMode Define disassembler mode 123
SYStem.Option EnReset Allow the debugger to drive nRESET (nSRST) 124
SYStem.Option eXclusiveMONitor Support for exclusive monitors 124
SYStem.Option HRCWOVerRide Enable override mechanism 124
SYStem.Option ICacheMaintenance I-Cache maintenance strategy 125
SYStem.Option IMASKASM Disable interrupts while single stepping 125
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 126
SYStem.Option INTDIS Disable all interrupts 126
SYStem.Option MACHINESPACES Address extension for guest OSes 126
SYStem.Option MEMORYHPROT Select memory-AP HPROT bits 127
SYStem.Option MemStatusCheck Check status bits during memory access 128
SYStem.Option MMUSPACES Enable space IDs 128
SYStem.Option NOMA Use alternative memory access 129
SYStem.Option NoPRCRReset Disable warm reset via PRCR 129
SYStem.Option OSUnlockCatch Use the 'OS Unlock Catch' debug event 130
TRACE32 Directory 257 ©1989-2017 Lauterbach GmbH
SYStem.Option OVERLAY Enable overlay support 130
SYStem.Option PALLADIUM Extend debugger timeout 131
SYStem.Option PWRDWN Allow power-down mode 131
SYStem.Option PAN Overwrite CPSR.PAN setting 131
SYStem.Option PWRREQ Request core power 132
SYStem.Option ResBreak Halt the core after reset 133
SYStem.Option ResetDetection Choose method to detect a target reset 134
SYStem.RESetOut Assert nRESET/nSRST on JTAG connector 134
SYStem.Option RESetREGister Generic software reset 135
SYStem.Option RisingTDO Target outputs TDO on rising edge 135
SYStem.Option SLaVeSOFTRESet Allow soft reset of slave cores 136
SYStem.Option SMPMultipleCall Send start event to each SMP core 136
SYStem.Option SOFTLONG Use 32-bit access to set breakpoint 136
SYStem.Option SOFTQUAD Use 64-bit access to set breakpoint 136
SYStem.Option STEPSOFT Use software breakpoints for ASM stepping 137
SYStem.Option SOFTWORD Use 16-bit access to set breakpoint 137
SYStem.Option TURBO Disable cache maintenance during memory access 137
SYStem.state Display SYStem window 138
SYStem.Option SYSPWRUPREQ Force system power 138
SYStem.Option TRST Allow debugger to drive TRST 139
SYStem.Option WaitReset Wait with JTAG activities after deasserting reset 139
SYStem.Option ZoneSPACES Enable symbol management for ARM zones 140
SYStem.Option ZYNQJTAGINDEPENDENT Configure JTAG cascading 145
ARM specific Benchmarking Commands ....................................................................... 146
BMC.<counter>.CountEL<x> Select exception level events to be counted 146
ARM specific TrOnchip Commands ................................................................................ 148
TrOnchip.ASID Extend on-chip breakpoint/trace filter by ASID 148
TrOnchip.ContextID Enable context ID comparison 149
TrOnchip.CONVert Allow extension of address range of breakpoint 150
TrOnchip.RESERVE Exclude break- or watchpoint from debugger usage 151
TrOnchip.RESet Set on-chip trigger to default state 152
TrOnchip.Set Set bits in the vector catch register 152
TrOnchip.VarCONVert Convert breakpoints on scalar variables 155
TrOnchip.state Display on-chip trigger window 156
Cache Analysis and Maintenance .................................................................................... 157
CPU specific MMU Commands ........................................................................................ 159
MMU.DUMP Page wise display of MMU translation table 159
MMU.List Compact display of MMU translation table 169
MMU.SCAN Load MMU table from CPU 171
TRACE32 Support by CPU Type 172
CPU specific SMMU Commands ...................................................................................... 173
SMMU Hardware system MMU (SMMU) 173
TRACE32 Directory 258 ©1989-2017 Lauterbach GmbH
SMMU.ADD Define a new hardware system MMU 177
SMMU.Clear Delete an SMMU 178
SMMU.Register Peripheral registers of an SMMU 179
SMMU.Register.ContextBank Display registers of context bank 180
SMMU.Register.Global Display global registers of SMMU 181
SMMU.Register.StreamMapRegGrp Display registers of an SMRG 182
SMMU.RESet Delete all SMMU definitions 183
SMMU.SSDtable Display security state determination table 184
SMMU.StreamMapRegGrp Access to stream map table entries 186
SMMU.StreamMapRegGrp.ContextReg Display context bank registers 187
SMMU.StreamMapRegGrp.Dump Page-wise display of SMMU page table 189
SMMU.StreamMapRegGrp.List List the page table entries 191
SMMU.StreamMapTable Display a stream map table 192
Target Adaption ................................................................................................................. 199
Probe Cables 199
Interface Standards JTAG, Serial Wire Debug, cJTAG 199
Connector Type and Pinout 200
Support ............................................................................................................................... 201
Available Tools 201
Compilers 203
Target Operating Systems 203
UEFIs 203
3rd Party Tool Integrations 204
Products ............................................................................................................................. 205
Product Information 205
Order Information 206
Cortex-M Debugger .................................................................... (debugger_cortexm.pdf) 1
History ................................................................................................................................ 5
Warning .............................................................................................................................. 6
Introduction ....................................................................................................................... 7
Brief Overview of Documents for New Users 7
Demo and Start-up Scripts 8
Products for Debugging and Tracing Cortex-M Cores .................................................. 10
PowerDebug and Debug Cable 10
µTrace (with CombiProbe MIPI34 Whisker) 11
PowerDebug and CombiProbe (with CombiProbe MIPI34 Whisker) 12
PowerDebug and PowerTrace (X-License) 13
Quick Start of the JTAG Debugger .................................................................................. 14
Troubleshooting ................................................................................................................ 16
Communication between Debugger and Processor can not be established 16
TRACE32 Directory 259 ©1989-2017 Lauterbach GmbH
FAQ ..................................................................................................................................... 17
Trace Extensions ............................................................................................................... 18
Cortex-M Specific Implementations ................................................................................ 19
Breakpoints 19
Trigger 24
Virtual Terminal 24
Semihosting 24
Runtime Measurement 26
Micro Trace Buffer (MTB) for Cortex-M0+ 26
Access Classes 27
Cortex-M specific Onchip Commands ............................................................................ 35
Onchip.Mode RAMPRIV SRAM privilege access 35
Onchip.Mode SFRWPRIV Special function register write access 35
Onchip.Mode TSTARTEN Enable TSTART signal 35
Onchip.Mode TSTOPEN Enable TSTOP signal 35
Onchip.TBADDRESS Base address of the trace buffer 36
Cortex-M specific SYStem Commands ........................................................................... 37
SYStem.BdmClock Define JTAG frequency 37
SYStem.CLOCK Inform debugger about core clock 37
SYStem.CONFIG.state Display target configuration 37
SYStem.CONFIG Configure debugger according to target topology 39
SYStem.CPU Select the used CPU 74
SYStem.CpuAccess Run-time memory access (intrusive) 75
SYStem.JtagClock Define JTAG frequency 76
SYStem.LOCK Tristate the JTAG port 78
SYStem.MemAccess Run-time memory access 79
SYStem.Mode Establish the communication with the target 80
SYStem.Option Special setup 81
SYStem.Option AHBHPROT Select AHB-AP HPROT bits 81
SYStem.Option AXIACEEnable ACE enable flag of the AXI-AP 81
SYStem.Option AXICACHEFLAGS Select AXI-AP CACHE bits 82
SYStem.Option AXIHPROT Select AXI-AP HPROT bits 83
SYStem.Option BigEndian Define byte order (endianness) 83
SYStem.Option CORTEXMAHB AHB-AP type of the Cortex-M 83
SYStem.Option DAPDBGPWRUPREQ Force debug power in DAP 83
SYStem.Option DAP2DBGPWRUPREQ Keep forcing debug power in DAP2 84
SYStem.Option DAPSYSPWRUPREQ Force system power in DAP 84
SYStem.Option DAP2SYSPWRUPREQ Force system power in DAP2 85
SYStem.Option DAPNOIRCHECK No DAP instruction register check 86
SYStem.Option DAPREMAP Rearrange DAP memory map 86
SYStem.Option DEBUGPORTOptions Options for debug port handling 86
SYStem.Option DIAG Activate more log messages 87
TRACE32 Directory 260 ©1989-2017 Lauterbach GmbH
SYStem.Option DISableSOFTRESet Disable software reset 87
SYStem.Option DisMode Define disassembler mode 88
SYStem.Option DUALPORT Implicitly use run-time memory access 88
SYStem.Option EnReset Allow the debugger to drive nRESET (nSRST) 89
SYStem.Option IMASKASM Disable interrupts while single stepping 89
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 89
SYStem.Option INTDIS Disable all interrupts 90
SYStem.Option LOCKRES Go to 'Test-Logic Reset' when locked 90
SYStem.Option MEMORYHPROT Select memory-AP HPROT bits 90
SYStem.Option MMUSPACES Enable space IDs 91
SYStem.Option NoRunCheck No check of the running state 92
SYStem.Option OVERLAY Enable overlay support 92
SYStem.Option PALLADIUM Extend debugger timeout 93
SYStem.Option PWRDWNRecover Mode to handle special power recovery 93
SYStem.Option ResBreak Halt the core after reset 94
SYStem.Option RESetREGister Generic software reset 95
SYStem.Option RisingTDO Target outputs TDO on rising edge 95
SYStem.Option SELECTDAP Select Cortex-M DAP 96
SYStem.Option SOFTLONG Use 32-bit access to set breakpoint 96
SYStem.Option SOFTWORD Use 16-bit access to set breakpoint 96
SYStem.Option STEPSOFT Use software breakpoints for ASM stepping 96
SYStem.Option SYSPWRUPREQ Force system power 97
SYStem.Option SYSRESETREQ Allow system reset via the AIRC register 97
SYStem.Option TRST Allow debugger to drive TRST 97
SYStem.Option VECTRESET Allow local reset via the AIRC register 98
SYStem.Option WaitReset Wait with JTAG activities after deasserting reset 98
SYStem.Option WakeUpACKnowledge Set acknowledge after wakeup 99
SYStem.Option ZoneSPACES Enable symbol management for ARM zones 100
SYStem.RESetOut Performs a reset 105
SYStem.state Display SYStem.state window 105
ARM Specific Benchmarking Commands ....................................................................... 106
BMC.Trace Activate BMC trace 106
ARM specific TrOnchip Commands ................................................................................ 107
TrOnchip.state Display on-chip trigger window 107
TrOnchip.ASID Extend on-chip breakpoint/trace filter by ASID 107
TrOnchip.CONVert Allow extension of address range of breakpoint 108
TrOnchip.RESERVE Reserve on-chip breakpoint comparators 109
TrOnchip.RESet Reset on-chip trigger settings 109
TrOnchip.Set Set bits in the vector catch register 110
TrOnchip.VarCONVert Convert breakpoints on scalar variables 111
JTAG Connection .............................................................................................................. 113
Support ............................................................................................................................... 114
TRACE32 Directory 261 ©1989-2017 Lauterbach GmbH
Compilers 114
Target Operating Systems 115
3rd Party Tool Integrations 116
Products ............................................................................................................................. 117
Product Information 117
Order Information 118
uTrace for Cortex-M User's Guide .......................................... (microtrace_cortexm.pdf) 1
History ................................................................................................................................ 3
TRACE32 Products for Cortex-M ..................................................................................... 3
µTrace (with CombiProbe MIPI34 Whisker) 4
PowerDebug and CombiProbe (with CombiProbe MIPI34 Whisker) 5
PowerDebug and Debug Cable 6
PowerDebug and PowerTrace (X-License) 7
Basics ................................................................................................................................. 8
Keywords 8
CoreSight Components 8
Trace Display 9
Overview of Cortex-M CoreSight Components .............................................................. 10
Embedded Trace Macrocell (ETM) Overview 10
Data Watchpoint and Trace (DWT) Unit Overview 11
Instrumentation Trace Macrocell (ITM) Overview 12
Trace Port Interface Unit (TPIU) Overview 12
Embedded Trace Buffer 12
Connectors ........................................................................................................................ 13
TPIU Connectors 13
SWO Connectors 14
Using the ETM ................................................................................................................... 16
Using the DWT ................................................................................................................... 18
PCSampler 19
Interrupt Trace 21
Tracing Data Accesses 23
Cycle Accurate Trace 28
Merging ETM and DWT Data ............................................................................................ 29
Performance Analysis with the DWT Counters .............................................................. 30
Serial Wire Debug Port (SWDP) and Serial Wire Viewer (SWV) .................................... 32
Software Trace with the ITM ............................................................................................. 34
Custom Trace DLLs 36
On-the-fly Transfer of ITM and ETM Data ........................................................................ 38
Extending the Recording Size 39
TRACE32 Directory 262 ©1989-2017 Lauterbach GmbH
Feeding Your Own Applications with Trace Data 40
Real-Time Profiling with the ETM 41
ARM-ETM Trace ................................................................................. (trace_arm_etm.pdf) 1
History ................................................................................................................................ 5
Installation ......................................................................................................................... 5
Software Installation 5
Recommendation for Starting the Software 5
Recommendation for Power Down 6
Hardware Installation 6
Utilization of the ETM ........................................................................................................ 21
Startup Script 21
Displaying Trace Results 26
Programmer’s Model of the ETM 29
ETM Commands ................................................................................................................ 32
ETM Embedded Trace Macrocell (ETM) 32
ETM.AbsoluteTimestamp Absolute cyclecount pakets 33
ETM.ATBTrigger Use ATB to transfer trace trigger to trace sink 33
ETM.AUXCTLR Set ETMv4 implementation-specific auxiliary control register 36
ETM.BBC Branch address broadcast 36
ETM.BBCExclude Exclude address ranges from branch-broadcasting 37
ETM.BBCInclude Enable branch-broadcasting for dedicated address ranges 37
ETM.CLEAR Clear sequencer settings 38
ETM.CLOCK Set core clock frequency for timing measurements 38
ETM.CORE Select core for ETM 39
ETM.CPRT Monitor coprocessor register transfers 39
ETM.COND Conditional non-branch instructions 40
ETM.ContextID Select the width of the 'ContextID' register 40
ETM.CycleAccurate Cycle accurate tracing 41
ETM.CycleCountThreshold Set granularity for cycle accurate timing info 42
ETM.DataSuppress Suppress data flow to prevent FIFO overflow 42
ETM.DataTrace Configure data-trace 43
ETM.DataTracePrestore Show program trace cycle for data trace cycle 45
ETM.DataViewExclude Suppress data trace for specified address range 46
ETM.DataViewInclude Restrict broadcast of data accesses to range 47
ETM.DBGRQ Debug request control 48
ETM.FifoFullExclude No activation of FIFOFULL in range 48
ETM.FifoFullInclude FIFOFULL only in range 49
ETM.FifoLevel Define FIFO level for FIFOFULL 49
ETM.FunnelHoldTime Define minimum funnel hold time 49
ETM.HalfRate Halfrate mode 50
ETM.LPOVERRIDE Prohibit lower power mode 50
ETM.INSTP0 Load and store instructions 50
TRACE32 Directory 263 ©1989-2017 Lauterbach GmbH
ETM.MapDecode Memory map decode control 51
ETM.NoOverflow Enable ETMv4 feature to prevent target FiFo overflows 51
ETM.ON Switch ETM on 52
ETM.OFF Switch ETM off 52
ETM.PortClock Baud rate of serial trace 52
ETM.PortDisable Force trace-port enable signal to zero 53
ETM.PortDisableOnchip Disable ETM trace port when ETB is used 54
ETM.PortFilter Specify utilization of trace memory 54
ETM.PortMode Select ETM mode 55
ETM.PortRoute Set up trace hardware 56
ETM.PortSize Define trace port width 56
ETM.PowerUpRequest Power-up request for the ETM by the debugger 57
ETM.ProcID Define 'ProcID' size 57
ETM.PseudoDataTrace Enable pseudo data trace detection 57
ETM.QE Enable Q elements 58
ETM.QTraceExclude Prohibit Q trace elements in given address range 59
ETM.QTraceInclude Allow Q trace elements in given address range 59
ETM.RefClock Enable STP reference clock 60
ETM.Register Display the ETM registers 61
ETM.RESet Reset ETM settings 62
ETM.ReserveContextID Reserve special values used with context ID 62
ETM.ReturnStack Enable return stack tracing mode 62
ETM.Set Precise control of ETM trigger events 63
ETM.SmartTrace Configure smart trace 71
ETM.STALL Stall processor to prevent FIFO overflow 74
ETM.state Display ETM settings 75
ETM.StoppingBreakPoints Use ETM comparators for breakpoints 76
ETM.SyncPeriod Set synchronization frequency 79
ETM.TDelay Define trigger delay 79
ETM.TImeMode Improve ETM/PTM timestamp information 80
ETM.TimeStampCLOCK Specify frequency of the global timestamp 85
ETM.TimeStamps Control for global timestamp packets 85
ETM.TimeStampsTrace Specify data trace correlation method (ETMv4) 86
ETM.Trace Control generation of trace information 86
ETM.TraceERRor Force ETM to emit all system error exceptions 87
ETM.TraceExclude Suppress program trace for specified address range 87
ETM.TraceID Change the default ID for an ETM trace source 89
ETM.TraceInclude Restrict program trace to specified address range 90
ETM.TraceNoPCREL No data trace for accesses relative to program counter 90
ETM.TraceNoSPREL No data trace for accesses relative to stack pointer 91
ETM.TracePriority Define priority of ETM 91
ETM.TraceRESet Forces the ETM to emit all core resets 91
ETM.TRCIDR Define TRCIDR register values for simulator 92
TRACE32 Directory 264 ©1989-2017 Lauterbach GmbH
ETM.VMID Virtual machine ID tracing 92
Keywords for the Trace Display ....................................................................................... 93
Examples for Trace Controlling 94
FAQ ..................................................................................................................................... 97
Diagnosis ........................................................................................................................... 100
Error Diagnosis 100
Diagnosis Check List 105
ARM-ETM (LA-7921, LA-7990) 122
ARM-ETM AUTOFOCUS (LA-7991/LA-7992) 123
ARM-ETM HSSTP (LA-7988) 131
Support Request 132
Recommendations for Target Board Design 133
Technical Data ................................................................................................................... 135
Operation Voltage 135
Operation Frequency 135
Dimensions 154
Adapters 165
Connector Layout 166
Support ............................................................................................................................... 172
Available Tools 172
Compilers 192
Target Operating Systems 193
3rd Party Tool Integrations 195
Products ............................................................................................................................. 196
Product Information 196
Order Information 199
ARM-ETM Training ........................................................................(training_arm_etm.pdf) 1
ETM Setup .......................................................................................................................... 5
ETM Versions 5
Main Setup Windows 6
ETMv1 8
ETMv3 15
PTM (aka. PFT) 26
FLOWERROR 37
Displaying the Trace Contents ......................................................................................... 40
Source for the Recorded Trace Information 40
Sources of Information for the Trace Display 42
Influencing Factors on the Trace Information 43
States of the Trace 56
The AutoInit Command 57
TRACE32 Directory 265 ©1989-2017 Lauterbach GmbH
Basic Display Commands 58
Display Items 62
Find a Specific Record 69
Belated Trace Analysis 71
Trace-based Debugging (CTS) ......................................................................................... 77
Forward and Backward Debugging 78
CTS Technique 83
Belated Trace-based Debugging 85
HLL Analysis of the Trace Contents 86
Trace Control by Filter and Trigger ................................................................................. 90
Context 90
Filters and Trigger by Using the Break.Set Dialog 94
OS-Aware Tracing ............................................................................................................. 113
OS (No Dynamic Memory Management) 113
OS+MMU (Dynamic Memory Management) 123
Specific Write Access vs. Context ID Packet 132
Task Statistics 133
Context ID Comparator 135
Function Run-Times Analysis .......................................................................................... 137
Software under Analysis (no OS, OS or OS+MMU) 137
Flat vs. Nesting Analysis 137
Flat Analysis 142
Nesting Analysis 165
Trace-based Code Coverage ............................................................................................ 184
Coverage Types 184
Optimum ETM Configuration (No OS or OS) 185
Optimum ETM Configuration (OS+MMU) 185
Streaming Code Coverage 186
Incremental Code Coverage 192
Documented Code Coverage 198
ARM-ETM Programming Dialog ...........................................(trace_arm_etm_dialog.pdf) 1
Initialization ........................................................................................................................ 2
Initialization of the ETM 2
Programming ..................................................................................................................... 3
How to Start 3
Elements in the Dialog Box 3
Definitions 12
Examples 20
RAM Trace Port ........................................................................................... (trace_rtp.pdf) 1
Overview ............................................................................................................................ 2
TRACE32 Directory 266 ©1989-2017 Lauterbach GmbH
FAQ ..................................................................................................................................... 3
Quick Start ......................................................................................................................... 5
Commands ......................................................................................................................... 7
RTP Ram trace port (RTP) 7
RTP.CLEAR Clear tracebuffer 7
RTP.DirectDataMode tbd. 8
RTP.DirectDataMode.Mode Direct data mode read/write 8
RTP.DirectDataMode.Width Trace width in bits 8
RTP.HaltOnOverflow Halt system on RTP FIFO overflow 9
RTP.Mode Selects the trace mode 9
RTP.OFF Disables the RTP module 9
RTP.ON Activates the RTP module 10
RTP.PortSize Size of RTP data port 10
RTP.Register Display the RTP register 10
RTP.PortClock Configure RTPCLK 11
RTP.RESet Resets RTP settings 11
RTP.state Display RTP setup 12
RTP.TraceMode Trace mode 13
RTP.TraceMode.RAM<x>.SECTion<y> Configures a trace region 13
RTP.TraceMode.TraceExclude Invert all trace regions 14
Products ............................................................................................................................. 15
Product Information 15
Order Information 15
ARM Application Notes ........................................................................................................
ARM JTAG Interface Specifications ............................................... (app_arm_jtag.pdf) 1
Introduction .................................................................................................................... 2
Mechanical Connector ................................................................................................... 3
Signals ............................................................................................................................. 4
DC Electrical Characteristics ........................................................................................ 8
AC Timing Characteristics ............................................................................................ 10
Debug Cable Driver/Receiver ........................................................................................ 11
Output Circuitry 11
Input Circuitry 11
Target System Design Consideration .......................................................................... 12
Electrical 12
Example for Interface on Target Board 13
Layout Considerations 13
Reset Considerations .................................................................................................... 14
Adaptive Clocking (Return Test Clock RTCK) ............................................................. 16
TRACE32 Directory 267 ©1989-2017 Lauterbach GmbH
Hot Plug-in ...................................................................................................................... 17
Alternative Connector Types ........................................................................................ 18
Mictor-38 18
Half Size 20
TI-14 21
ARM-14 22
TI-20 Compact 23
MIPI-10/20/34, ARM-10/20 24
Debug Cable Hardware Versions .................................................................................. 32
Setup of the Debugger for a CoreSight System ...................(app_arm_coresight.pdf) 1
Introduction .................................................................................................................... 3
Example of a CoreSight System ................................................................................... 4
Using this Application Note .......................................................................................... 6
Your Chip is Available in the SYStem.CPU List 6
Your Chip is NOT Available in the SYStem.CPU List 6
Set up the Debugger Yourselves for Debugging 6
Set up the Debugger Yourselves for Tracing 8
Declare Multiple CoreSight Modules of the same Type 8
Configuration Example 9
Required Tool Hardware and Licenses 9
How to use the CoreSight Modules 11
Discover Available CoreSight Components ................................................................ 12
Debug Access Port (DAP) ............................................................................................. 14
Multiple Test Access Ports in the JTAG Chain 14
Serial Wire Debug Port (SW-DP) 16
Alternative Way to Access Memory 18
Real-time Memory Access 19
Core Debug Register Access 20
JTAG Access Port (JTAG-AP) 21
Cross Trigger Interface (CTI), Cross Trigger Matrix (CTM) ....................................... 22
Performance Monitor Unit (PMU), BenchMark Counter (BMC) ................................. 24
Embedded Trace Macrocell (ETM), Program Trace Macrocell (PTM) ....................... 25
AMBA AHB Trace Macrocell (HTM) .............................................................................. 26
Instrumentation Trace Macrocell (ITM), System Trace Macrocell (STM) ................. 27
Funnel (CSTF), AMBA Trace Bus (ATB), Replicator ................................................. 28
Embedded Trace Buffer (ETB, TMC used as ETB) ...................................................... 29
Embedded Trace FIFO (TMC used as ETF) .................................................................. 30
Embedded Trace Router (TMC used as ETR) .............................................................. 31
TRACE32 Directory 268 ©1989-2017 Lauterbach GmbH
Trace Port Interface Unit (TPIU) .................................................................................... 32
Serial Wire Viewer (SWV), Serial Wire Output (SWO) ................................................ 34
High Speed Serial Trace Port (HSSTP) ......................................................................... 36
Debugging Embedded Cores in Xilinx FPGAs [Zynq] .............. (app_xilinx_zynq.pdf) 1
Introduction .................................................................................................................... 2
Physical Connection Requirements ............................................................................. 4
Requirements for Parallel Trace 4
Requirements for Serial HSSTP Trace 7
Zynq-7000 Devices ......................................................................................................... 8
Exporting the Zynq-7000 Trace Interface via FixedIO/MIO 9
Exporting the Zynq-7000 Trace Interface via FPGA Fabric/PL: Using a clock divider 11
Exporting the Zynq-7000 Trace Interface via FPGA Fabric/PL: Using DDR I/O registers 14
Performing a Debugger-Based Boot on the Zynq-7000 18
UltraScale+ Devices ....................................................................................................... 19
Exporting the UltraScale+ Trace Interface via FixedIO/MIO 20
Exporting the UltraScale+ Trace Interface via FPGA Fabric/PL 22
Exporting the UltraScale+ Trace Interface via HSSTP (up to 6.25 Gbps) 25
Exporting the UltraScale+ Trace Interface via HSSTP (10 Gbps) 31
Exporting the UltraScale+ Trace Interface via PCIe 37
Using the Example Design for the ZCU102 38
Performing a Debugger-Based Boot on the Zynq UltraScale+ 38
ARM Application Note for MXC Chips ............................................(app_arm_mxc.pdf) 1
NEXUS Preprocessors ................................................................................................... 2
Basic NEXUS Handling .................................................................................................. 4
Settings of the SYStem Window 4
Trigger Settings 9
Further NEXUS Trace Analysis ..................................................................................... 11
Display of the T-Bit in the Trace.List Window 11
OS Kernel related Trace Analysis 12
Benchmark Counter Analysis using DPU Counters 14
AVR32
AVR32 Debugger and NEXUS Trace .............................................. (debugger_avr32.pdf) 1
Brief Overview of Documents for New Users ................................................................. 4
Configuration ..................................................................................................................... 5
Debugger 5
Debugger and NEXUS Trace 6
Warning .............................................................................................................................. 7
TRACE32 Directory 269 ©1989-2017 Lauterbach GmbH
Quick Start ......................................................................................................................... 8
Troubleshooting ................................................................................................................ 10
Special Nexus Trace Troubleshooting 11
FAQ ..................................................................................................................................... 11
Debugger 11
NEXUS 12
Trace Extension ................................................................................................................. 16
General SYStem Settings ................................................................................................. 17
SYStem.CONFIG.state Display target configuration 17
SYStem.CONFIG Configure debugger according to target topology 18
SYStem.CPU Select the used CPU 22
SYStem.CpuAccess Run-time memory access (intrusive) 22
SYStem.JtagClock Define JTAG clock 24
SYStem.MemAccess Real-time memory access (non-intrusive) 24
SYStem.Mode Establish the communication with the target 25
SYStem.LOCK Lock and tristate the debug port 25
SYStem.Option.IMASKASM Disable interrupts while single stepping 25
SYStem.Option.IMASKHLL Disable interrupts while HLL single stepping 26
SYStem.Option.MPU Disable MPU during memory access 26
SYStem.Option.CACHE Enable memory caching 26
SYStem.Option AUTO Auto JTAG setting 27
SYStem.EraseChip Erases the Flash and the EEprom 27
CPU specific TrOnchip Commands ................................................................................. 28
TrOnchip.state Display on-chip trigger window 28
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 28
TrOnchip.VarCONVert Adjust complex breakpoint in on-chip resource 28
TrOnchip.RESet Set on-chip trigger to default state 29
TrOnchip EVTI Allow the EVTI signal to stop the program execution 29
TrOnchip EVTO Output sync signals on EVT0 30
CPU specific Nexus Commands ...................................................................................... 31
NEXUS.BTM Branch trace mode 31
NEXUS.DDR Use the DDR transmission 31
NEXUS.DTM Data trace mode 31
NEXUS.OTM Ownership trace messages 32
NEXUS.PinConfig Override the nexus port pin mapping 32
NEXUS.PortMode Change the nexus port clock frequency 32
NEXUS.Spen<messagetype> Avoid message overrun 33
NEXUS.SQA Synchronize trace by using full address 33
NEXUS.WTM Watch trace messages 33
Breakpoints ........................................................................................................................ 34
Software breakpoints 34
TRACE32 Directory 270 ©1989-2017 Lauterbach GmbH
On-chip breakpoints for instructions 34
On-chip breakpoints for data 34
Example for Breakpoints 34
Filter and Trigger for the NEXUS Trace ........................................................................... 36
Filter and Trigger provided by the Processor (Simple Trigger Unit - STU) 36
Trigger 37
Runtime Measurement 37
Other Useful Trace Commands 38
The Memory Classes ......................................................................................................... 39
Programming the On-chip FLASH of the AVR32 ............................................................ 40
Special Hints, Restrictions, and Known Problems ........................................................ 41
Hints: 41
Restrictions: 41
Known Problems: 41
Connectors ........................................................................................................................ 42
Debug Connector 42
NEXUS Connector 43
Support ............................................................................................................................... 47
Available Tools 47
Compilers 48
Realtime Operating System 48
3rd Party Tool Integrations 49
Products ............................................................................................................................. 50
Product Information 50
Order Information 51
AVR8
AVR8 Debugger ................................................................................. (debugger_avr8.pdf) 1
Brief Overview of Documents for New Users ................................................................. 3
Configuration ..................................................................................................................... 4
Debugger 4
Warning .............................................................................................................................. 5
Quick Start ......................................................................................................................... 6
Troubleshooting ................................................................................................................ 8
FAQ ..................................................................................................................................... 9
Debugger 9
General SYStem Settings ................................................................................................. 10
SYStem.CONFIG.state Display target configuration 10
TRACE32 Directory 271 ©1989-2017 Lauterbach GmbH
SYStem.CONFIG Configure debugger according to target topology 11
SYStem.CPU Select the used CPU 15
SYStem.CpuAccess Run-time memory access (intrusive) 15
SYStem.JtagClock Define JTAG clock 16
SYStem.MemAccess Real-time memory access (non-intrusive) 16
SYStem.Mode Establish the communication with the target 17
SYStem.LOCK Lock and tristate the debug port 17
SYStem.Option IMASKASM Disable interrupts while single stepping 17
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 18
SYStem.Option CACHE Enable memory caching 18
SYStem.FuseBits Overwrite the fuse bits 18
SYStem.LockBits Overwrite the lock bits 19
SYStem.EraseChip Erases the Flash and the EEprom 19
SYStem.REFresh Refresh the SYStem.state window 19
CPU specific TrOnchip Commands ................................................................................. 20
TrOnchip.state Display on-chip trigger window 20
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 20
TrOnchip.VarCONVert Adjust complex breakpoint in on-chip resource 20
Breakpoints ........................................................................................................................ 21
Software breakpoints 21
On-chip breakpoints for instructions 21
On-chip breakpoints for data 21
The Memory Classes ......................................................................................................... 22
Programming the On-chip FLASH of the megaAVR ...................................................... 23
Special Hints, Restrictions, and Known Problems ........................................................ 24
Restrictions: 24
Known Problems: 24
Connectors ........................................................................................................................ 25
Debug Connector 25
Support ............................................................................................................................... 26
Available Tools 26
Compilers 28
3rd Party Tool Integrations 29
Products ............................................................................................................................. 30
Product Information 30
Order Information 30
Beyond
Beyond Debugger and Trace ......................................................(debugger_beyond.pdf) 1
TRACE32 Directory 272 ©1989-2017 Lauterbach GmbH
Brief Overview of Documents for New Users ................................................................. 3
Warning .............................................................................................................................. 4
Limitations ......................................................................................................................... 5
Quick Start of the JTAG Debugger .................................................................................. 6
Troubleshooting ................................................................................................................ 8
Communication between Debugger and Processor can not be established 8
FAQ ..................................................................................................................................... 9
Beyond Specific Implementations ................................................................................... 10
Breakpoints 10
Runtime Measurement 13
Memory Classes 14
Beyond specific SYStem Commands .............................................................................. 15
SYStem.CONFIG Configure debugger according to target topology 15
SYStem.CONFIG.DebugProtocol Implemented debug protocol of the CPU 18
SYStem.CONFIG.MemAccessModule Select memory access module 18
SYStem.CPU Select the used CPU 19
SYStem.CpuAccess Run-time memory access (intrusive) 19
SYStem.JtagClock Define JTAG frequency 20
SYStem.LOCK Tristate the JTAG port 21
SYStem.MemAccess Run-time memory access 22
SYStem.Mode Establish the communication with the target 23
SYStem.Option DBGRQ Assert DBGRQ line while reset 23
SYStem.Option.FLOWTRACE Debug support while FLOWTRACE 24
SYStem.Option IMASKASM Disable interrupts while single stepping 24
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 24
SYStem.Option.LittleEnd CPU endianness for memory access 25
SYStem.Option LPMDebug Polling for low-power-mode 25
SYStem.Option MMUSPACES Enable space IDs 25
SYStem.Option.ResetDURation Reset assertion time 26
SYStem.Option TURBO Speed up memory access 27
SYStem.Option WaitReset Wait with JTAG activities after deasserting reset 27
SYStem.state Display SYStem.state window 27
CPU specific MMU Commands ........................................................................................ 28
MMU.DUMP Page wise display of MMU translation table 28
MMU.List Compact display of MMU translation table 29
MMU.SCAN Load MMU table from CPU 30
Beyond Specific TrOnchip Commands ........................................................................... 31
TrOnchip.CONVert Extend the breakpoint range 31
TrOnchip.RESet Reset on-chip trigger settings 31
TrOnchip.StepVector Halt on exception entry when single-stepping 31
TRACE32 Directory 273 ©1989-2017 Lauterbach GmbH
TrOnchip.VarCONVert Convert variable breakpoints 32
TrOnchip.state Display on-chip trigger window 32
TrOnchip.Set Trigger on exception 33
Beyond Specific TERM Commands ................................................................................. 35
TERM.Method.BufferQUICK Intrusive buffer based virtual terminal 35
JTAG Connection .............................................................................................................. 36
Trace Connection .............................................................................................................. 37
Support ............................................................................................................................... 38
Available Tools 38
Compilers 38
Target Operating Systems 38
3rd Party Tool Integrations 39
Products ............................................................................................................................. 40
Product Information 40
Order Information 41
Blackfin
Blackfin Debugger .......................................................................(debugger_blackfin.pdf) 1
General Note ...................................................................................................................... 3
Brief Overview of Documents for New Users ................................................................. 3
Warning .............................................................................................................................. 4
Application Note ................................................................................................................ 5
Location of Debug Connector 5
Quick Start JTAG ............................................................................................................... 6
Troubleshooting ................................................................................................................ 8
SYStem.Up Errors 8
FAQ ..................................................................................................................................... 8
Configuration ..................................................................................................................... 9
System Overview 9
General System Settings .................................................................................................. 10
SYStem.CONFIG Configure debugger according to target topology 10
SYStem.CONFIG.CORE Assign core to TRACE32 instance 14
SYStem.CPU CPU type selection 15
SYStem.CpuAccess Run-time memory access (intrusive) 15
SYStem.CpuAccess Run-time memory access (intrusive) 16
SYStem.JtagClock JTAG clock selection 16
SYStem.LOCK Lock and tristate the debug port 17
SYStem.MemAccess Real-time memory access (non-intrusive) 18
TRACE32 Directory 274 ©1989-2017 Lauterbach GmbH
SYStem.Mode System mode selection 19
SYStem.Option IMASKASM Interrupt disable 19
SYStem.Option IMASKHLL Interrupt disable 19
Breakpoints ........................................................................................................................ 20
Software Breakpoints 20
On-chip Breakpoints 20
Breakpoint in ROM 20
Example for Breakpoints 21
Memory Classes ................................................................................................................ 22
JTAG Connector ................................................................................................................ 23
Support ............................................................................................................................... 24
Available Tools 24
Compilers 24
Target Operating Systems 25
3rd Party Tool Integrations 26
Products ............................................................................................................................. 27
Product Information 27
Order Information 27
C166 Family
XC2000/XC16x/C166CBC Debugger ........................................... (debugger_166cbc.pdf) 1
General Note ...................................................................................................................... 5
ICD/AICD 5
Brief Overview of Documents for New Users ................................................................. 5
Warning .............................................................................................................................. 6
Monitor Routine ................................................................................................................. 7
Quick Start ......................................................................................................................... 9
Quick Start for Tracing with MCDS On-chip Trace 11
Memory Classes ................................................................................................................ 12
CPU specific SYStem Commands ................................................................................... 13
SYStem.BdmClock Define JTAG frequency 13
SYStem.CPU Select the CPU 13
SYStem.CpuAccess Run-time memory access (intrusive) 13
SYStem.JtagClock Define the JTAG frequency 15
SYStem.MemAccess Real-time memory access (non-intrusive) 15
SYStem.Mode Establish the communication with the CPU 16
SYStem.LOCK Lock and tristate the debug port 18
SYStem.CONFIG.state Display target configuration 18
TRACE32 Directory 275 ©1989-2017 Lauterbach GmbH
SYStem.CONFIG Configure debugger according to target topology 19
SYStem.CONFIG.CORE Assign core to TRACE32 instance 23
SYStem.CONFIG.DAP Define mapping for DAP pins 24
SYStem.CONFIG.DAP.BreakPIN Define mapping of break pins 24
SYStem.CONFIG.DAP.DAPENable Enable DAP mode on PORST 24
SYStem.CONFIG.DAP.USERn Configure and set USER pins 25
SYStem.CONFIG.DEBUGPORTTYPE Set debug cable interface mode 25
SYStem.Option DUALPORT Run-time memory access for all windows 26
SYStem.Option IDLEFIX Periodically activate/deactivate JTAG connection 26
SYStem.Option IMASKASM Disable interrupts while single stepping 26
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 26
SYStem.Option MonBase Define start address of debug monitor 27
SYStem.Option PERSTOP Enable global peripheral suspend signal 27
SYStem.Option PERSTOPFIX Break CPU via ONCHIP break register 27
SYStem.Option BRKOUT Activates BRKOUT signal 28
SYStem.Option WATCHDOG Disable or serve watchdog 28
SYStem.Option TRACEENABLE Disable traceport 28
SYStem.Option DebugLevel Debug level 28
SYStem.Option BootModeIndex BootModeIndex 30
SYStem.Option ICFLUSH Flush instruction cache 31
SYStem.Option IDLEDEBUG Debug in IDLE state 31
SYStem.Option WaitReset Delay between PORST and JTAG shifts 31
MCDS Onchip Trace .......................................................................................................... 32
MCDS Onchip Trace Features 32
Supported Features 32
Trace Control 32
Simple Trace Control 32
BenchMarkCounter ........................................................................................................... 36
BMC.CNTx.EVENT Configure the performance monitor 36
Useful Features ................................................................................................................. 37
Breakpoints ........................................................................................................................ 38
Software Breakpoints on Instructions 38
On-chip Breakpoints 38
On-chip Breakpoints in FLASH/ROM 38
Example for Breakpoints 39
TrOnchip Commands ........................................................................................................ 41
TrOnchip.state Display on-chip trigger window 41
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 41
TrOnchip.RESet Set on-chip trigger to default state 41
TrOnchip.TEnable Set filter for the trace 42
TrOnchip.TOFF Switch the sampling to the trace to OFF 42
TrOnchip.TON Switch the sampling to the trace to “ON” 42
TRACE32 Directory 276 ©1989-2017 Lauterbach GmbH
TrOnchip.TTrigger Set a trigger for the trace 42
TrOnchip.VarCONVert Adjust complex breakpoint in on-chip resource 43
TrOnchip.Address Define address selector 43
TrOnchip.CYcle Define access type 43
TrOnchip.Data Define data selector 44
TrOnchip.NoMatch Define match or nomatch comparison 44
TrOnchip.TaskID Define task ID comparison 44
Connectors ........................................................................................................................ 45
JTAG Connector 45
DAP Connector 46
Troubleshooting ................................................................................................................ 47
SYStem.Up Errors 47
FAQ ..................................................................................................................................... 48
Technical Data ................................................................................................................... 51
Operation Voltage 51
Support ............................................................................................................................... 52
Available Tools 52
Compilers 55
Target Operating Systems 56
3rd Party Tool Integrations 56
Products ............................................................................................................................. 58
Product Information 58
Order Information 59
XC16x Application Notes .....................................................................................................
Application Note Debug Cable C166 ........................................... (c166_app_ocds.pdf) 1
Introduction .................................................................................................................... 3
Debug Cables ................................................................................................................. 4
OCDS Debug Cables 4
Debug Interface Description ......................................................................................... 10
JTAG Interface 10
DAP Interface 13
Configuring PowerView ................................................................................................. 15
Selecting the Interface Mode 15
Enabling the DAP Interface on the Chip 15
DAP User Pins 16
Adapters, Converters and Extensions ......................................................................... 18
Adapter 16-pin 100 mil to 50 mil 18
Converter 16-pin JTAG to DAP for TriCore/XC2000/XC800 19
Recommended Connectors ........................................................................................... 20
TRACE32 Directory 277 ©1989-2017 Lauterbach GmbH
Standard 2x8 Connector 20
Half-size 2x8 Connector 20
Half-size 2x5 Connector 21
Half-size 2x5 Connector with Keying Pin 7 22
C166 Monitor ........................................................................................ (monitor_c166.pdf) 1
Brief Overview of Documents for New Users ................................................................. 4
Warning .............................................................................................................................. 5
General Note ...................................................................................................................... 5
Quick Start of the C166 ESI-ROM Monitor ...................................................................... 6
Quick Start of the C166 Serial Monitor ............................................................................ 8
Troubleshooting ................................................................................................................ 10
FAQ ..................................................................................................................................... 10
Basics ................................................................................................................................. 12
Monitor Features 12
Monitor Files 12
Address Layout 13
Vector Table 14
Configuration 14
General SYStem Settings and Restrictions .................................................................... 15
SYStem.CPU CPU type 15
SYStem.MemAccess Real-time memory access (non-intrusive) 15
SYStem.CpuAccess Run-time memory access (intrusive) 16
SYStem.Mode Establish the communication with the CPU 16
SYStem.Option BrkVector Breakpoint trap 17
SYStem.Option ResVector Resetvector trap 17
SYStem.Option BusType Bus mode 18
SYStem.Option CS Chip selects 18
SYStem.Option ADDRSELx BUSCON settings 18
SYStem.Option IMASKASM Disable interrupts while single stepping 19
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 19
SYStem.Option SGT Segmentation 19
SYStem.Option BOOTSTRAP Bootstrap logic 20
SYStem.BOOTLDR2 Bootloader file 20
SYStem.MONITOR Monitor file 20
SYStem.PORT Set communication parameters 20
Special Functions 21
General Restrictions 21
TrOnchip ............................................................................................................................ 22
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 22
TrOnchip.VarCONVert Adjust complex breakpoint in on-chip resource 22
TRACE32 Directory 278 ©1989-2017 Lauterbach GmbH
TrOnchip.RESet Set on-chip trigger to default state 22
TrOnchip.state Display on-chip trigger window 23
TrOnchip.TEnable Set filter for the trace 23
TrOnchip.TOFF Switch the sampling to the trace to OFF 23
TrOnchip.TON Switch the sampling to the trace to “ON” 23
TrOnchip.TTrigger Set a trigger for the trace 24
Memory Classes 25
Support ............................................................................................................................... 26
Available Tools 26
Compilers 30
Target Operating Systems 30
3rd Party Tool Integrations 31
Products ............................................................................................................................. 32
Product Information 32
Order Information 32
C166 Family Trace .................................................................................... (trace_c166.pdf) 1
Installation ......................................................................................................................... 3
Packing List 3
TRACE32 Software and Hardware Installation 3
Configuration and Target Adaption 4
Function ............................................................................................................................. 6
Quick Start 6
Trace Structure 9
Commands ......................................................................................................................... 17
MAP.MONITOR 17
PER.view 17
SYStem.Option 18
TrOnchip 18
FAQ ..................................................................................................................................... 19
Technical Data ................................................................................................................... 20
Operation Voltage 20
Operation Frequency 20
Dimensions 21
Adapter 25
Support ............................................................................................................................... 26
Available Tools 26
Compilers 27
Target Operating Systems 27
3rd Party Tool Integrations 28
Products ............................................................................................................................. 29
TRACE32 Directory 279 ©1989-2017 Lauterbach GmbH
Product Information 29
Order Information 30
CEVA-Oak/Teak/TeakLite
CEVA-Oak/Teak/TeakLite Debugger ................................................. (debugger_oak.pdf) 1
Brief Overview of Documents for New Users ................................................................. 3
Warning .............................................................................................................................. 4
Quick Start ......................................................................................................................... 5
Troubleshooting ................................................................................................................ 7
FAQ ..................................................................................................................................... 7
General SYStem Settings ................................................................................................. 8
SYStem.CONFIG.state Display target configuration 8
SYStem.CONFIG Configure debugger according to target topology 9
SYStem.CPU Select the used CPU 44
SYStem.CpuAccess Run-time memory access (intrusive) 45
SYStem.JtagClock Define JTAG clock 45
SYStem.LOCK Lock and tristate the debug port 46
SYStem.MemAccess Real-time memory access (non-intrusive) 46
SYStem.Mode Establish the communication with the target 47
SYStem.Option BackPC Keep core running except for debugger access 47
SYStem.Option BASE Setup MAILBOX or MMIO base address 47
SYStem.Option IMASKASM Disable interrupts while single stepping 48
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 48
SYStem.Option MonBase Set up monitor base address 48
General Restrictions 48
SYStem.Option RomMon Disable download of monitor routine 49
TrOnchip Commands ........................................................................................................ 50
TrOnchip.RESet Set on-chip trigger to default state 50
TrOnchip.Set.BKRE Trigger on block repeat loop 50
TrOnchip.Set.BRE Trigger on jump 50
TrOnchip.Set.EXTRE Trigger on external register read 50
TrOnchip.Set.EXTWE Trigger on external register write 51
TrOnchip.Set.ILLE Trigger on illegal instruction access 51
TrOnchip.Set.INTE Trigger on interrupt 51
TrOnchip.Set.TBFE Trigger on trace buffer full 51
TrOnchip.state Display “Trigger-Onchip” dialog 51
TrOnchip.VarCONVert Adjust complex breakpoint in on-chip resource 52
JTAG Connector ................................................................................................................ 53
Memory Classes ................................................................................................................ 54
TRACE32 Directory 280 ©1989-2017 Lauterbach GmbH
Support ............................................................................................................................... 55
Available Tools 55
Compilers OAK 56
Compilers TEAK 56
Compilers TEAKLite 56
Target Operating Systems 56
3rd Party Tool Integrations 57
Products ............................................................................................................................. 58
Product Information 58
Order Information 58
CEVA-X
CEVA-X Debugger .......................................................................... (debugger_cevax.pdf) 1
Brief Overview of Documents for New Users ................................................................. 3
Warning .............................................................................................................................. 4
Quick Start ......................................................................................................................... 5
Troubleshooting ................................................................................................................ 7
FAQ ..................................................................................................................................... 7
General SYStem Settings ................................................................................................. 8
SYStem.CONFIG.state Display target configuration 8
SYStem.CONFIG Configure debugger according to target topology 9
SYStem.CPU Select the used CPU 45
SYStem.CpuAccess Run-time memory access (intrusive) 46
SYStem.CpuAccess Run-time memory access (intrusive) 46
SYStem.JtagClock Define JTAG clock 47
SYStem.LOCK Lock and tristate the debug port 47
SYStem.MemAccess Real-time memory access (non-intrusive) 48
SYStem.Mode Establish the communication with the target 48
SYStem.Option BackPC Keep core running except for debugger access 49
SYStem.Option BASE Setup MAILBOX or MMIO base address 49
SYStem.Option IMASKASM Disable interrupts while single stepping 49
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 50
SYStem.Option MonBase Setup monitor base address 50
SYStem.VCU.INSTances Number of available VCUs 50
SYStem.VCU.MLD MLD available or not 50
General Restrictions 51
TrOnchip Commands ........................................................................................................ 52
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 52
TrOnchip.VarCONVert Adjust complex breakpoint in on-chip resource 52
TrOnchip.RESet Set on-chip trigger to default state 53
TRACE32 Directory 281 ©1989-2017 Lauterbach GmbH
TrOnchip.Set.BKRE Trigger on block repeat loop 53
TrOnchip.Set.BRE Trigger on jump 53
TrOnchip.Set.EXTRE Trigger on external register read 53
TrOnchip.Set.EXTWE Trigger on external register write 53
TrOnchip.Set.ILLE Trigger on illegal instruction access 54
TrOnchip.Set.INTE Trigger on interrupt 54
TrOnchip.Set.TBFE Trigger on trace buffer full 54
TrOnchip.state Display “Trigger-Onchip” dialog 54
Memory Classes ................................................................................................................ 55
JTAG Connector ................................................................................................................ 56
Support ............................................................................................................................... 57
Available Tools 57
Compilers 57
Target Operating Systems 57
3rd Party Tool Integrations 58
Products ............................................................................................................................. 59
Product Information 59
Order Information 59
CPU32 and ColdFire
CPU32/ColdFire Debugger and Trace .............................................. (debugger_68k.pdf) 1
Brief Overview of Documents for New Users ................................................................. 5
Warning .............................................................................................................................. 6
Quick Start of the BDM Debugger ................................................................................... 7
Quick Start of the ROM Monitor ....................................................................................... 9
Restrictions ........................................................................................................................ 12
Troubleshooting ................................................................................................................ 13
FAQ ..................................................................................................................................... 14
FAQ 68K 14
FAQ ColdFire 17
ROM Monitor ...................................................................................................................... 18
Monitor Features 18
Monitor Files 18
Address Layout 19
Vector Table 20
Configuration 21
Break without Hardware Interrupt 21
CPU specific Implementations ......................................................................................... 22
TRACE32 Directory 282 ©1989-2017 Lauterbach GmbH
Hardware Breakpoint for MC68360 22
Memory Classes 23
CPU specific SYStem Commands ................................................................................... 24
SYStem.BdmClock Select BDM-clock 24
SYStem.CPU Select CPU type 25
SYStem.CpuAccess Run-time memory access (intrusive) 25
SYStem.LOCK Lock and tristate the debug port 26
SYStem.MemAccess Real-time memory access (non-intrusive) 26
SYStem.Mode Establish the communication with the CPU 27
SYStem.CONFIG Configure debugger according to target topology 28
SYStem.CONFIG.CORE Assign core to TRACE32 instance 32
SYStem.Option BASE Select peripheral base address 33
SYStem.Option CLKDet Resync after every clock change 33
SYStem.Option HOOK Compare PC to hook address 33
SYStem.Option IMASKASM Disable interrupts while single stepping 34
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 34
SYStem.Option MMUSPACES Enable space IDs 34
SYStem.Option SLOWRESET Slow reset enable 35
SYStem.Option PST Detect HALT condition of the CPU 36
SYStem.Option PSTCLKTERM Termination of the PSTCLK pin 36
SYStem.Option ResetAction Debugger behavior when RESET is detected 36
SYStem.Option StandbyAction Debugger behavior when power is restored 37
SYStem.RESetOut Reset target without reset of debug port 37
Trace specific Commands ................................................................................................ 38
SYStem.Option BTB Change the width of the address information 38
SYStem.Option DDC Configure the tracing of data accesses 38
SYStem.Option TSYNC Send the PC to the trace port 38
CPU specific TrOnchip Commands ................................................................................. 39
TrOnchip.ALIGN Enable breakpoint alignment 39
TrOnchip.CONVert Enable expansion of address range 39
TrOnchip.RESet Set on-chip trigger to default state 39
TrOnchip.state Display on-chip trigger window 40
TrOnchip.SIZE Enable break on SIZE lines 41
TrOnchip.TEnable Set filter for the trace 41
TrOnchip.TOFF Switch the sampling to the trace to OFF 41
TrOnchip.TON Switch the sampling to the trace to “ON” 41
TrOnchip.TTrigger Set a trigger for the trace 42
CPU specific MMU Commands ........................................................................................ 43
MMU.DUMP Page wise display of MMU translation table 43
MMU.List Compact display of MMU translation table 44
MMU.SCAN Load MMU table from CPU 45
BDM Connector 68K .......................................................................................................... 47
TRACE32 Directory 283 ©1989-2017 Lauterbach GmbH
BDM and Trace Connector ColdFire ................................................................................ 47
BDM Connectors for ColdFire V1, V2, V3, V4 and ColdFire+ 47
Technical Data BDM 68K .................................................................................................. 50
Operation Voltage 50
Technical Data BDM ColdFire .......................................................................................... 51
Operation Voltage 51
Technical Data Trace ColdFire 51
Operation Frequency 51
Operation Voltage 53
Support ............................................................................................................................... 54
Available Tools 68K 54
Available Tools ColdFire 55
Compilers 68K 58
Compilers ColdFire 59
Compilers HC16 60
Target Operating Systems 68K 60
Target Operating Systems ColdFire 61
3rd Party Tool Integrations 68K 61
3rd Party Tool Integrations ColdFire 62
Products ............................................................................................................................. 64
Product Information 64
Order Information 64
DSP56K
DSP56K Debugger .......................................................................... (debugger_56000.pdf) 1
Brief Overview of Documents for New Users ................................................................. 4
Warning .............................................................................................................................. 5
Quick Start ......................................................................................................................... 6
Troubleshooting ................................................................................................................ 9
SYStem.Up Errors 9
FAQ ..................................................................................................................................... 10
Configuration ..................................................................................................................... 13
On-chip Flash Programming and Debugging on 56F8xxx Derivatives 13
General SYStem Settings and Restrictions .................................................................... 16
SYStem.CPU Select the used CPU 16
SYStem.CpuAccess Run-time memory access (intrusive) 16
SYStem.LOCK Lock and tristate the debug port 17
SYStem.MemAccess Real-time memory access (non-intrusive) 17
SYStem.Mode Establish the communication with the target 18
TRACE32 Directory 284 ©1989-2017 Lauterbach GmbH
SYStem.CONFIG.state Display target configuration 19
SYStem.CONFIG Configure debugger according to target topology 20
SYStem.CONFIG.CORE Assign core to TRACE32 instance 24
SYStem.Option COP Enable WATCHDOG 25
SYStem.Option DE Enable DE line 25
SYStem.Option IMASKASM Disable interrupts while single stepping 25
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 26
SYStem.Option SoftBreakFix Enables ”SoftBreakFix” patch 26
SYStem.JtagClock Define JTAG clock 27
General Restrictions 28
FPU ..................................................................................................................................... 31
TrOnchip Commands ........................................................................................................ 32
TrOnchip.state Opens configure panel 32
TrOnchip.A Trigger cycle 32
TrOnchip.AANDB Triggers if event occurs on unit A and unit B 33
TrOnchip.AAFTERB Triggers if event occurs first on unit A and then on unit B 33
TrOnchip.AORB Triggers if event occurs on unit A or unit B 33
TrOnchip.B Trigger cycle 33
TrOnchip.BAFTERA Triggers if event occurs first on unit B and then on unit A 34
TrOnchip.CONVert Automatically convert range to single address 34
TrOnchip.Count Delay counter 34
TrOnchip.DMA Trigger on DMA access 34
TrOnchip.Mode Defines used triggers 35
TrOnchip.OFF Disable on-chip trigger unit 35
TrOnchip.RESet Resets settings 35
Floating Point Formats ..................................................................................................... 36
Integer Access Keywords ................................................................................................. 36
ONCE Connector (56002/56100) ....................................................................................... 37
JTAG Connector (56300, 56800, 56800E) ........................................................................ 38
Memory Classes ................................................................................................................ 40
Support ............................................................................................................................... 41
Available Tools 41
Compilers DSP56000 43
Compilers DSP56300 43
Compilers DSP56800/DSP56800E 43
Target Operating Systems 43
3rd Party Tool Integrations 44
Products ............................................................................................................................. 45
Product Information 45
Order Information 45
TRACE32 Directory 285 ©1989-2017 Lauterbach GmbH
eTPU
eTPU Debugger and Trace ...............................................................(debugger_etpu.pdf) 1
General Note ...................................................................................................................... 4
Brief Overview of Documents for New Users ................................................................. 4
Warning .............................................................................................................................. 5
Target Design Requirement/Recommendations ............................................................ 6
General 6
Quick Start eTPU Debugger ............................................................................................. 7
Troubleshooting ................................................................................................................ 8
FAQ ..................................................................................................................................... 9
Configuration ..................................................................................................................... 12
System Overview 12
eTPU Debugger Specific Implementations ..................................................................... 14
eTPU operating modes 14
Debugging the eTPU 14
Breakpoints and Watchpoints 15
Memory Classes 16
Address Spaces and Addressing Modes 16
General SYStem Commands ............................................................................................ 17
SYStem.CONFIG Configure debugger according to target topology 17
SYStem.CONFIG.CORE Assign core to TRACE32 instance 17
SYStem.CPU Select the CPU type 17
SYStem.CpuAccess Run-time memory access (intrusive) 18
SYStem.JtagClock Select the debug clock frequency 18
SYStem.LOCK Lock and tristate the debug port 19
SYStem.MemAccess Run-time memory access (non-intrusive) 20
SYStem.Mode Select operation mode 20
SYStem.Option ByteWise Use byte addressing for eTPU memory space 21
SYStem.Option DUALPORT Implicitly use run-time memory access 21
CPU specific SYStem Commands ................................................................................... 22
SYStem.Option FreezeCLKS Freeze eTPU clocks if eTPU halted 22
SYStem.Option FreezePINS Freeze pins if eTPU is halted 22
NEXUS specific SYStem Settings .................................................................................... 23
NEXUS.BTM Control for branch trace messages 23
NEXUS.CHAN Enable CHAN register write trace messages 23
NEXUS.CLIENT<x>.MODE Set data trace mode of nexus client 23
NEXUS.CLIENT<x>.SELECT Select a nexus client for data tracing 24
NEXUS.DTM Control for data trace messages 24
TRACE32 Directory 286 ©1989-2017 Lauterbach GmbH
NEXUS.OFF Switch the NEXUS trace port off 24
NEXUS.ON Switch the NEXUS trace port on 24
NEXUS.OTM Enable ownership trace messages 25
NEXUS.PortMode Define MCKO frequency 25
NEXUS.PortSize Define the width of MDO 25
NEXUS.PTCE Program trace enable per channel 26
NEXUS.Register Display NEXUS trace control registers 26
NEXUS.RESet Reset NEXUS trace port settings 26
NEXUS.STALL Stall the program execution 26
NEXUS.state Display NEXUS port configuration window 27
CPU specific TrOnchip Commands ................................................................................. 28
TrOnchip.BusTrigger Trigger bus on debug event 28
TrOnchip.CBI Halt on client breakpoint input 28
TrOnchip.CBT Select client breakpoint timing condition 29
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 29
TrOnchip.EVTI Use EVTI signal to stop the program execution 30
TrOnchip.EXTernal External signals 30
TrOnchip.HTWIN Halt on twin engine breakpoint 30
TrOnchip.RESet Reset on-chip trigger settings 31
TrOnchip.SCM Select channels for that breakpoints are effective 31
TrOnchip.Set Break on debug event 31
TrOnchip.TEnable Set filter for the trace 32
TrOnchip.TOFF Switch the sampling to the trace to OFF 32
TrOnchip.TON Switch the sampling to the trace to “ON” 33
TrOnchip.TraceTrigger Trigger trace on debug event 33
TrOnchip.VarCONVert Adjust complex breakpoint in on-chip resource 34
TrOnchip.state Display on-chip trigger window 34
Complex Trigger Unit ........................................................................................................ 35
Usage 35
Complex Trigger Examples for eTPU 36
Keywords for the Complex Trigger Unit 37
JTAG Connector ................................................................................................................ 38
Mechanical Description 38
Support ............................................................................................................................... 39
Compilers 39
3rd Party Tool Integrations 40
Target Operating Systems 41
Products ............................................................................................................................. 42
Product Information 42
Order Information 42
TRACE32 Directory 287 ©1989-2017 Lauterbach GmbH
GTM
GTM Debugger and Trace ..................................................................(debugger_gtm.pdf) 1
General Note ...................................................................................................................... 5
GTM Debugger and Trace ................................................................................................. 6
Warning .............................................................................................................................. 7
Target Design Requirement/Recommendations ............................................................ 8
General 8
For MPC57xx 8
Quick Start GTM Debugger .............................................................................................. 9
AURIX Architecture - Quick Start 10
MPC57xx/SPC58xx Architecture - Quick Start 11
RH850 Architecture - Quick Start 12
Troubleshooting ................................................................................................................ 13
FAQ ..................................................................................................................................... 13
Configuration ..................................................................................................................... 14
System Overview 14
GTM Operating Modes 14
Debugging the GTM 14
Breakpoints and Watchpoints 15
Access Classes 16
Address Spaces and Addressing Modes 16
General SYStem Commands ............................................................................................ 17
SYStem.CONFIG.state Display target configuration 17
SYStem.CONFIG Configure debugger according to target topology 18
SYStem.CONFIG.CORE Assign core to TRACE32 instance 18
SYStem.CONFIG.DEBUGPORTTYPE Set debug cable interface mode 19
SYStem.CONFIG MCSModule Select the MCS module 19
SYStem.CONFIG PortSHaRing Control sharing of debug port with other tool 19
SYStem.CPU Select the CPU type 20
SYStem.CpuAccess Run-time memory access (intrusive) 21
SYStem.JtagClock Select the debug clock frequency 21
SYStem.LOCK Lock and tristate the debug port 22
SYStem.MemAccess Run-time memory access (non-intrusive) 22
SYStem.Mode Select operation mode 23
SYStem.Option DUALPORT Implicitly use run-time memory access 23
NEXUS Commands ........................................................................................................... 24
NEXUS.ARU Control for ARU trace messages 24
NEXUS.ARUAccessX ARU debugging address 24
NEXUS.FTM Control for fetch trace messages 24
TRACE32 Directory 288 ©1989-2017 Lauterbach GmbH
NEXUS.FTCE Fetch trace enable per channel 25
NEXUS.DPLL DPLL data trace messages 25
NEXUS.DPLLMemory RAM module selection 26
NEXUS.DTM Control for data trace messages 26
NEXUS.DTCE Data trace enable per channel 27
NEXUS.OFF Switch the NEXUS trace port off 27
NEXUS.ON Switch the NEXUS trace port on 27
NEXUS.RESet Reset NEXUS trace port settings 28
NEXUS.RefClock Enable Aurora reference clock 28
NEXUS.PortMode Set NEXUS trace port frequency 28
NEXUS.PortSize Set trace port width 29
NEXUS.state Display Nexus configuration window 29
NEXUS.TimeStamps Control for timestamp trace messages 29
General TrOnchip Commands ......................................................................................... 30
TrOnchip.state Display onchip trigger window 30
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 31
TrOnchip.RESet Reset on-chip trigger settings 31
TrOnchip.VarCONVert Adjust complex breakpoint in on-chip resource 31
TriCore specific TrOnchip Commands ............................................................................ 32
TrOnchip.ARU ARU settings 32
TrOnchip.ARU.ACCESS ARU debugging address 32
TrOnchip.MCS MCS setting 33
TrOnchip.MCS Channel Select the MCS channel 33
TrOnchip.MCS Module Select the MCS module 33
TrOnchip.OTGBx OTGB0 and OTGB1 settings 34
TrOnchip.OTGBx SELect Select trace source 34
TrOnchip.OTGBx LowBMType Select IOS module for low byte 35
TrOnchip.OTGBx HighBMType Select IOS module for high byte 36
TrOnchip.OTGBx LowBMInst Low byte module instance 36
TrOnchip.OTGBx HighBMInst High byte module instance 36
TrOnchip.OTGBx.SENsitivNeg Bit sensitive trace selection 37
TrOnchip.OTGBx.SENsitivPos Bit sensitive trace selection 37
TrOnchip.OTGB2 OTGB2 setting 38
TrOnchip.OTGB2 SELect Select trace source 38
PowerPC specific TrOnchip Commands ......................................................................... 39
TrOnchip.ARUx Address ARU address compare 39
TrOnchip.ARUx DataHigh ARU data low value compare 39
TrOnchip.ARUx DataLow ARU data low value compare 39
TrOnchip.ARUx HALT ARU access halt enable 40
TrOnchip.ARUx Watchpoint ARU access watchpoint enable 40
TrOnchip.ATOMWPCx ATOM watchpoint settings 41
TrOnchip.ATOMWPCx Channel ATOM channel selection 41
TRACE32 Directory 289 ©1989-2017 Lauterbach GmbH
TrOnchip.ATOMWPCx HALT ATOM halt enable 41
TrOnchip.ATOMWPCx Module ATOM sub-module selection 41
TrOnchip.ATOMWPCx TIMING ATOM watchpoint enable 42
TrOnchip.ATOMWPCx Transition ATOM channel slope selection 42
TrOnchip.ATOMWPCx Watchpoint ATOM watchpoint enable 42
TrOnchip.DPLLWPC1 DPLL watchpoint settings 43
TrOnchip.DPLLWPC1 Event DPLL source selection 43
TrOnchip.DPLLWPC1 HALT DPLL TASI/SASI halt enable 43
TrOnchip.DPLLWPC1 Transition DPLL TASI/SASI slope selection 43
TrOnchip.DPLLWPC1 Watchpoint DPLL TASI/SASI watchpoint enable 43
TrOnchip.DPLLWPC2 DPLL RAM watchpoint settings 44
TrOnchip.DPLLWPC2 Address DPLL RAM address compare 44
TrOnchip.DPLLWPC2 ACCESS DPLL RAM read/write control 44
TrOnchip.DPLLWPC2 Data DPLL RAM data compare 44
TrOnchip.DPLLWPC2 HALT DPLL RAM access halt enable 44
TrOnchip.DPLLWPC2 Module DPLL RAM module selection 45
TrOnchip.DPLLWPC2 Watchpoint DPLL RAM access watchpoint enable 45
TrOnchip.EVTOx Select EVTOx output 45
TrOnchip.SPEx SPEx 46
TrOnchip.SPEx DIR SPEx DIR watchpoint settings 46
TrOnchip.SPEx DIR HALT SPEx DIR halt enable 46
TrOnchip.SPEx DIR TIMING SPEx DIR watchpoint enable 46
TrOnchip.SPEx DIR Transition SPEx DIR slope selection 46
TrOnchip.SPEx DIR Watchpoint SPEx DIR watchpoint enable 47
TrOnchip.SPEx NIPD SPEx NIPD watchpoint settings 48
TrOnchip.SPEx NIPD HALT SPEx NIPD halt enable 48
TrOnchip.SPEx NIPD TIMING SPEx NIPD watchpoint enable 48
TrOnchip.SPEx NIPD Transition SPEx NIPD slope selection 48
TrOnchip.SPEx NIPD Watchpoint SPEx NIPD watchpoint enable 49
TrOnchip.TBU TBU watchpoint settings 50
TrOnchip.TBUx Data TBU data value compare 50
TrOnchip.TBUx HALT TBU access halt enable 50
TrOnchip.TBUx Watchpoint TBU access watchpoint enable 50
TrOnchip.TBU0 SELect TBU0 type selection 51
TrOnchip.TIMWPC TIM watchpoint settings 52
TrOnchip.TIMWPCx Channel TIM channel selection 52
TrOnchip.TIMWPCx HALT TIM halt enable 52
TrOnchip.TIMWPCx Module TIM sub-module selection 52
TrOnchip.TIMWPCx TIMING TIM watchpoint enable 53
TrOnchip.TIMWPCx Transition TIM channel slope selection 53
TrOnchip.TIMWPCx Watchpoint TIM watchpoint enable 53
TrOnchip.TOMWPC TOM watchpoint settings 54
TrOnchip.TOMWPCx Channel TOM channel selection 54
TRACE32 Directory 290 ©1989-2017 Lauterbach GmbH
TrOnchip.TOMWPCx HALT TOM halt enable 54
TrOnchip.TOMWPCx Module TOM sub-module selection 54
TrOnchip.TOMWPCx TIMING TOM watchpoint enable 55
TrOnchip.TOMWPCx Transition TOM channel slope selection 55
TrOnchip.TOMWPCx Watchpoint TOM watchpoint enable 55
TrOnchip.WPCE Breakpoint enable per channel 56
JTAG Connector ................................................................................................................ 57
Mechanical Description 57
Support ............................................................................................................................... 58
Compilers 58
Products ............................................................................................................................. 58
H8S
H8S/23x9 Debugger ............................................................................ (debugger_h8s.pdf) 1
General Note ...................................................................................................................... 3
Brief Overview of Documents for New Users ................................................................. 3
Warning .............................................................................................................................. 4
Application Note ................................................................................................................ 5
Location of Debug Connector 5
Reset Line 5
Enable JTAG Mode 5
Quick Start JTAG ............................................................................................................... 6
Troubleshooting ................................................................................................................ 8
SYStem.Up Errors 8
FAQ 9
Configuration ..................................................................................................................... 10
System Overview 10
System Commands ........................................................................................................... 11
SYStem.CPU CPU type selection 11
SYStem.CpuAccess Run-time memory access (intrusive) 11
SYStem.JtagClock JTAG clock selection 12
SYStem.Option Advanced Advanced addressing mode 12
SYStem.Option BrkVector Break vector setting 12
SYStem.Option IMASKASM Interrupt disable on ASM 13
SYStem.Option IMASKHLL Interrupt disable on HLL 13
SYStem.Option KEYCODE Keycode 13
SYStem.MemAccess Real-time memory access (non-intrusive) 14
SYStem.Mode System mode selection 14
TRACE32 Directory 291 ©1989-2017 Lauterbach GmbH
Multicore Debugging ......................................................................................................... 15
SYStem.LOCK JTAG lock 15
SYStem.CONFIG Configure debugger according to target topology 16
SYStem.CONFIG.CORE Assign core to TRACE32 instance 20
Breakpoints ........................................................................................................................ 21
Software Breakpoints 21
On-chip Breakpoints 21
Breakpoint in ROM 21
Example for Breakpoints 21
TrOnchip Commands ........................................................................................................ 23
TrOnchip.state Display on-chip trigger window 23
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 23
TrOnchip.DMA Trigger on DMA cycle 23
TrOnchip.DTC Trigger on DTC cycle 24
TrOnchip.SIZE Trigger on byte, word, long memory accesses 24
TrOnchip.RESet Set on-chip trigger to default state 24
TrOnchip.SEQ Sequential breakpoints 25
Memory Classes ................................................................................................................ 26
Trace ................................................................................................................................... 27
FIFO Trace 27
Runtime Measurement ...................................................................................................... 28
JTAG Connector ................................................................................................................ 29
Support ............................................................................................................................... 30
Available Tools 30
Compilers 31
Target Operating Systems 31
3rd Party Tool Integrations 31
Products ............................................................................................................................. 33
Product Information 33
Order Information 33
H8S and H8/300H Monitor ....................................................................... (monitor_h8.pdf) 1
Brief Overview of Documents for New Users ................................................................. 4
Warning .............................................................................................................................. 5
Quick Start of the ESI ROM-Monitor ................................................................................ 6
Quick Start of the Serial ROM-Monitor ............................................................................ 8
Troubleshooting ................................................................................................................ 10
FAQ ..................................................................................................................................... 10
FAQ for Monitor 10
TRACE32 Directory 292 ©1989-2017 Lauterbach GmbH
FAQ for Monitor H8 10
Basics ................................................................................................................................. 11
Monitor Features 11
Hardware Breakpoints 11
Monitor Files 12
Address Layout 13
Vector Table 14
Interrupt Control Mode of H8S 14
Configuration 15
Specific SYStem Commands ........................................................................................... 16
SYStem.CPU CPU type 16
SYStem.CpuAccess Run-time memory access (intrusive) 16
SYStem.MemAccess Real-time memory access (non-intrusive) 17
SYStem.Mode Establish the communication with the CPU 17
SYStem.Option Advanced Advanced 18
SYStem.Option BrkVector Breakpoint trap 18
SYStem.Option IMASKASM Disable interrupts while single stepping 18
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 19
General Settings and Restrictions ................................................................................... 20
General Restrictions 20
Memory Classes ................................................................................................................ 21
Support ............................................................................................................................... 22
Available Tools 22
Compilers 23
Target Operating Systems 24
3rd Party Tool Integrations 24
Products ............................................................................................................................. 25
Product Information 25
Order Information 25
Hexagon
Hexagon Debugger ....................................................................(debugger_hexagon.pdf) 1
Brief Overview of Documents for New Users ................................................................. 5
Warning .............................................................................................................................. 6
Quick Start of the ICD Debugger for Hexagon ............................................................... 7
1. Hexagon Conceptual Basics 7
2. Prepare the Start 8
3. Select the Device Prompt for the ICD Debugger 8
4. Select the Clock for the JTAG Communication 8
TRACE32 Directory 293 ©1989-2017 Lauterbach GmbH
5. Configure the Debugger According to the Needs of the Application 9
6. Enter Debug Mode 9
7. Load the Application 9
8. View the Source Code 9
9. Write a Start-up Script 10
Debugger Basics ............................................................................................................... 11
Memory Classes 11
Stack Display 11
Hexagon Security 11
Virtual Hardware Threads 12
On-chip Breakpoints 13
Restrictions 14
Troubleshooting ................................................................................................................ 15
SYStem.Up Errors 15
FAQ ..................................................................................................................................... 16
General SYStem Settings ................................................................................................. 18
SYStem.CONFIG.state Display target configuration 18
SYStem.CONFIG Configure debugger according to target topology 19
SYStem.CONFIG MSA Enable translation by system MMU 55
SYStem.CPU Select CPU type 56
SYStem.CpuAccess Run-time CPU access (intrusive) 56
SYStem.JtagClock Select clock for JTAG communication 57
SYStem.LOCK Tristate the JTAG port 57
SYStem.MemAccess Run-time memory access (non-intrusive) 58
SYStem.Option MMUSPACES Enable space IDs 58
SYStem.Mode Select target reset mode 60
SYStem.Option CPU specific commands 61
SYStem.Option BUGFIX Workaround for single-stepping an RTE instruction 61
SYStem.Option DCFREEZE Do not invalidate cache 61
SYStem.Option CLADE Enable debugger support for CLADE 62
SYStem.Option CLADEDICT Loade CLADE dictionary from file 62
SYStem.Option CLADEPARAM Define the CLADE address parameters 62
SYStem.Option CLADEREAD Use hardware for reading compressed RAM 63
SYStem.Option ICFLUSH Flush instruction cache at “Go” or “Step” 63
SYStem.Option IMASKASM Disable interrupts while single stepping 64
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 64
SYStem.Option ISDBSoftBreakFix Workaround for prefetch issue 65
SYStem.Option PC PC parking position 65
SYStem.RESetOut Reset target without reset of debug port 66
SYStem.Option REVision Define default value for REV register 66
SYStem.Option SRST Reset via SRST line 66
SYStem.StuffInstruction Execute stuff instruction 67
TRACE32 Directory 294 ©1989-2017 Lauterbach GmbH
SYStem.Option TCMBase Base address of the TCM 67
SYStem.Option TLBINV Invalidate TLB while MMU is off 67
SYStem.state Display SYStem.state window 67
CPU specific MMU Commands ........................................................................................ 68
MMU.DUMP Page wise display of MMU translation table 68
MMU.List Compact display of MMU translation table 69
MMU.MAP Translations from ELF file memory load map 70
MMU.MAP.dump Display addresses from ELF file memory load map 70
MMU.MAP.SCAN Load MMU table from ELF file 70
MMU.SCAN Load MMU table from CPU 70
MMU.Set Write to MMU on processor 72
MMU.TLB Scan or dump MMU TLB entries 72
MMU.VTLB Scan or dump VTLB entries 72
CPU specific BenchMarkCounter Commands ................................................................ 73
BMC.COUNTER<n> Specify event count 74
BMC.CyclePeriod Specify export rate 74
BMC.OFF Disable benchmark counters 75
BMC.ON Enable benchmark counters 75
BMC.SELect Select counter for statistic analysis 75
BMC.SPDM Specify profiler control 77
BMC.SPLIT Specify export rate 77
TrOnchip Commands ........................................................................................................ 78
TrOnchip.ASID Extend on-chip breakpoint/trace filter by ASID 78
TrOnchip.ContextID Extend on-chip breakpoint/trace filter by TID 78
TrOnchip.CONVert Adjust on-chip breakpoint to resources 79
TrOnchip.RESet Reset “TrOnchip” settings 79
TrOnchip.StepException Single stepping of exceptions and interrupts 80
TrOnchip.state Display on-chip trigger window 80
JTAG Connector ................................................................................................................ 81
Mechanical Description of the 20-pin Debug Cable 81
Electrical Description of the 20-pin Debug Cable 82
Support ............................................................................................................................... 83
Available Tools 83
Compilers 83
Target Operating Systems 83
3rd Party Tool Integration 84
Products ............................................................................................................................. 86
Product Information 86
Order Information 86
Hexagon-ETM Trace .................................................................. (trace_hexagon_etm.pdf) 1
Controlling the ETM .......................................................................................................... 3
TRACE32 Directory 295 ©1989-2017 Lauterbach GmbH
ETM.state/Breakpoints 4
Commands ......................................................................................................................... 11
ETM.BBC Broadcast all branches 11
ETM.CLEAR Clear trace and sequencer settings 11
ETM.ContextID Provide TID in synchronisation packages 12
ETM.CycleAccurate Cycle accurate tracing 13
ETM.DataTrace Define broadcast of load/store address tracing 14
ETM.DISableClockOff Compress trace information 15
ETM.FillPort Compress trace information 16
ETM.GlobalSyncs GSYNC control 17
ETM.GSyncPeriod Specify GSYNC period 17
ETM.IgnoreSyncOverflow Handle ignore synch-overflow bit 18
ETM.LoopTrace Control for loop back branch packets 18
ETM.OFF Switch ETM off 18
ETM.ON Switch ETM on 19
ETM.PortMode Select ETM mode 19
ETM.PortSize Define trace port width 19
ETM.Register Display the ETM register 20
ETM.RESet Reset ETM settings 21
ETM.Set Set ETM registers 22
ETM.STALL Stall control 31
ETM.SychPeriod Specify ISYNC period 32
ETM.TestBusTrace TestBus tracing control 32
ETM.TestBusTraceMUX Select bus for ‘TestBus’ tracing 33
ETM.TestBusTracePeriod Specify test bus sampling time 33
ETM.Trace Broadcasting of instruction flow 33
ETM.TraceASID Broadcast instruction trace of specified ASID 33
ETM.TraceFilter tbd. 34
ETM.TraceID Set ETM trace ID manually 34
ETM.TracePriority Define priority of ETM messages 34
ETM.TraceTID Broadcast instruction trace of specified software thread 34
ETM.TraceTNUM Broadcast instruction trace of specified hardware thread 35
ETM.state Display ETM setup 35
Keyword for the Trace Display ......................................................................................... 36
Trace Commands for SMP Debugging ............................................................................ 37
Benchmark Counters ........................................................................................................ 41
BMC.Counter<n> Configure benchmark counter 46
BMC.CyclePeriod Specify period for benchmark counter broadcasting 46
BMC.List tbd. 47
BMC.ListNesting tbd. 47
BMC.OFF Deactivate benchmark counters 47
BMC.ON Activate the benchmark counters 47
TRACE32 Directory 296 ©1989-2017 Lauterbach GmbH
BMC.RESet Reset benchmark counter setup 47
BMC.SELect Select counter for statistical analysis 48
BMC.state Display benchmark counter setup 48
BMC.STATistic.sYmbol Flat function statistic for benchmark counter 49
IPU
IPU Debugger ....................................................................................... (debugger_ipu.pdf) 1
Introduction ....................................................................................................................... 4
Brief Overview of Documents for New Users 4
Demo and Start-up Script 4
Warning .............................................................................................................................. 5
Quick Start of the JTAG Debugger .................................................................................. 6
Troubleshooting ................................................................................................................ 9
SYStem.Up Errors 9
FAQ ..................................................................................................................................... 10
IPU Specific Implementations .......................................................................................... 11
IPUS and IPUV Core Debugging in Heterogeneous SMP System 11
IPU Specific Peripheral Files 12
Breakpoints 13
Memory Access Classes 14
CPU specific SYStem Commands ................................................................................... 15
SYStem.CONFIG.state Display target configuration 15
SYStem.CONFIG Configure debugger according to target topology 16
SYStem.CPU Select the used CPU 30
SYStem.JtagClock Define JTAG frequency 30
SYStem.LOCK Tristate the JTAG port 32
SYStem.MemAccess Run-time memory access (non-intrusive) 33
SYStem.Mode Establish the communication with the target 34
SYStem.Option DAPDBGPWRUPREQ Force debug power in DAP 34
SYStem.Option DAPSYSPWRUPREQ Force system power in DAP 35
SYStem.state Display SYStem window 35
IPU Specific TrOnchip Commands .................................................................................. 36
TrOnchip.FINISH Set 'Break on Finish' on-chip breakpoint 36
TrOnchip.POS Set on-chip trigger for total pixel position 36
TrOnchip.XPOS Set on-chip trigger for horizontal pixel position 36
TrOnchip.YPOS Set on-chip trigger for vertical pixel position 37
TrOnchip.RESet Set on-chip trigger to default state 37
TrOnchip.state Display on-chip trigger window 37
Support ............................................................................................................................... 38
TRACE32 Directory 297 ©1989-2017 Lauterbach GmbH
Compilers 38
Products ............................................................................................................................. 38
M32R
M32R Debugger and Trace ..............................................................(debugger_m32r.pdf) 1
General Note ...................................................................................................................... 4
Brief Overview of Documents for New Users ................................................................. 4
Warning .............................................................................................................................. 5
Quick Start ......................................................................................................................... 6
Troubleshooting ................................................................................................................ 9
SYStem.Up Errors 9
Memory Access Errors 9
FAQ ..................................................................................................................................... 10
Configuration ..................................................................................................................... 12
General SYStem Settings and Restrictions .................................................................... 13
SYStem.BdmClock Define JTAG frequency 13
SYStem.CONFIG Configure debugger according to target topology 13
SYStem.CPU Select target CPU 13
SYStem.CpuAccess Select CPU access mode 14
SYStem.JtagClock Define JTAG clock 15
SYStem.LOCK Lock and tristate the debug port 15
SYStem.MemAccess Select memory access mode 16
SYStem.Mode Establish the communication with the target 16
SYStem.Option Display SYStem window 16
SYStem.Option DBI Enables program break via debug interrupt 17
SYStem.Option IMASKASM Disable interrupts while single stepping 17
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 17
SYStem.Option KEYCODE Code protection 18
SYStem.Option TriState Allow debugger to drive JTAG and reset 19
SYStem.state Display SYStem.state window 19
Trace specific Commands ................................................................................................ 20
SYStem.Option BTM Enables program trace messages 20
SYStem.Option DTM Enables data trace messages 20
SYStem.Option STALL Trace message overrun control 20
SYStem.Option TRCLK Trace output clock ratio 21
SYStem.Option TRDATA Trace port width 21
TrOnchip ............................................................................................................................ 22
TrOnchip.CONVert Automatically converts range to single address 22
TrOnchip.RESet Resets all TO settings 23
TRACE32 Directory 298 ©1989-2017 Lauterbach GmbH
TrOnchip.VarCONVert Convert variable breakpoints 23
TrOnchip.state Opens configuration panel 23
Security Levels of the M32R Family ................................................................................ 24
Security Level 24
Flash Erase if Device is secured 25
General Restrictions and Hints 26
Floating Point Formats 27
Integer Access Keywords 27
JTAG Connection .............................................................................................................. 28
Mechanical Description of the 10-pin Debug Cable 28
Electrical Description of the 10-pin Debug Cable 28
Mechanical Description of the 20-pin Trace Connector 29
Memory Classes ................................................................................................................ 31
Support ............................................................................................................................... 32
Available Tools 32
Compilers 32
Target Operating Systems 32
3rd Party Tool Integration 33
Products ............................................................................................................................. 34
Product Information 34
Order Information 34
M8051EW
M8051EW Debugger ................................................................. (debugger_m8051ew.pdf) 1
General Note ...................................................................................................................... 4
Brief Overview of Documents for New Users ................................................................. 4
Warning .............................................................................................................................. 5
Quick Start ......................................................................................................................... 6
Troubleshooting ................................................................................................................ 8
SYStem.Up Errors 8
KEIL OMF-51 and OMF2 9
Breakpoints 10
Debugging with Low Target Frequencies 12
Mapping Memory 13
FAQ ..................................................................................................................................... 13
Configuration ..................................................................................................................... 14
General SYStem Settings and Restrictions .................................................................... 15
SYStem.state Open SYStem.state window 15
TRACE32 Directory 299 ©1989-2017 Lauterbach GmbH
SYStem.CONFIG.state Display target configuration 15
SYStem.CONFIG Configure debugger according to target topology 16
SYStem.CONFIG.CORE Assign core to TRACE32 instance 20
SYStem.CPU Select CPU 21
SYStem.CpuAccess Select CPU access mode 21
SYStem.JtagClock Define JTAG clock 22
SYStem.LOCK Lock and tristate the debug port 22
SYStem.MemAccess Select memory access mode 23
SYStem.Mode Establish communication with the target 23
SYStem.Option IMASKASM Disable interrupts while single stepping 25
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 25
SYStem.Option IntelSOC Core is part of Intel® SoC 25
SYStem.Option PATCHBP Use patch unit for on-chip breakpoints 26
SYStem.Option PRDELAY Set delay time after RESET 26
SYStem.Option ResBreak Request break after reset 27
SYStem.Option TRAPEN Enable TRAP_EN flag in EOR 27
Memory Classes 28
SYMbol Commands ........................................................................................................... 29
Special Function Register (SFR) symbols 29
TrOnchip Commands ........................................................................................................ 31
TrOnchip.state Display on-chip trigger window 31
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 31
TrOnchip.RESet Set on-chip trigger to default state 31
TrOnchip.VarCONVert Adjust complex breakpoint in on-chip resource 32
JTAG Connectors .............................................................................................................. 33
Target Board Connectors 33
LAUTERBACH Adapters 37
Support ............................................................................................................................... 39
Available Tools 39
Compilers 39
Target Operating Systems 39
3rd Party Tool Integrations 40
Products ............................................................................................................................. 41
Product Information 41
Order Information 41
8051XC
R8051XC Debugger ..................................................................... (debugger_r8051xc.pdf) 1
General Note ...................................................................................................................... 4
Brief Overview of Documents for New Users ................................................................. 4
TRACE32 Directory 300 ©1989-2017 Lauterbach GmbH
Warning .............................................................................................................................. 5
Quick Start ......................................................................................................................... 6
Troubleshooting ................................................................................................................ 8
SYStem.Up Errors 8
KEIL OMF-51 and OMF2 9
Debugging with Low Target Frequencies 9
Mapping Memory 10
FAQ ..................................................................................................................................... 10
Configuration ..................................................................................................................... 11
General SYStem Settings and Restrictions .................................................................... 12
SYStem Open system window 12
SYStem.CONFIG.state Display target configuration 12
SYStem.CONFIG Configure debugger according to target topology 13
SYStem.CONFIG.CORE Assign core to TRACE32 instance 17
SYStem.CPU Select CPU 18
SYStem.CpuAccess Select CPU access mode 19
SYStem.JtagClock Define JTAG clock 20
SYStem.LOCK Lock and tristate the debug port 20
SYStem.MemAccess Select memory access mode 21
SYStem.Mode Establish communication with the target 21
SYStem.Option IMASKASM Disable interrupts while single stepping 23
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 23
SYStem.Option PRDELAY Set delay time after RESET 24
Memory Classes 24
SYMbol Commands ........................................................................................................... 25
Special Function Register (SFR) symbols 25
TrOnchip Commands ........................................................................................................ 27
TrOnchip.state Display on-chip trigger window 27
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 27
TrOnchip.RESet Set on-chip trigger to default state 27
TrOnchip.VarCONVert Adjust complex breakpoint in on-chip resource 28
JTAG Connectors .............................................................................................................. 29
LAUTERBACH Adapters 29
Support ............................................................................................................................... 30
Available Tools 30
Compilers 30
Target Operating Systems 30
3rd Party Tool Integrations 31
Products ............................................................................................................................. 32
Product Information 32
TRACE32 Directory 301 ©1989-2017 Lauterbach GmbH
Order Information 32
MAC71xx/72xx
MAC71xx/72xx NEXUS Debugger and Trace ........................................ (nexus_mac.pdf) 1
Brief Overview of Documents for New Users ................................................................. 4
Warning .............................................................................................................................. 5
Quick Start of the JTAG Debugger .................................................................................. 6
Troubleshooting ................................................................................................................ 8
Communication Between Debugger and Processor cannot be established 8
FAQ ..................................................................................................................................... 9
Trace Extension ................................................................................................................. 14
ARM specific Implementations ........................................................................................ 15
Breakpoints 15
Trigger 19
Virtual Terminal 19
Semihosting 19
Runtime Measurement 20
Coprocessors 20
Memory Classes 21
Programming the On-chip FLASH of the MAC71/72xx .................................................. 22
ARM specific SYStem Commands ................................................................................... 23
SYStem.BdmClock Define JTAG frequency 23
SYStem.CPU Select the used CPU 23
SYStem.CpuAccess Run-time memory access (intrusive) 23
SYStem.JtagClock Define JTAG frequency 25
SYStem.LOCK Tristate the JTAG port 26
SYStem.MemAccess Run-time memory access 27
SYStem.Mode Establish the communication with the target 28
SYStem.Option ABORTFIX Do not access 0x0-0x1f 29
SYStem.Option BUGFIX Breakpoint bug fix for ARM7TDMI-S REV2 29
SYStem.Option BigEndian Define byte order (endianess) 30
SYStem.Option CFLUSH FLUSH the cache before step/go 30
SYStem.Option DBGACK DBGACK active on debugger memory accesses 30
SYStem.Option DisMode Define disassembler mode 31
SYStem.Option EnReset Allow the debugger to drive nRESET/nSRST 31
SYStem.Option INTDIS Disable all interrupts 32
SYStem.Option LOCKRES Go to 'Test-Logic Reset' when locked 32
SYStem.Option NOIRCHECK No JTAG instruction register check 32
SYStem.Option PC Define address for dummy fetches 32
TRACE32 Directory 302 ©1989-2017 Lauterbach GmbH
SYStem.Option ResBreak Halt the core after reset 33
SYStem.Option ShowError Show data abort errors 33
SYStem.Option SOFTLONG Use 32-bit access to set breakpoint 34
SYStem.Option SOFTWORD Use 16-bit access to set breakpoint 34
SYStem.Option SPLIT Access memory depending on CPSR 34
SYStem.Option TRST Allow debugger to drive TRST 34
SYStem.Option TURBO Speed up memory access 35
SYStem.Option WaitReset Wait with JTAG activities after deasserting reset 36
SYStem.RESetOut Assert nRESET/nSRST on JTAG connector 36
ARM specific TrOnchip Commands ................................................................................ 37
TrOnchip.RESet Reset on-chip trigger settings 37
TrOnchip.CONVert Extend the breakpoint range 37
TrOnchip.Mode Configure unit A and B 38
TrOnchip.A.Value Define data selector 38
TrOnchip.A.Size Define access size for data selector 38
TrOnchip.A.CYcle Define access type 39
TrOnchip.A.Address Define address selector 39
TrOnchip.A.Trans Define access mode 40
TrOnchip.A.Extern Define the use of EXTERN lines 40
TrOnchip.TEnable Define address selector for bus trace 40
TrOnchip.TCYcle Define cycle type for bus trace 41
TrOnchip.VarCONVert Convert variable breakpoints 42
TrOnchip.state Display on-chip trigger window 42
Nexus specific SYStem Commands ................................................................................ 43
SYStem.Option BTM Control for branch trace messages 43
SYStem.Option ThumbBTM Control for branch trace messages 43
SYStem.Option DTM Control for data trace messages 44
SYStem.Option MCKO Define MCKO frequency 44
SYStem.Option NEXUS Define trace port width (number of MDO) 44
SYStem.Option OTM Control for ownership trace messages 45
SYStem.Option STALL Stall the program execution 45
SYStem.Option UBA Specify user base address 45
SYStem.Option VECTORS Specify vector table address 45
SYStem.Option WTM Control for watchpoint messages 46
Filter and Trigger for the NEXUS Trace ........................................................................... 47
Filter and Trigger provided by the Processor 47
Nexus specific TrOnchip Commands .............................................................................. 48
TrOnchip.EVTI Allow the EVTI signal to stop the program execution 48
TrOnchip.EXTernal Generate a trigger for the trace on high pulse on INx 49
CPU specific Trigger Commands .................................................................................... 50
TrBus Commands 50
TrBus.Out Define source for the external trigger pulse 50
TRACE32 Directory 303 ©1989-2017 Lauterbach GmbH
TrBus.Set Define the target for the incoming trigger 50
JTAG Connection .............................................................................................................. 51
Mechanical Description of the 20-pin Debug Cable 51
Electrical Description of the 20-pin Debug Cable 52
Mechanical Description of the 14-pin Debug Cable 53
Electrical Description of the 14-pin Debug Cable 53
Technical Data ................................................................................................................... 54
Pinout MICTOR 54
Mechanical Dimension 55
Adapter 55
Operation Voltage 55
Operation Frequency 56
Support ............................................................................................................................... 57
Available Tools 57
Compilers 58
3rd Party Tool Integrations 59
Realtime Operation Systems 59
Products ............................................................................................................................. 62
Product Information 62
Order Information 62
MCS08
MCS08 Debugger .............................................................................. (debugger_hc08.pdf) 1
Brief Overview of Documents for New Users ................................................................. 4
Warning .............................................................................................................................. 5
Troubleshooting ................................................................................................................ 6
SYStem.Up Errors 6
FAQ ..................................................................................................................................... 6
Quick Start of the ICD Debugger for HC9S08 ................................................................. 7
1. Prepare the Start 7
2. Select the Device Prompt for the ICD Debugger 7
3. Select the Clock for the BDM Communication 8
4. Configure the Debugger According to the Needs of the Application 8
5. Map the EPROM Simulator if Available (optional) 8
6. Tell the Debugger Where it should use On-chip Breakpoints (optional) 8
7. Enter Debug Mode 9
8. Load the Program 9
9. Initialize Program Counter and Stackpointer 10
10. View the Source Code 10
TRACE32 Directory 304 ©1989-2017 Lauterbach GmbH
General SYStem Settings and Restrictions .................................................................... 12
Restrictions 12
SYStem.BdmClock Select clock for BDM communication 12
Special Functions 13
SYStem.CPU Select CPU type 14
SYStem.CpuAccess Run-time memory access (intrusive) 14
SYStem.LOCK Lock and tristate the debug port 15
SYStem.MemAccess Real-time memory access (non-intrusive) 16
SYStem.Mode Select target reset mode 17
SYStem.Option IMASKASM Disable interrupts while single stepping 18
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 18
Hardware Breakpoints ...................................................................................................... 19
Program Breakpoints 19
Read and Write Breakpoints 19
Data Breakpoints 20
TrOnchip Commands ........................................................................................................ 21
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 21
TrOnchip.Mode Select trace and trigger mode 22
TrOnchip.VarCONVert Adjust complex breakpoint in on-chip resource 23
TrOnchip.state Open the control window for the on-chip trigger resources 23
TrOnchip.RESet Reset the on-chip trigger resources 23
Memory Classes ................................................................................................................ 24
FLASH EEPROM Management ......................................................................................... 25
Secure and Unsecure ........................................................................................................ 26
BDM Connector ICD-MCS08 ............................................................................................. 27
Support ............................................................................................................................... 28
Available Tools 28
Compilers 30
Target Operating Systems 30
3rd Party Tool Integrations 31
Products ............................................................................................................................. 32
Product Information 32
Order Information 32
MCS12
MCS12 Debugger .............................................................................. (debugger_hc12.pdf) 1
Brief Overview of Documents for New Users ................................................................. 5
Warning .............................................................................................................................. 6
Troubleshooting ................................................................................................................ 7
TRACE32 Directory 305 ©1989-2017 Lauterbach GmbH
SYStem.Up Errors 7
FAQ ..................................................................................................................................... 7
Quick Start of the ICD Debugger for HC12 ..................................................................... 8
1. Prepare the Start 8
2. Select the Device Prompt for the ICD Debugger 8
3. Select the Clock for the BDM Communication 9
4. Configure the Debugger according to the Needs of the Application 9
5. Map the EPROM Simulator if Available (optional) 10
6. Tell the Debugger Where it should use On-chip Breakpoints (optional) 10
7. Enter Debug Mode 10
8. Load the Program 11
9. Initialize Program Counter and Stackpointer 11
10. View the Source Code 12
General SYStem Settings and Restrictions .................................................................... 14
Restrictions 14
SYStem.BdmClock Select clock for BDM communication 15
SYStem.CPU Select CPU type 16
SYStem.CpuAccess Run-time memory access (intrusive) 16
SYStem.LOCK Lock and tristate the debug port 17
SYStem.MemAccess Real-time memory access (non-intrusive) 17
SYStem.Mode Select target reset mode 18
SYStem.Option BASE Base address of internal registers 19
SYStem.Option CLKSW Force BDM to work on CPU bus frequency 19
SYStem.Option DUALPORT All memory accesses are done hidden 20
SYStem.Option GLOBAL Memory accesses are done global 20
SYStem.Option SOFTWORD Breakpoints are set by word access 20
SYStem.Option VFP Voltage for FLASH programming 21
SYStem.Option WATCHDOG COP support 22
Hardware Breakpoints ...................................................................................................... 23
Program Breakpoints 23
Read and Write Breakpoints 23
Data Breakpoints 24
TrOnchip Commands ........................................................................................................ 25
TrOnchip.state Display on-chip trigger window 25
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 25
TrOnchip.Mode Select trace and trigger mode 26
TrOnchip.RESet Set on-chip trigger to default state 27
TrOnchip.VarCONVert Adjust complex breakpoint in on-chip resource 27
TrOnchip.XBreakt Enable crossbreak between S12 core and XGATE 27
TrOnchip.RESERVE Deprive debugger of address comparator use 27
Memory Classes ................................................................................................................ 28
TRACE32 Directory 306 ©1989-2017 Lauterbach GmbH
Debugging with active PLL .............................................................................................. 29
Debugging with active Watchdog .................................................................................... 30
FLASH EEPROM Management ......................................................................................... 31
FLASH EEPROM on S12X Derivatives 31
EEPROM Management ...................................................................................................... 32
Banked Applications ......................................................................................................... 34
Background and Compatibility Information ................................................................... 34
SYStem.Option PAGING Banked applications 34
SYStem.Option ROMHM ROM in second half of map 35
SYStem.Option TRANS Transparent mode 35
Local and Global Memory Map on S12X Targets 38
Using the MMU for HC12DA/DG/DT128 39
SYStem.Option MEMEXP Memory expansion 40
SYStem.Option ROMTST FLASH EEPROM test mode 40
Using the MMU for HC12A4/F8 41
BDM Connector ................................................................................................................. 45
BDM Connector ICD - MCS12, ICD-S12X 45
BDM Connector ICD - HC12 45
Support ............................................................................................................................... 47
Available Tools 47
Compilers 49
Target Operating Systems 49
3rd Party Tool Integrations 49
Products ............................................................................................................................. 51
Product Information 51
Order Information 51
MicroBlaze
MicroBlaze Debugger and Trace ...........................................(debugger_microblaze.pdf) 1
General Note ...................................................................................................................... 5
Brief Overview of Documents for New Users ................................................................. 5
MicroBlaze debug and trace features supported by TRACE32 .................................... 6
ESD Protection .................................................................................................................. 7
Quick Start of the Debugger ............................................................................................. 8
Quick-Start of the Real-Time Trace ................................................................................. 10
Compiling Software with Debug Information ................................................................. 12
Designs with little-endian MicroBlaze and AXI bus ....................................................... 12
TRACE32 Directory 307 ©1989-2017 Lauterbach GmbH
Troubleshooting ................................................................................................................ 13
SYStem.Up Errors 13
FAQ ..................................................................................................................................... 14
Displaying MicroBlaze Core Configuration .................................................................... 21
CPU specific Implementations ......................................................................................... 22
Memory Accesses Causing Bus Errors 22
Breakpoints 23
Data.LOAD.Elf /CYgdrive Translate Cygwin path to OS path 24
SYStem.Option.BrkHandler Control writing of software break handler 25
SYStem.Option.BrkVector Configures an alternative breakvector 25
SYStem.Option IMASKASM Interrupt disable on ASM 26
SYStem.Option IMASKHLL Interrupt disable on HLL 26
SYStem.Option MMUSPACES Enable space IDs 26
SYStem.Option.ResetMode Select the reset mode 27
TERM.Method MDMUART Terminal configuration 28
Memory Classes 29
Register Names 29
General SYStem Commands ............................................................................................ 30
SYStem.CPU Select the used CPU 30
SYStem.CpuAccess Run-time memory access (intrusive) 31
SYStem.JtagClock Selects the frequency for the debug interface 32
SYStem.LOCK Lock and tristate the debug port 32
SYStem.MemAccess Run-time memory access 32
SYStem.Mode Select operation mode 33
SYStem.CONFIG Configure debugger according to target topology 34
SYStem.CONFIG.CORE Assign core to TRACE32 instance 38
TrOnchip Commands ........................................................................................................ 39
TrOnchip.state Display on-chip trigger window 39
TrOnchip.RESet Set on-chip trigger to default state 39
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 39
TrOnchip.VarCONVert Adjust complex breakpoint in on-chip resource 40
CPU specific MMU Commands ........................................................................................ 41
MMU.DUMP Page wise display of MMU translation table 41
MMU.List Compact display of MMU translation table 42
MMU.SCAN Load MMU table from CPU 43
Real-Time Trace ................................................................................................................. 44
SYStem.Option.DTM Control data trace messages 44
SYStem.Option.QUICKSTOP Control trace of software breakpoints 44
SYStem.Option.UserBSCAN Set default user bscan port 45
Configuring your FPGA .................................................................................................... 46
TRACE32 Directory 308 ©1989-2017 Lauterbach GmbH
JTAG Connector ................................................................................................................ 47
Mechanical Description 47
Support ............................................................................................................................... 48
Available Tools 48
Compilers 48
Target Operating Systems 48
3rd Party Tool Integrations 49
Products ............................................................................................................................. 50
Product Information 50
Order Information 50
Application Notes for MicroBlaze .......................................................................................
Connecting to MicroBlaze Targets for Debug and Trace ..........(app_microblaze.pdf) 1
Connecting the TRACE32 Debugger to the Target ..................................................... 2
Selecting a MicroBlaze Core in the Target ................................................................... 3
Pre-Calculated Multicore Settings for Common Eval Boards .................................... 4
Detecting multicore settings ......................................................................................... 5
Manually Calculating Multicore Settings for Microblaze Cores (one or more FPGAs) 6
Example: Calculating Microblaze Multicore Settings for ML310 ............................... 8
Modifying Xilinx ML605 for Direct JTAG Access ............................... (app_ml605.pdf) 1
Introduction .................................................................................................................... 2
Requirements ................................................................................................................. 2
Description of the Modification ..................................................................................... 3
Testing the Modified ML605 Board ............................................................................... 5
Using ML605 without USB-JTAG Bridge ...................................................................... 6
Pinout of the JTAG to Xilinx ML605 Adaptor ............................................................... 7
Miscellaneous Information ............................................................................................ 8
MIPS
MIPS Debugger and Trace ............................................................... (debugger_mips.pdf) 1
Brief Overview of Documents for New Users ................................................................. 4
WARNING ........................................................................................................................... 5
Quick Start of the EJTAG Debugger ................................................................................ 6
Troubleshooting ................................................................................................................ 7
SYStem.Up Errors 7
FAQ ..................................................................................................................................... 8
TRACE32 Directory 309 ©1989-2017 Lauterbach GmbH
CPU specific Implementations ......................................................................................... 9
Breakpoints 9
Trigger 11
Runtime Measurement 11
Register 11
Memory Classes 12
SPR Memory Overlay 13
MIPS specific SYStem Commands .................................................................................. 15
SYStem.CONFIG Configure debugger according to target topology 15
SYStem.BdmClock Define JTAG frequency 25
SYStem.CPU Select the used CPU 26
SYStem.CpuAccess Run-time memory access (intrusive) 28
SYStem.JtagClock Define JTAG clock 29
SYStem.LOCK Tristate the JTAG port 30
SYStem.MemAccess Run-time memory access 30
SYStem.Mode Establish the communication with the target 31
SYStem.Option Address32 Use 32-bit addresses 32
SYStem.Option DCFREEZE Freeze data cache 32
SYStem.Option DCREAD Use DCACHE for data read 33
SYStem.Option DisMode Define disassembler mode 33
SYStem.Option Endianness Define endianness of target memory 34
SYStem.Option EnReset Control target system reset 34
SYStem.Option EnTRST Control TAP reset 34
SYStem.Option HoldReset Set system reset hold time 35
SYStem.Option FlowTrace Define operating mode of RISC TRACE 35
SYStem.Option FREEZE Freeze system timer in stop mode 35
SYStem.Option ICFLUSH Flush of instruction cache during step and go 36
SYStem.Option ICREAD Use ICACHE for program read 36
SYStem.Option IMASKASM Disable interrupts while ASM single stepping 36
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 37
SYStem.Option KEYCODE Define key code to unsecure processor 37
SYStem.Option MCBreaksynch Select break synchronization method 37
SYStem.Option MMUSPACES Enable space IDs 38
SYStem.Option MonBase Base address for monitor download routine 39
SYStem.Option PROTECTION Sends an unsecure sequence to the core 39
SYStem.Option ResBreak Halt the core after reset 39
SYStem.Option STEPONCHIP Use onchip breakpoints for ASM stepping 40
SYStem.Option STEPSOFT Use software breakpoints for ASM stepping 40
SYStem.Option TURBO Enable fast download 41
SYStem.Option UnProtect Unprotect memory addresses 41
SYStem.Option WaitReset Set system reset wait time 41
On-chip Breakpoints ......................................................................................................... 42
TrOnchip.AddressMask Define an address mask 42
TRACE32 Directory 310 ©1989-2017 Lauterbach GmbH
TrOnchip.ASID Extend on-chip breakpoint/trace filter by ASID 42
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 42
TrOnchip.CORERESET Halt at reset vector after core reset 43
TrOnchip.RESet Set on-chip trigger to default state 43
TrOnchip.StepVector Halt on exception vector during step 43
TrOnchip.TCompress Trace data compression 43
TrOnchip.UseWatch Use watchpoints 44
TrOnchip.VarCONVert Adjust complex breakpoint in on-chip resource 44
TrOnchip.state Display on-chip trigger window 44
Trigger Commands ........................................................................................................... 45
Trigger.Set External trigger input enable 45
Trigger.Out External trigger output enable 45
CPU specific MMU Commands ........................................................................................ 46
MMU.DUMP Page wise display of MMU translation table 46
MMU.FORMAT Define MMU table structure 47
MMU.List Compact display of MMU translation table 50
MMU.SCAN Load MMU table from CPU 51
MMU.Set Set MMU registers 52
MMU.TLB.Set Set MMU registers 52
MMU.TLBSET Set MMU registers 52
TCB ..................................................................................................................................... 53
TCB Control 53
Configuring your FPGA .................................................................................................... 55
Using JTAG for FPGA configuration 55
JTAG.LOADBIT Configure FPGA with BIT file 55
EJTAG Connector ............................................................................................................. 56
Mechanical Description of the 14-pin EJTAG Connector 56
Electrical Description of the 14-pin EJTAG Connector 57
Mechanical Description of the 24-pin EJTAG Connector 58
Electrical Description of the 24-pin EJTAG Connector 59
Recommended JTAG Circuit on Target 60
Technical Data Debugger ................................................................................................. 61
Operation Voltage 61
Mechanical Dimensions 61
Trace ................................................................................................................................... 63
Technical Data Trace ........................................................................................................ 64
Operation Voltage 64
Operation Frequency 64
Mechanical Dimensions 66
Support ............................................................................................................................... 67
TRACE32 Directory 311 ©1989-2017 Lauterbach GmbH
Available Tools 67
Compilers 72
Target Operating Systems 32-Bit 73
Target Operating Systems 64-Bit 73
3rd Party Tool Integrations 74
Products ............................................................................................................................. 75
Product Information 75
Order Information 77
MMDSP
MMDSP Debugger ........................................................................(debugger_mmdsp.pdf) 1
General Note ...................................................................................................................... 3
Brief Overview of Documents for New Users ................................................................. 3
Warning .............................................................................................................................. 4
Quick Start ......................................................................................................................... 5
Troubleshooting ................................................................................................................ 7
SYStem.Up Errors 7
FAQ ..................................................................................................................................... 7
Configuration ..................................................................................................................... 8
System Overview 8
CPU specific Implementations ......................................................................................... 9
Breakpoints 9
Memory Classes 12
General SYStem Commands ............................................................................................ 14
SYStem.BdmClock Define JTAG frequency 14
SYStem.CONFIG Configure debugger according to target topology 14
SYStem.CONFIG.CORE Assign core to TRACE32 instance 18
SYStem.CPU Select the used CPU 19
SYStem.CpuAccess Run-time memory access (intrusive) 20
SYStem.DictionaryReset Reset dictionary memory STN8810V 20
SYStem.JtagClock Define JTAG frequency 21
SYStem.LOCK Lock and tristate the debug port 21
SYStem.MemAccess Real-time memory access (non-intrusive) 22
SYStem.Mode Establish the communication with the target 22
SYStem.Option 8810compatible Set the compatibility mode 8810 23
SYStem.Option.DCUMode Select the “DCU” mode 23
SYStem.Option DIAG System diagnosis command 23
SYStem.Option EnReset Control activation of the reset line 24
SYStem.Option IMASKASM Disable interrupts while single stepping 24
TRACE32 Directory 312 ©1989-2017 Lauterbach GmbH
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 24
SYStem.Option ICFLUSH Flush instruction cache (ST8810A) 25
SYStem.Option NMF Retrieves the value of pThis 25
SYStem.Option OP9compatible Compatibility mode OP9 25
SYStem.RESet Reset the system settings 26
SYStem.Softreset Soft reset of the core 26
CPU specific Commands .................................................................................................. 27
Data.LOAD.Elf Load ELF file 27
Register.RESet Soft reset 27
SNoop.PC Enable PC snooping 28
CPU specific TrOnchip Commands ................................................................................. 29
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 29
TrOnchip.VarCONVert Adjust complex breakpoint in on-chip resource 29
TrOnchip.state Display on-chip trigger window 29
TrOnchip.RESet Set on-chip trigger to default state 30
JTAG Connection .............................................................................................................. 31
Mechanical Description of the 20-pin Debug Cable 31
Electrical Description of the 20-pin Debug Cable 32
Operation Voltage ............................................................................................................. 33
Support ............................................................................................................................... 34
Available Tools 34
Compilers 34
Target Operating Systems 34
3rd Party Tool Integrations 35
Products ............................................................................................................................. 36
Product Information 36
Order Information 36
MMDSP NEXUS Debugger and Trace ...............................................(nexus_mmdsp.pdf) 1
General Note ...................................................................................................................... 4
Brief Overview of Documents for New Users ................................................................. 4
Warning .............................................................................................................................. 5
Quick Start ......................................................................................................................... 6
Troubleshooting ................................................................................................................ 8
SYStem.Up Errors 8
FAQ ..................................................................................................................................... 8
Configuration ..................................................................................................................... 9
System Overview 9
Target Design Requirement/Recommendations ............................................................ 10
TRACE32 Directory 313 ©1989-2017 Lauterbach GmbH
General 10
FAQ regarding Hardware Design 10
CPU specific Implementations ......................................................................................... 11
General Restrictions 11
Breakpoints 11
Trigger 14
Memory Classes 14
State Analyzer .................................................................................................................... 16
Keywords for the Complex Trigger Unit 16
General SYStem Commands ............................................................................................ 17
SYStem.BdmClock Define JTAG frequency 17
SYStem.CONFIG Configure debugger according to target topology 17
SYStem.CONFIG.CORE Assign core to TRACE32 instance 21
SYStem.CPU Select the used CPU 22
SYStem.CpuAccess Run-time memory access (intrusive) 23
SYStem.DictionaryReset Reset dictionary memory STN8810V 23
SYStem.JtagClock Define JTAG frequency 24
SYStem.LOCK Lock and tristate the debug port 24
SYStem.MemAccess Real-time memory access (non-intrusive) 25
SYStem.Mode Establish the communication with the target 26
SYStem.Option DIAG System diagnosis command 26
SYStem.Option IMASKASM Disable interrupts while single stepping 27
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 27
SYStem.Option ICFLUSH Flush instruction cache (ST8810A) 28
SYStem.Option OP9compatible Compatibility mode OP9 28
SYStem.RESet Reset the system settings 28
SYStem.Softreset Soft reset of the core 28
NEXUS specific SYStem Commands .............................................................................. 29
SYStem.Option BTM Branch trace messages 29
SYStem.Option DTM Data trace messages 29
CPU specific Commands .................................................................................................. 30
Data.LOAD.Elf Load ELF file 30
Register.RESet Soft reset 30
SNoop.PC Enable PC snooping 31
CPU specific TrOnchip Commands ................................................................................. 32
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 32
TrOnchip.VarCONVert Adjust complex breakpoint in on-chip resource 32
TrOnchip.state Display on-chip trigger window 32
NEXUS Connector ............................................................................................................. 33
Mechanical Description 33
Technical Data ................................................................................................................... 34
TRACE32 Directory 314 ©1989-2017 Lauterbach GmbH
Dimensions 34
Adapter 34
Operation Voltage 35
Operation Frequency 35
Support ............................................................................................................................... 36
Available Tools 36
Compilers 36
Target Operating Systems 36
3rd Party Tool Integrations 37
Products ............................................................................................................................. 38
Product Information 38
Order Information 38
MMDSP Application Note ....................................................................................................
Debugging NMF Applications with TRACE32 ...................................(app_mmdsp.pdf) 1
Terminology and Syntax ................................................................................................ 3
Programs 3
Components 3
Naming Syntax in TRACE32 .......................................................................................... 4
NMF Execution Engine (EE) 4
TRACE32 Features for NMF .......................................................................................... 5
Autoloading and Unloading of Component Symbols 5
Managing of Components via sYmbol.AutoLOAD.List window 6
Inspection of Variables in Arbitrary, also Inactive COMPONENTS ........................... 7
Explicitly Specifying a Component Instance 7
Auto-Determination of a Component Instance 8
Component Specific and Deferred Breakpoints 8
Basic Commands and Configuration ........................................................................... 10
Autoload Script 11
Helper Functions 12
Relocation of Sections 12
Rarely Used Commands 13
Various notes 14
MSP430
MSP430 Debugger ....................................................................... (debugger_msp430.pdf) 1
Brief Overview of Documents for New Users ................................................................. 3
Warning .............................................................................................................................. 4
General Notes/Target Design Requirements/Recommendations 4
TRACE32 Directory 315 ©1989-2017 Lauterbach GmbH
General ............................................................................................................................... 5
Target Design Requirements 5
Limitations 5
Contacting Support ........................................................................................................... 6
Quick Start ......................................................................................................................... 7
Troubleshooting ................................................................................................................ 10
Communication between Debugger and Processor can not be established 10
FAQ ..................................................................................................................................... 11
MSP430 Specific Implementations .................................................................................. 13
Breakpoints 13
Cycle Counter 16
Runtime Measurement 16
Memory Classes 17
State Storage 17
Trigger Sequencer 17
General SYStem Commands ............................................................................................ 18
SYStem.state Display SYStem.state window 18
SYStem.BdmClock Define JTAG frequency 18
SYStem.CONFIG Configure debugger according to target topology 19
SYStem.CPU Select the used CPU 20
SYStem.CpuAccess Run-time CPU access (intrusive) 20
SYStem.JtagClock Set jtag clock frequency 21
SYStem.LOCK Lock and tristate the debug port 21
SYStem.MemAccess Run-time memory access 22
SYStem.Mode Establish the communication with the target 23
SYStem.Option Configure debugger behavior 24
SYStem.Option IMASKASM Disable interrupts for assembler single steps 24
SYStem.Option IMASKHLL Disable interrupts for HLL single steps 24
SYStem.Option LPMX5 Enable LPMx5 support 24
SYStem.Option TURBO Speed up memory access 25
SYStem.Option TCKTOTEST Configure clock output pins 25
MSP430 Specific TrOnchip Commands .......................................................................... 26
TrOnchip.CONVert Extend the breakpoint range 26
TrOnchip.RESet Set on-chip trigger to default state 26
TrOnchip.state Display on-chip trigger window 26
Low Power Mode debugging ............................................................................................ 27
Avoid loss of device 27
Supported Low Power Modes 27
Debug Connection ............................................................................................................ 29
Support ............................................................................................................................... 30
TRACE32 Directory 316 ©1989-2017 Lauterbach GmbH
Available Tools 30
Compilers 41
Target Operating Systems 41
3rd Party Tool Integrations 42
Products ............................................................................................................................. 43
Product Information 43
Order Information 44
M-Core
M-Core Debugger ...........................................................................(debugger_mcore.pdf) 1
General Note ...................................................................................................................... 4
Brief Overview of Documents for New Users ................................................................. 4
Warning .............................................................................................................................. 5
Quick Start JTAG/ONCE ................................................................................................... 6
Breakpoints ........................................................................................................................ 8
Software Breakpoints 8
On-chip Breakpoints 8
Breakpoint in ROM 9
Example for Breakpoints 9
Troubleshooting ................................................................................................................ 10
SYStem.Up Errors 10
Memory Access Errors 10
FAQ ..................................................................................................................................... 11
Configuration ..................................................................................................................... 14
System Overview 14
Runtime Measurement 15
Memory Classes 15
Memory Coherency 15
General SYStem Settings for the Debugger ................................................................... 16
SYStem.BdmClock Define JTAG clock 16
SYStem.CONFIG 16
SYStem.CONFIG Configure debugger according to target topology 16
SYStem.CONFIG.CORE Assign core to TRACE32 instance 21
SYStem.CPU Selects the CPU 22
SYStem.CpuAccess Run-time memory access (intrusive) 22
SYStem.JtagClock Sets JTAG clock frequency 23
SYStem.LOCK Tristate the JTAG port 23
SYStem.MemAccess Real-time memory access (non-intrusive) 23
SYStem.Mode Establish the communication with the target 25
TRACE32 Directory 317 ©1989-2017 Lauterbach GmbH
SYStem.Option DE Stop CPU via debug enable line 26
SYStem.Option DUALPORT Update all memory displays during runtime 26
SYStem.Option IMASKASM Disable interrupts while single stepping 26
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 27
SYStem.Option PC Not supported command 27
SYStem.Option TRST Use TRST line to reset the TAP controller 27
Trigger On-chip Commands ............................................................................................. 28
TrOnchip.CYcle Define access type 28
TrOnchip.A.Address Define address selector 29
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 30
TrOnchip.EXTernal Generate a trigger for trace on high pulse on in0 or in1 30
TrOnchip.Mode Configure unit A and B 31
TrOnchip.VarCONVert Adjust complex breakpoint in on-chip resource 32
TrOnchip.RESet Set on-chip trigger to default state 32
TrOnchip.state Display on-chip trigger window 32
JTAG Connector ................................................................................................................ 33
Technical Data ................................................................................................................... 34
Operation Voltage 34
Support ............................................................................................................................... 35
Available Tools 35
Compilers 35
Target Operating Systems 35
3rd Party Tool Integrations 36
Products ............................................................................................................................. 37
Product Information 37
Order Information 37
NIOS
NIOS II Debugger and Trace ............................................................. (debugger_nios.pdf) 1
Brief Overview of Documents for New Users ................................................................. 4
Warning .............................................................................................................................. 6
Troubleshooting ................................................................................................................ 7
SYStem.Up Errors 7
Trace Errors 7
FAQ ..................................................................................................................................... 8
Quick Start of the ICD Debugger for Nios II .................................................................... 11
1. Prepare the Start 11
2. Select the Device Prompt for the ICD Debugger 11
3. Configure your FPGA with a Nios II Core (optional) 11
TRACE32 Directory 318 ©1989-2017 Lauterbach GmbH
4. Select the Clock for the JTAG Communication 12
5. Configure the Debugger According to the Needs of the Application 12
6. Tell the Debugger where it should use On-chip Breakpoints (optional) 12
7. Enter Debug Mode 12
8. Load the Program 13
9. Initialize Program Counter and Stackpointer 13
10. View the Source Code 13
General SYStem Settings and Restrictions .................................................................... 15
Restrictions 15
SYStem.CONFIG Configure multi-core debugger 16
SYStem.CONFIG.CORE Select core in FPGA 19
SYStem.CONFIG.state Show multi-core settings 21
SYStem.CPU Select CPU type 21
SYStem.CpuAccess Run-time memory access (intrusive) 22
SYStem.JtagClock Select clock for JTAG communication 22
SYStem.LOCK Lock and tristate the debug port 22
SYStem.MemAccess Real-time memory access (non-intrusive) 23
SYStem.Mode Select target reset mode 23
SYStem.Option BTM Enable/disable branch trace 24
SYStem.Option CFGCLK Set clock frequency for configuration 24
SYStem.Option DCFLUSH Flush data cache before “Go” 24
SYStem.Option DBGALL Enable/disable debug mode for all cores 25
SYStem.Option LocalRESet Assert a local JTAG reset at SYStem.Up 25
SYStem.Option DTM Select kind of data trace 26
SYStem.Option Endianness Select endianness of core 26
SYStem.Option FSS Enable/disable FS2 compatibility mode 27
SYStem.Option ICFLUSH Flush instruction cache before “Go” 27
SYStem.Option IMASKASM Disable interrupts while single stepping 27
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 28
SYStem.Option MMUSPACES Enable space IDs 28
SYStem.Option TOFF Enable/disable tracetrigger input 29
SYStem.Option SYNC Specify frequency of SYNC messages 29
Configuring your FPGA .................................................................................................... 30
JTAG.LOADRBF Configure FPGA with RBF file 30
JTAG Uart Support ............................................................................................................ 32
On-chip Breakpoints ......................................................................................................... 33
Program Breakpoints 33
Read and Write Breakpoints 33
Data Breakpoints 34
Trace Control Breakpoints 34
CPU specific MMU Commands ........................................................................................ 35
MMU.DUMP Page wise display of MMU translation table 35
TRACE32 Directory 319 ©1989-2017 Lauterbach GmbH
MMU.List Compact display of MMU translation table 36
MMU.SCAN Load MMU table from CPU 37
TrOnchip Commands ........................................................................................................ 38
TrOnchip.state Display on-chip trigger window 38
TrOnchip.RESet Set on-chip trigger to default state 38
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 38
TrOnchip.VarCONVert Adjust complex breakpoint in on-chip resource 39
Memory Classes ................................................................................................................ 40
BDM Connector ICD-NIOS II ............................................................................................. 41
NIOS II Trace Connector ................................................................................................... 42
Support ............................................................................................................................... 44
Available Tools 44
Compilers 44
Target Operating Systems 45
3rd Party Tool Integrations 46
Products ............................................................................................................................. 47
Product Information 47
Order Information 48
NIOS II Application Note ......................................................................................................
NIOS II Instantiating the Off-chip Trace Logic ....................................... (app_nios.pdf) 1
Disable Automatic PLL Instantiation ............................................................................ 3
Using Extra Registers for Better Timing ...................................................................... 4
Multiplexing Trace Outputs of Multiple CPUs ............................................................. 5
PPC400/PPC440
PPC400/PPC440 Debugger and Trace ........................................ (debugger_ppc400.pdf) 1
Brief Overview of Documents for New Users ................................................................. 5
Warning .............................................................................................................................. 6
Target Design Requirement/Recommendations ............................................................ 7
General 7
Quick Start JTAG ............................................................................................................... 8
Troubleshooting ................................................................................................................ 10
SYStem.Up Errors 10
FAQ ..................................................................................................................................... 11
PPC400 11
PPC440 15
RISC Trace 23
TRACE32 Directory 320 ©1989-2017 Lauterbach GmbH
Configuration ..................................................................................................................... 24
System Overview 24
ICD Trace Extension for PPC400 (ICT) ............................................................................ 25
General Fact for PPC403 RiscTrace Use 25
Debugging and Trace Mode 25
What does the PPC403 Trace Mode provide? 25
Used Options for RiscTrace 26
CPU specific Implementations ......................................................................................... 27
General Restrictions 27
Breakpoints 27
Memory Classes 30
General SYStem Commands ............................................................................................ 31
SYStem.BdmClock Set JTAG clock frequency 31
SYStem.CPU Select the used CPU 31
SYStem.CpuAccess Run-time memory access (intrusive) 31
SYStem.LOCK Lock and tristate the debug port 32
SYStem.MemAccess Real-time memory access (non-intrusive) 32
SYStem.Mode Select operation mode 33
SYStem.CONFIG.state Display target configuration 34
SYStem.CONFIG Configure debugger according to target topology 35
SYStem.CONFIG.CORE Assign core to TRACE32 instance 39
CPU specific SYStem Commands ................................................................................... 40
SYStem.Option CLOCKX2 Selects the clock for the real-time trace 40
SYStem.Option DCFREEZE Freeze contents of cache while debugging 40
SYStem.Option DCREAD Read from data cache 41
SYStem.Option DMALOW Switch DMA to low priority 41
SYStem.Option FREEZERUN Stop timer in user mode 41
SYStem.Option FREEZEBDM Stop timer in debug mode 41
SYStem.Option FLOWTRACE Prepare CPU for real-time trace 42
SYStem.Option FOLDING Execute more instructions per cycle 42
SYStem.Option HOOK Compare PC to hook address 42
SYStem.Option ICFLUSH Invalidate instruction cache 44
SYStem.Option ICREAD Read from instruction cache 44
SYStem.Option MMUSPACES Enable space IDs 44
SYStem.Option NoJtagHalt Disable HALT line 45
SYStem.Option NOTRAP Use alternative instruction to enter debug mode 46
SYStem.Option OVERLAY Enable overlay support 46
SYStem.Option ResetMode Selects the reset mode 47
SYStem.Option TURBO Skip additional checks/waits 47
BenchMarkCounter ........................................................................................................... 48
CPU specific TrOnchip Commands ................................................................................. 49
TRACE32 Directory 321 ©1989-2017 Lauterbach GmbH
TrOnchip.state Setup window 49
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 50
TrOnchip.DISable Disable NEXUS trace register control 50
TrOnchip.ENable Use CPU internal trigger logic 50
TrOnchip.RESet Set on-chip trigger to default state 51
TrOnchip.Set Trigger sources 51
TrOnchip.TEnable Set filter for the trace 51
TrOnchip.TOFF Switch the sampling to the trace to OFF 51
TrOnchip.TON Switch the sampling to the trace to ’ON’ 52
TrOnchip.TTrigger Set a trigger for the trace 52
TrOnchip.VarCONVert Adjust complex breakpoint in on-chip resource 52
TrOnchip.SYNCHRONOUS Switches mode for data breakpoints 52
CPU specific MMU Commands ........................................................................................ 54
MMU.DUMP Page wise display of MMU translation table 54
MMU.List Compact display of MMU translation table 55
MMU.SCAN Load MMU table from CPU 56
MMU.FORMAT Define MMU table structure 57
MMU.Set.TLB Create a TLB entry on the TARGET 58
Debug Connector .............................................................................................................. 62
Mechanical Description 62
Trace Connectors .............................................................................................................. 63
Mictor Connector 38 pin (Version B) for PPC440 63
Mictor Connector 38 pin (Version B) for PPC405 64
Connector 20 pin (Version A) for PPC405 (obsolete) 64
Mictor Connector 38 pin (Version B) for PPC403 65
Connector 20 pin (Version A) for PPC403 65
Support ............................................................................................................................... 66
Available Tools 66
Compilers 68
Target Operating Systems 69
3rd Party Tool Integrations 70
Products ............................................................................................................................. 71
Product Information 71
Order Information 73
Application Note for PPC400/PPC440 ................................................................................
Debugging Embedded Cores in Xilinx FPGAs [PPC4xx] .....(app_xilinx_ppc400.pdf) 1
Introduction .................................................................................................................... 2
TRACE32 Software Requirements 2
Related Documents 3
Supported TRACE32 JTAG Cables ............................................................................... 4
TRACE32 Directory 322 ©1989-2017 Lauterbach GmbH
Physical Connection of the TRACE32 Debugger ........................................................ 5
JTAG Connection via 16-pin PPC Connector 5
JTAG Connection via Configuration JTAG (Xilinx 14 pin connector) 5
Connecting JTAG and Trace Preprocessor 6
Trace Connections via Expansion Headers 6
Supported JTAG Topologies 6
Setting the SYStem.CPU option .................................................................................... 8
Multicore Settings for Xilinx FPGAs ............................................................................. 9
1st Topology: Separate JTAG Interfaces for FPGA and each PPC Core 11
2nd Topology: Separate FPGA JTAG/ joint PPC JTAG for all PPC Cores 12
3rd Topology: Joint JTAG Interface for the FPGA and all PPC400/PPC440 Cores 14
Design Considerations for Debugging and Tracing ................................................... 16
Debugging Embedded PPC405 Cores 16
Tracing Embedded PPC405 Cores 16
Debugging Embedded PPC440 Cores 17
Tracing Embedded PPC440 Cores using TRACE32 17
Frequently Asked Questions ......................................................................................... 18
Virtex2Pro, Virtex4FX, Virtex5FXT: TRACE32 does not display ISOCM memories 18
Flow errors tracing PPC cores on Xilinx ML310 eval board 18
Electrical Interface 18
MPC500/PQ
MPC5xx/8xx Debugger and Trace ..................................................... (debugger_ppc.pdf) 1
Brief Overview of Documents for New Users ................................................................. 5
Warning .............................................................................................................................. 6
Quick Start ......................................................................................................................... 7
Target Design Requirement/Recommendations ............................................................ 9
General 9
RESET Configuration 10
BDM Termination 11
General Restrictions 12
Troubleshooting 13
FAQ 14
Configuration ..................................................................................................................... 27
Breakpoints ........................................................................................................................ 29
Software Breakpoints 29
On-chip Breakpoints 29
On-chip Breakpoints on InstructionsROM or FLASH 29
On-chip Breakpoints on Read or Write Accesses 30
TRACE32 Directory 323 ©1989-2017 Lauterbach GmbH
Example for Breakpoints 30
Simultaneous FLASH Programming for MPC555 31
Memory Classes ................................................................................................................ 32
Memory Coherency MPC8xx 32
Trace Extension ................................................................................................................. 33
MPC555/MPC553 Pin Multiplexing 33
Troubleshooting MPC500/MPC800 RISC Trace 34
Used Options for RiscTrace 34
General SYStem Commands ............................................................................................ 35
SYStem.BdmClock Define the BDM clock speed 35
SYStem.CONFIG Configure debugger according to target topology 35
SYStem.CPU Select CPU type 35
SYStem.CpuAccess Run-time memory access (intrusive) 36
SYStem.MemAccess Real-time memory access (non-intrusive) 36
SYStem.Mode Establish the communication with the CPU 37
CPU specific SYStem Commands ................................................................................... 38
SYStem.LOADVOC Load vocabulary for code compression 38
SYStem.Option BRKNOMSK Allow program stop in a non-recoverable state 38
SYStem.Option CCOMP Enable code compression 39
SYStem.Option CLEARBE Clear MSR[BE] on step/go 39
SYStem.Option CSxxx CS setting for program flow trace 39
SYStem.Option DCREAD Use DCACHE for data read 40
SYStem.Option FAILSAVE Special error handling for debug port 41
SYStem.Option FreezePin Use alternative signal on the BDM connector 41
SYStem.Option IBUS Configure the show cycles for the I-BUS 42
SYStem.Option ICFLUSH Flush branch target cache before program start 42
SYStem.Option ICREAD Use ICACHE for program read 43
SYStem.Option IMASKASM Disable interrupts while single stepping 43
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 43
SYStem.Option LittleEnd Selection of little endian mode 44
SYStem.Option MMUSPACES Enable space IDs 44
SYStem.Option NODATA The external data bus is not connected to trace 45
SYStem.Option NOTRAP Use alternative instruction to enter debug mode 45
SYStem.Option OVERLAY Enable overlay support 46
SYStem.Option PPCLittleEnd Control for PPC little endian 46
SYStem.Option SCRATCH Scratch for FPU access 47
SYStem.Option SIUMCR SIUMCR setting for the trace 47
SYStem.Option SLOWLOAD Alternative data load algorithm 47
SYStem.Option SLOWRESET Activate SLOWRESET 47
SYStem.Option WATCHDOG Enable software watchdog after SYStem.Up 48
SYStem.state Display SYStem window 48
CPU specific MMU commands ......................................................................................... 49
TRACE32 Directory 324 ©1989-2017 Lauterbach GmbH
MMU.DUMP Page wise display of MMU translation table 49
MMU.List Compact display of MMU translation table 50
MMU.SCAN Load MMU table from CPU 51
MMU.TLB Display MMU TLB entries 52
MMU.TLBSCAN Load MMU TLB entries 52
CPU specific TrOnchip Commands ................................................................................. 53
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 53
TrOnchip.DISable Disable NEXUS trace register control 53
TrOnchip.ENable Enable NEXUS trace register control 54
TrOnchip.G/H Define data selector 54
TrOnchip.IWx.Count Event counter for I-Bus watchpoint 55
TrOnchip.IWx.Ibus Instructions address for I-Bus watchpoint 56
TrOnchip.IWx.Watch Activate I-Bus watchpoint pin 56
TrOnchip.LW0.Count Event counter for L-Bus watchpoint 57
TrOnchip.LW0.CYcle Cycle type for L-Bus watchpoint 58
TrOnchip.LW0.Data Data selector for L-Bus watchpoint 58
TrOnchip.LW0.Ibus Instructions address for L-Bus watchpoint 58
TrOnchip.LW0.Lbus Data address for the L-Bus watchpoint 59
TrOnchip.LW0.Watch Activate L-Bus watchpoint pin 60
TrOnchip.RESet Reset on-chip trigger unit 60
TrOnchip.Set Stop program execution at specified exception 60
TrOnchip.TCompress Trace data compression 61
TrOnchip.TEnable Set filter for the trace 61
TrOnchip.TOFF Switch the sampling to the trace to OFF 61
TrOnchip.TON Switch the sampling to the trace to ON 61
TrOnchip.TTrigger Set a trigger for the trace 62
TrOnchip.VarCONVert Adjust HLL breakpoint in on-chip resource 62
TrOnchip.state Display on-chip trigger window 62
BenchMarkCounter ........................................................................................................... 64
BDM Connector ................................................................................................................. 65
10 pin BDM Connector MPC500/MPC800 65
Support ............................................................................................................................... 66
Available Tools 66
Compilers 67
Target Operating Systems 68
3rd Party Tool Integrations 69
Products ............................................................................................................................. 70
Product Information 70
Order Information 70
TRACE32 Directory 325 ©1989-2017 Lauterbach GmbH
PQII, MPC5200, MPC603/7xx, MPC74xx
PPC600 Family Debugger ............................................................ (debugger_ppc600.pdf) 1
General Note ...................................................................................................................... 5
Brief Overview of Documents for New Users ................................................................. 5
Warning .............................................................................................................................. 6
Signal Level 6
ESD Protection 6
Target Design Requirement/Recommendations ............................................................ 7
General 7
Quick Start ......................................................................................................................... 8
Troubleshooting ................................................................................................................ 10
Problems with Memory Access 11
FAQ 12
Configuration ..................................................................................................................... 27
System Overview 27
PowerPC 600 Family Specific Implementations ............................................................. 28
Breakpoints 28
Access Classes 34
Cache 35
Little Endian Operation 37
General System Commands ............................................................................................. 38
SYStem.BdmClock Set JTAG frequency 38
SYStem.CPU Select the CPU type 38
SYStem.CpuAccess Run-time memory access (intrusive) 39
SYStem.LOCK Lock and tristate the debug port 39
SYStem.MemAccess Real-time memory access (non-intrusive) 40
SYStem.Mode Select operation mode 41
SYStem.CONFIG.state Display target configuration 42
SYStem.CONFIG Configure debugger according to target topology 43
SYStem.CONFIG.CORE Assign core to TRACE32 instance 47
CPU specific System Commands .................................................................................... 48
SYStem.Option BASE Set base address for on-chip peripherals 48
SYStem.Option BUS32 Use 32-Bit data-bus mode 49
SYStem.Option CONFIG Select RCW configuration 49
SYStem.Option DCREAD Read from data cache 50
SYStem.Option DUALPORT Implicitly use run-time memory access 50
SYStem.Option FREEZE Freeze timebase when core halted 51
SYStem.Option HOOK Compare PC to hook address 51
SYStem.Option HRCWOVerRide Override HRCW on SYStem.Up 52
TRACE32 Directory 326 ©1989-2017 Lauterbach GmbH
SYStem.Option ICFLUSH Invalidate instruction cache before go/step 52
SYStem.Option ICREAD Read from instruction cache 53
SYStem.Option IMASKASM Disable interrupts while single stepping 53
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 53
SYStem.Option IP Set MSR_IP value for breakpoints / SYStem.Up 54
SYStem.Option.LittleEnd True little endian mode 54
SYStem.Option.MemProtect Enable memory access safeguard 54
SYStem.Option.MemSpeed Configure memory access timing 55
SYStem.Option MMUSPACES Enable space IDs 55
SYStem.Option.NoDebugStop Disable JTAG stop on debug events 56
SYStem.Option.NOTRAP Use alternative software breakpoint instruction 57
SYStem.Option OVERLAY Enable overlay support 58
SYStem.Option PARITY Generate parity on memory access 58
SYStem.Option.PINTDebug Program interrupt debugging 59
SYStem.Option.PPCLittleEnd PPC little endian mode 59
SYStem.Option.PTE Evaluate PTE table for address translation 60
SYStem.Option RESetBehavior Set behavior when target reset detected 60
SYStem.Option ResetMode Select reset mode for SYStem.Up 61
SYStem.Option.SLOWRESET Relaxed reset timing 61
SYStem.Option.STEPSOFT Use alternative method for ASM single step 62
SYStem.Option WATCHDOG Leave software watchdog enabled 63
CPU specific MMU Commands ........................................................................................ 64
MMU.DUMP Page wise display of MMU translation table 64
MMU.List Compact display of MMU translation table 65
MMU.SCAN Load MMU table from CPU 66
MMU.Set Write MMU TLB entries to CPU 67
CPU specific BenchMarkCounter Commands ................................................................ 68
BMC.FREEZE Freeze counters while core halted 68
BMC.<counter>.SIZE No function 68
CPU specific TrOnchip Commands ................................................................................. 69
TrOnchip.DISable Disable debug register control 69
TrOnchip.ENable Enable debug register control 69
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 69
TrOnchip.VarCONVert Adjust complex breakpoint in on-chip resource 70
TrOnchip.RESet Reset on-chip trigger settings 70
TrOnchip.state Display on-chip trigger window 70
TrOnchip.TEnable Set filter for the trace 70
TrOnchip.TOFF Switch the sampling to the trace to OFF 71
TrOnchip.TON Switch the sampling to the trace to “ON” 71
TrOnchip.TTrigger Set a trigger for the trace 71
Mechanical Description .................................................................................................... 72
JTAG/COP Connector PPC603e/700/MPC8200 72
TRACE32 Directory 327 ©1989-2017 Lauterbach GmbH
Technical Data ................................................................................................................... 73
Operation Voltage 73
Support ............................................................................................................................... 74
Available Tools 74
Compilers 76
Target Operating Systems 78
3rd Party Tool Integrations 79
Products ............................................................................................................................. 80
Product Information 80
Order Information 82
PQII Trace ...........................................................................................(trace_mpc82xx.pdf) 1
Introduction ....................................................................................................................... 3
Overview 3
Installation ......................................................................................................................... 4
Configuration MPC8260 4
Configuration MPC8240 8
Application Note 9
Function 10
Architecture of Trigger Unit 10
Keywords for Trigger Unit 11
FAQ ..................................................................................................................................... 13
Technical Data ................................................................................................................... 13
Operation Voltage 13
Operation Frequency 13
Connectors 13
Dimensions 27
Support ............................................................................................................................... 28
Available Tools 28
Compilers 28
Target Operating Systems 30
3rd Party Tool Integrations 31
Products ............................................................................................................................. 32
Product Information 32
Order Information 32
PQIII
PQIII Debugger .............................................................................(debugger_ppcpq3.pdf) 1
General Note ...................................................................................................................... 4
Brief Overview of Documents for New Users ................................................................. 4
TRACE32 Directory 328 ©1989-2017 Lauterbach GmbH
Warning .............................................................................................................................. 5
Target Design Requirement/Recommendations ............................................................ 6
General 6
Quick Start ......................................................................................................................... 7
Troubleshooting ................................................................................................................ 8
SYStem.Up Errors 8
FAQ 10
Configuration ..................................................................................................................... 15
System Overview 15
PowerPC MPC85XX/QorIQ specific Implementations .................................................... 16
Breakpoints 16
Access Classes 20
Cache 21
Debugging Information 24
Programming Flash on MPC85XX / QorIQ P10XX/P20XX, PSC93XX 26
On-chip Trace on MPC85XX/QorIQ 26
General SYStem Commands ............................................................................................ 28
SYStem.BdmClock Set BDM clock frequency 28
SYStem.CONFIG.state Display target configuration 28
SYStem.CONFIG Configure debugger according to target topology 29
SYStem.CPU Select the target processor 33
SYStem.CpuAccess Run-time CPU access (intrusive) 34
SYStem.LOCK Lock and tristate the debug port 34
SYStem.MemAccess Run-time memory access (non-intrusive) 35
SYStem.Mode Select operation mode 36
CPU specific SYStem Commands ................................................................................... 37
SYStem.Option.CINTDebug Enable debugging of critical interrupts 37
SYStem.Option CoreStandBy On-the-fly breakpoint setup 37
SYStem.Option DCFREEZE Data cache state frozen while core halted 37
SYStem.Option DCREAD Read from data cache 38
SYStem.Option DUALPORT Implicitly use run-time memory access 38
SYStem.Option FREEZE Freeze system timers on debug events 39
SYStem.Option HOOK Compare PC to hook address 39
SYStem.Option ICFLUSH Invalidate instruction cache before go and step 39
SYStem.Option ICREAD Read from instruction cache 40
SYStem.Option IMASKASM Disable interrupts while single stepping 40
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 40
SYStem.Option MMUSPACES Enable space IDs 41
SYStem.Option.NoDebugStop Disable JTAG stop on debug events 42
SYStem.Option OVERLAY Enable overlay support 42
SYStem.Option PERSTOP Stop on-chip peripherals in debug mode 43
TRACE32 Directory 329 ©1989-2017 Lauterbach GmbH
SYStem.Option SLOWRESET Relaxed reset timing 43
SYStem.Option STEPSOFT Use alternative method for ASM single step 43
CPU specific MMU Commands ........................................................................................ 45
MMU.DUMP Page wise display of MMU translation table 45
MMU.List Compact display of MMU translation table 46
MMU.SCAN Load MMU table from CPU 47
MMU.Set Set an MMU TLB entry 48
CPU specific BenchMarkCounter Commands ................................................................ 49
BMC.FREEZE Freeze counters while core halted 49
BMC.<counter>.SIZE No function 49
BMC.SnoopSet Assign event counter to TRACE32 ‘SnooperTrace’ 49
CPU specific TrOnchip Commands ................................................................................. 52
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 52
TrOnchip.RESet Reset on-chip trigger settings 52
TrOnchip.Set Enable special on-chip breakpoints 53
TrOnchip.VarCONVert Adjust HLL breakpoint in on-chip resource 54
TrOnchip.state View on-chip trigger setup window 55
MPC85XX/QorIQ Specific On-chip Trace Settings ......................................................... 56
Onchip.Mode.IFSel Select interface to be traced 56
JTAG Connector ................................................................................................................ 57
Mechanical Description 57
Support ............................................................................................................................... 57
Available Tools 57
Compilers 59
Target Operating Systems 60
3rd Party Tool Integrations 61
Products ............................................................................................................................. 62
Product Information 62
Order Information 62
PWRficient
PWRficient Debugger ......................................................................... (debugger_pwr.pdf) 1
General Note ...................................................................................................................... 3
Brief Overview of Documents for New Users ................................................................. 4
Warning .............................................................................................................................. 5
Target Design Requirement/Recommendations ............................................................ 6
General 6
Quick Start ......................................................................................................................... 7
TRACE32 Directory 330 ©1989-2017 Lauterbach GmbH
Troubleshooting ................................................................................................................ 8
SYStem.Up Errors 8
FAQ ..................................................................................................................................... 10
Configuration ..................................................................................................................... 11
System Overview 11
PWRficient PA6T Specific Implementations ................................................................... 12
Breakpoints 12
Memory Classes 14
Cache 15
Debugging Information 16
Programming the On-chip FLASH 17
On-chip Trace 18
General SYStem Commands ............................................................................................ 19
SYStem.BdmClock Set BDM clock frequency 19
SYStem.CPU Select the CPU type 19
SYStem.CpuAccess Run-time CPU access (intrusive) 20
SYStem.LOCK Lock and tristate the debug port 20
SYStem.MemAccess Run-time memory access (non-intrusive) 21
SYStem.Mode Select operation mode 22
SYStem.CONFIG Configure debugger according to target topology 23
CPU specific SYStem Commands ................................................................................... 26
SYStem.Option DCREAD Read from data cache 26
SYStem.Option FREEZE Freeze system timers on debug events 26
SYStem.Option ICFLUSH Invalidate instruction cache before go/step 26
SYStem.Option ICREAD Read from instruction cache 27
SYStem.Option IMASKASM Disable interrupts while single stepping 27
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 27
SYStem.Option MMUSPACES Enable space IDs 27
CPU specific MMU Commands ........................................................................................ 29
MMU.TLB Display MMU TLB entries 29
MMU.TLB.SCAN Loads MMU TLB entries 29
MMU.TLB.Set Set an MMU TLB entry 30
CPU specific TrOnchip Commands ................................................................................. 31
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 31
TrOnchip.EVTEN Enable EVTI and EVTO pins 31
TrOnchip.RESet Reset on-chip trigger settings 32
TrOnchip.Set Enable on-chip trigger facilities 32
TrOnchip.VarCONVert Adjust HLL breakpoint in on-chip resource 33
TrOnchip.state View on-chip trigger setup window 34
JTAG Connector ................................................................................................................ 35
TRACE32 Directory 331 ©1989-2017 Lauterbach GmbH
Support ............................................................................................................................... 36
Available Tools 36
Compilers 36
Target Operating Systems 38
3rd Party Tool Integrations 39
Products ............................................................................................................................. 40
Product Information 40
Order Information 40
QORIQ
QorIQ Debugger and NEXUS Trace ......................................... (debugger_ppcqoriq.pdf) 1
General Note ...................................................................................................................... 5
Brief Overview of Documents for New Users ................................................................. 5
Warning .............................................................................................................................. 6
Target Design Recommendations ................................................................................... 7
General 7
Quick Start ......................................................................................................................... 8
Troubleshooting ................................................................................................................ 9
SYStem.Up Errors 9
FAQ 11
Configuration - System Overview .................................................................................... 12
System Overview: JTAG Connector Usage 13
System Overview: Aurora Connector Usage 14
PowerPC QorIQ specific Implementations ..................................................................... 15
Breakpoints 15
Access Classes 19
Cache 21
Debugging Information 24
Trace Information 35
General SYStem Commands ............................................................................................ 41
SYStem.BdmClock Set debug clock frequency 41
SYStem.CONFIG.state Display target configuration 42
SYStem.CONFIG Configure debugger according to target topology 43
SYStem.CPU Select the CPU type 47
SYStem.CpuAccess Run-time CPU access (intrusive) 48
SYStem.LOCK Lock and tristate the debug port 48
SYStem.MemAccess Run-time memory access (non-intrusive) 49
SYStem.Mode Select operation mode 50
CPU specific SYStem Commands ................................................................................... 51
TRACE32 Directory 332 ©1989-2017 Lauterbach GmbH
SYStem.Option DCFREEZE Data cache state frozen while core halted 51
SYStem.Option DCREAD Read from data cache 51
SYStem.Option DUALPORT Implicitly use run-time memory access 52
SYStem.Option FREEZE Freeze system timers on debug events 52
SYStem.Option HOOK Compare PC to hook address 52
SYStem.Option.HRCWOVerRide Override RCW during SYStem.Up 53
SYStem.Option ICFLUSH Invalidate instruction cache before go and step 53
SYStem.Option ICREAD Read from instruction cache 53
SYStem.Option IMASKASM Disable interrupts while single stepping 54
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 54
SYStem.Option MMUSPACES Enable space IDs 54
SYStem.Option.NoDebugStop Disable JTAG stop on debug events 56
SYStem.Option OVERLAY Enable overlay support 57
SYStem.Option RESetBehavior Set behavior when target reset detected 58
SYStem.Option SLOWRESET Relaxed reset timing 59
SYStem.Option STEPSOFT Use alternative method for ASM single step 59
CPU specific MMU Commands ........................................................................................ 60
MMU.DUMP Page wise display of MMU translation table 60
MMU.List Compact display of MMU translation table 61
MMU.SCAN Load MMU table from CPU 62
MMU.Set Set an MMU TLB entry 63
CPU specific BenchMarkCounter Commands ................................................................ 64
BMC.FREEZE Freeze counters while core halted 64
BMC.<counter>.SIZE No function 64
CPU specific TrOnchip Commands ................................................................................. 65
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 65
TrOnchip.RESet Reset on-chip trigger settings 66
TrOnchip.Set Enable special on-chip breakpoints 66
TrOnchip.VarCONVert Adjust HLL breakpoint in on-chip resource 67
TrOnchip.state View on-chip trigger setup window 67
Nexus and Trace specific commands ............................................................................. 68
DDRTrace.List List DDR trace contents 68
DQMTrace.List List DQM trace contents 68
NEXUS.BTM Enable program trace messaging 69
NEXUS.CoreENable Core specific trace configuration 69
NEXUS.DDRConfig.ADDRessfilter Filter Nexus DDR messages 70
NEXUS.DDRConfig.Controller Configure Nexus DDR message type 70
NEXUS.DQM Enable data acquisition messaging 71
NEXUS.OCeaNport.Mode Configure Nexus OCeaN message type 71
NEXUS.OCeaNport<index>.TraceSELect Select Nexus OCeaN trace type 72
NEXUS.OFF Switch the Nexus trace port off 72
NEXUS.ON Switch the Nexus trace port on 73
TRACE32 Directory 333 ©1989-2017 Lauterbach GmbH
NEXUS.OTM Enable ownership trace messaging 73
NEXUS.PortMode Set Nexus trace port frequency 74
NEXUS.PortSize Set trace port width 74
NEXUS.POTD Disable periodic ownership trace 75
NEXUS.PTCM Enable program trace correlation messages 75
NEXUS.PTFGS Program trace mark 75
NEXUS.PTFPMM Program trace mark 76
NEXUS.PTFPR Program trace mark 76
NEXUS.PTMARK Program trace mark 76
NEXUS.RefClock Enable Aurora reference clock 77
NEXUS.RESet Reset Nexus trace port settings 77
NEXUS.SerDesCFG Enable SerDes PLL control register manipulation 77
NEXUS.SerDesCFG.FRATE Select frequency of SerDes PLL VCO 78
NEXUS.SerDesCFG.REFCLK Select frequency of SerDes reference clock 78
NEXUS.Spen<messagetype> Enable message suppression 79
NEXUS.STALL Stall the program execution when FIFO level is reached 79
NEXUS.state Display Nexus port configuration window 80
NEXUS.SupprTHReshold Set fill level for message suppression 80
NEXUS.TimeStamps Append target timestamps to Nexus messages 81
NEXUS.WTM Enable watchpoint messaging 81
OCeaNTrace.List List OCeaN trace contents 82
Trace.List List program and data trace contents 83
Onchip specific commands .............................................................................................. 84
Onchip.TBARange Configure on-chip trace base address range 84
Filters and Triggers for the Nexus Trace ........................................................................ 85
JTAG Connector ................................................................................................................ 87
Mechanical Description 87
Support ............................................................................................................................... 90
Available Tools 90
Compilers 91
Target Operating Systems 64-Bit 92
Target Operating Systems 32-Bit 93
3rd Party Tool Integrations 94
Products ............................................................................................................................. 95
Product Information 95
Order Information 95
Qorivva MPC5xxx/SPC5xx
Qorivva MPC5xxx/SPC5xx Debugger and NEXUS Trace ...... (debugger_mpc5500.pdf) 1
Introduction ....................................................................................................................... 8
TRACE32 Directory 334 ©1989-2017 Lauterbach GmbH
Available Tools 8
Software Installation 10
Hardware Installation 11
ESD Protection Considerations 14
Demo and Start-up Scripts 14
Debug Cable / Nexus Adapter Versions and Detection 15
Brief Overview of Documents for New Users ................................................................. 16
Target Design Requirement/Recommendations ............................................................ 17
General (ICD Debugger) 17
Quick Start ......................................................................................................................... 18
Run Program from On-chip SRAM 18
Run Program from FLASH 20
Connect to Running Program (hot plug-in) 21
FAQ ..................................................................................................................................... 22
FAQ (ICD Debugger) 22
FAQ (NEXUS Debugger) 26
Debugging .......................................................................................................................... 35
Breakpoints 35
Memory Access 40
Cache Debugging Support 43
Support for Peripheral Modules 46
Debugging and Tracing Through Reset 48
Multicore Debugging 49
Watchdog Timer Support 53
Censorship Unlock 55
Troubleshooting Debug 57
Tracing ............................................................................................................................... 59
e200 PCFIFO On-chip Trace 59
MPC57XX/SPC57X/SPC58X NEXUS On-chip Trace (trace-to-memory) 59
External Trace Ports (Parallel NEXUS/Aurora NEXUS) 60
Tracing the Program Flow 62
Tracing of Data (read/write) Transactions 63
Trace Filtering and Triggering with Debug Events 63
Tracing Peripheral Modules / Bus Masters 67
Trace Filtering and Triggering Features Provided by TRACE32 68
Troubleshooting Trace 69
FLASH Programming Support ......................................................................................... 70
FLASH Programming Scripts 70
Requirements due to FLASH ECC Protection 72
Programming the RCHW or Boot Header 73
Programming the Shadow Row 73
TRACE32 Directory 335 ©1989-2017 Lauterbach GmbH
Programming Serial Boot Password and Censorship Word 75
TEST / UTEST / OTP FLASH Programming 76
Brownout Depletion Recovery 78
Troubleshooting FLASH 78
Command Reference: SYStem Commands .................................................................... 80
SYStem.BdmClock Set BDM clock frequency 80
SYStem.CONFIG.state Display target configuration 81
SYStem.CONFIG Configure debugger according to target topology 82
SYStem.CONFIG.DEBUGPORTTYPE Set debug cable interface mode 86
SYStem.CONFIG.EXTWDTDIS Disable external watchdog 87
SYStem.CONFIG PortSHaRing Control sharing of debug port with other tool 87
SYStem.CPU Select the target processor 88
SYStem.CpuAccess Run-time CPU access (intrusive) 89
SYStem.LOCK Lock and tristate the debug port 89
SYStem.MemAccess Run-time memory access (non-intrusive) 90
SYStem.Mode Select operation mode 91
Command Reference: SYStem.Option Commands ....................................................... 92
SYStem.Option CoreStandBy On-the-fly breakpoint and trace setup 92
SYStem.Option DCFREEZE Data cache state frozen while core halted 92
SYStem.Option DCREAD Read from data cache 93
SYStem.Option DISableResetEscalation Control reset escalation disabling 93
SYStem.Option DISableShortSequence Short reset sequence handling 93
SYStem.Option DisMode Disassembler operation mode 94
SYStem.Option DUALPORT Implicitly use run-time memory access 95
SYStem.Option FREEZE Freeze system timers on debug events 95
SYStem.Option HoldReset Set reset hold time 96
SYStem.Option HOOK Compare PC to hook address 96
SYStem.Option ICFLUSH Invalidate instruction cache before go and step 96
SYStem.Option ICREAD Read from instruction cache 97
SYStem.Option IMASKASM Disable interrupts while single stepping 97
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 97
SYStem.Option KEYCODE Inhibit censorship protection 98
SYStem.Option LPMDebug Enable low power mode debug handshake 99
SYStem.Option MMUSPACES Enable space IDs 100
SYStem.Option NexusMemoryCoherency Coherent NEXUS mem-access 101
SYStem.Option.NoDebugStop Disable JTAG stop on debug events 102
SYStem.Option.NoJtagRdy Do not evaluate JTAG_RDY signal 102
SYStem.Option NOTRAP Use brkpt instruction for software breakpoints 103
SYStem.Option OVERLAY Enable overlay support 104
SYStem.Option PC Set fetch address debug actions 104
SYStem.Option RESetBehavior Set behavior when target reset detected 105
SYStem.Option ResBreak Halt the core while reset asserted 105
SYStem.Option ResetDetection Configure reset detection method 106
TRACE32 Directory 336 ©1989-2017 Lauterbach GmbH
SYStem.Option ResetMode Select reset mode for SYStem.Up 107
SYStem.Option SLOWRESET Relaxed reset timing 108
SYStem.Option STEPSOFT Use alternative method for ASM single step 108
SYStem.Option TDOSELect Select TDO source of lock step core pair 108
SYStem.Option VECTORS Specify interrupt vector table address 108
SYStem.Option WaitReset Set reset wait time 110
SYStem.Option WATCHDOG Debug with software watchdog timer 111
Command Reference: MMU Commands ......................................................................... 113
MMU.DUMP Page wise display of MMU translation table 113
MMU.List Compact display of MMU translation table 114
MMU.SCAN Load MMU table from CPU 115
MMU.Set Set an MMU TLB entry 116
Command Reference: BenchMarkCounter ..................................................................... 117
BMC.<counter>.ATOB Enable event triggered counter start and stop 117
BMC.<counter>.FREEZE Freeze counter in certain core states 120
BMC.FREEZE Freeze counters while core halted 121
BMC.SnoopSet Assign event counter to TRACE32 ‘SnooperTrace’ 121
Command Reference: TrOnchip ...................................................................................... 124
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 124
TrOnchip.EDBRAC0 Assign debug events to target software 125
TrOnchip.EVTEN Enable EVTI and EVTO pins 126
TrOnchip.RESet Reset on-chip trigger settings 127
TrOnchip.Set Enable special on-chip breakpoints 127
TrOnchip.VarCONVert Set single address breakpoint for scalar 128
TrOnchip.state View on-chip trigger setup window 129
Command Reference: Onchip .......................................................................................... 130
Onchip.TBARange Set on-chip trace buffer address range 130
Command Reference: NEXUS .......................................................................................... 131
NEXUS.BTM Enable program trace messaging 131
NEXUS.CLIENT<x>.BUSSEL Set NXMC target RAM 131
NEXUS.CLIENT<x>.MODE Set data trace mode of nexus client 131
NEXUS.CLIENT<x>.SELECT Select a nexus client for data tracing 132
NEXUS.CLIENT3.SPTACQMASTER Trace individual SPT masters 132
NEXUS.CoreENable Enable core tracing for dedicated cores in SMP 132
NEXUS.DDR Enable NEXUS double data rate mode 133
NEXUS.DMADTM Enable DMA data trace messaging 133
NEXUS.DTM Enable data trace messaging 134
NEXUS.DTMARK Data trace mark 134
NEXUS.DQM Enable data acquisition messaging 135
NEXUS.FRAYDTM Enable FlexRay data trace messaging 135
NEXUS.HTM Enable branch history messaging 135
NEXUS.OFF Switch the NEXUS trace port off 136
TRACE32 Directory 337 ©1989-2017 Lauterbach GmbH
NEXUS.ON Switch the NEXUS trace port on 136
NEXUS.OTM Enable ownership trace messaging 137
NEXUS.PCRCONFIG Configure NEXUS PCR for tracing 137
NEXUS.PINCR Define DCI PINCR register value 138
NEXUS.PortMode Set NEXUS trace port frequency 138
NEXUS.PortSize Set trace port width 139
NEXUS.POTD Periodic ownership trace disable 139
NEXUS.PTCM Enable program trace correlation messages 140
NEXUS.PTMARK Program trace mark 140
NEXUS.RefClock Enable Aurora reference clock 141
NEXUS.Register Display NEXUS trace control registers 141
NEXUS.RESet Reset NEXUS trace port settings 141
NEXUS.SmartTrace Enable smart trace analysis 141
NEXUS.Spen<messagetype> Enable message suppression 142
NEXUS.STALL Stall the program execution when FIFO full 142
NEXUS.state Display NEXUS port configuration window 142
NEXUS.SupprTHReshold Set fill level for message suppression 143
NEXUS.TimeStamps Enable on-chip timestamp generation 143
NEXUS.WTM Enable watchpoint messaging 144
Nexus specific TrOnchip Commands .............................................................................. 145
TrOnchip.Alpha Set special breakpoint function 145
TrOnchip.Beta Set special breakpoint function 145
TrOnchip.Charly Set special breakpoint function 146
TrOnchip.Delta Set special breakpoint function 146
TrOnchip.DISable Disable NEXUS trace register control 146
TrOnchip.Echo Set special breakpoint function 146
TrOnchip.ENable Enable NEXUS trace register control 147
TrOnchip.EVTI Allow the EVTI signal to stop the program execution 147
TrOnchip.EVTO Use EVTO signal for runtime measurement 147
TrOnchip.EXTernal Enable trace trigger input of NEXUS adapter 148
TrOnchip.Out0 Select OUT0 pin signal source 148
TrOnchip.Out1 Select OUT1 pin signal source 149
TrOnchip.TOOLIO2 Select TOOLIO2 pin signal source 150
TrOnchip.TRaceControl Trace control with special debug events 151
Debug and Trace Connectors .......................................................................................... 152
14-pin JTAG/OnCE Connector (JTAG) 152
AUTO26 Connector (JTAG) 152
10-pin ECU14 Connector (with converter LA-3843) 153
38-pin Mictor Connector (NEXUS parallel) 153
50-pin SAMTEC ERF8 Connector (NEXUS parallel) 154
51-pin GlenAir / ROBUST Connector (NEXUS parallel) 155
34-pin SAMTEC ERF8 Connector (Aurora NEXUS) 156
TRACE32 Directory 338 ©1989-2017 Lauterbach GmbH
Mechanical Dimensions .................................................................................................... 157
Technical Data ................................................................................................................... 166
Operation Voltage 166
Operation Frequency 166
Support ............................................................................................................................... 167
Available Tools 167
Compilers 171
Target Operating Systems 172
3rd Party Tool Integrations 173
Products ............................................................................................................................. 174
Product Information 174
Order Information 180
Application Note for Nexus MPC5xxx ................................................................................
Complex Trigger Unit for Nexus MPC5xxx .............................(app_ctu_mpc5xxx.pdf) 1
Introduction .................................................................................................................... 2
Overview ......................................................................................................................... 2
CTU Programming Examples ........................................................................................ 4
Data Trace Message based events 4
Example: Trace trigger on data value 5
Example: Program break on data value 5
Watchpoint hit message based events 6
Example: Runtime measurement with markers 8
Example: Program break based function runtime 11
Using external signals with the CTU 12
Example: Record single message on rising edge of trigger input 13
Example: Program break based on pulse interval of IN input 14
Appendix: Complex Trigger Unit Keyword Reference ................................................ 15
RH850
RH850 Debugger and Trace ...........................................................(debugger_rh850.pdf) 1
General Note ...................................................................................................................... 6
Available Tools 6
Software Installation 8
Related Documents 8
Demo and Start-up Scripts 9
Brief Overview of Documents for New Users ................................................................. 9
Warning .............................................................................................................................. 10
Useful Tips ......................................................................................................................... 11
TRACE32 Directory 339 ©1989-2017 Lauterbach GmbH
Application Starts Running at SYStem.Up 11
Greenhills Compiler 12
Stop Timers and Peripherals during application-break 12
Location of Debug Connector 12
Reset Line 12
Configuration ..................................................................................................................... 13
System Overview 13
Single Core Debugging - Quick Start .............................................................................. 14
Debug from Reset 14
Connect to Running Program (Hot Plug-In) 16
Troubleshooting ................................................................................................................ 17
SYStem.Up Errors 17
FAQ ..................................................................................................................................... 18
Debugging .......................................................................................................................... 20
RH850 Debug Interface Modes 20
Breakpoints 23
Access Classes 25
Support for Peripheral Modules 28
Runtime Measurement 28
Multicore Debugging 29
FLASH Programming Support ......................................................................................... 33
Tracing ............................................................................................................................... 35
SFT Trace via LPD4 35
NEXUS On-chip Trace 35
External Trace Ports (Parallel NEXUS/Aurora NEXUS) 35
Tracing the Program Flow 35
Tracing of Data (read/write) Transactions 36
Trace Filtering and Triggering with Debug Events 38
Tracing Peripheral Modules / Bus Masters 42
SFT Software Trace ........................................................................................................... 43
SFT Software Trace to On-chip Trace 43
SFT Software Trace via LPD4 debug port 44
Command Reference: SYStem Commands .................................................................... 45
SYStem.BAUDRATE Baudrate setting 45
SYStem.CONFIG.state Display target configuration 45
SYStem.CONFIG Configure debugger according to target topology 46
SYStem.CONFIG.CORE Assign core to TRACE32 instance 50
SYStem.CONFIG.EXTWDTDIS Disable external watchdog 51
SYStem.CONFIG PortSHaRing Control sharing of debug port with other tool 51
SYStem.CORECLOCK Core clock frequency 52
TRACE32 Directory 340 ©1989-2017 Lauterbach GmbH
SYStem.CPU CPU type selection 52
SYStem.CpuAccess Run-time memory access (intrusive) 52
SYStem.JtagClock JTAG clock selection 53
SYStem.LOCK Lock and tristate the debug port 53
SYStem.MemAccess Memory access selection 54
SYStem.Mode System mode selection 55
SYStem.OSCCLOCK Oscillator clock frequency 55
SYStem.RESetOut Reset target without reset of debug port 56
Command Reference: SYStem.Option Commands ....................................................... 57
SYStem.Option FLMD0 FLMD0 pin default level 57
SYStem.Option ICUS ICU-S enable 57
SYStem.Option IMASKASM Interrupt disable 58
SYStem.Option IMASKHLL Interrupt disable 58
SYStem.Option KEYCODE Keycode 59
SYStem.Option OPBT Option-byte setting 59
SYStem.Option OPBT8 Option-byte setting 59
SYStem.Option PERSTOP Disable CPU peripherals if stopped 59
SYStem.Option RDYLINE RDY pin available 60
Command Reference: System.Option (Exception Lines Enable) ................................. 61
SYStem.Option CPINT CPINT line enable 61
SYStem.Option REQest Request line enable 61
SYStem.Option RESET Reset line enable 61
SYStem.Option STOP Stop line enable 62
SYStem.Option WAIT Wait line enable 62
Command Reference: BenchMarkCounter ..................................................................... 63
BMC.<counter>.ATOB Enable event triggered counter start and stop 64
BMC.<counter>.EVENT Configure the performance monitor 65
BMC.<counter>.TRIGMODE BMC trigger mode 67
BMC.<counter>.TRIGVAL BMC trigger value 67
Command Reference: TrOnchip ...................................................................................... 68
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 68
TrOnchip.RESet Set on-chip trigger to default state 68
TrOnchip.SEQ Sequential breakpoints 69
TrOnchip.SIZE Trigger on byte, word, long memory accesses 69
TrOnchip.state Display on-chip trigger window 70
TrOnchip.VarCONVert Adjust complex breakpoint in on-chip resource 70
Command Reference: NEXUS .......................................................................................... 71
NEXUS.BTM Program trace messaging enable 71
NEXUS.CoreENable Core specific trace configuration 71
NEXUS.CLIENT<x>.MODE Set data trace mode of nexus client 71
NEXUS.CLIENT<x>.SELECT Select a nexus client for data tracing 72
NEXUS.DTM Data trace messaging enable 72
TRACE32 Directory 341 ©1989-2017 Lauterbach GmbH
NEXUS.OFF Switch the NEXUS trace port off 72
NEXUS.ON Switch the NEXUS trace port on 73
NEXUS.PortMode Set NEXUS trace port frequency 73
NEXUS.PortSize Set trace port width 73
NEXUS.RESet Reset NEXUS trace port settings 73
NEXUS.SFT Software trace messaging enable 74
NEXUS.SUSpend Stall the program execution when FIFO full 74
NEXUS.SYNC Sync trace messaging enable 74
NEXUS.state Display NEXUS port configuration window 74
NEXUS.TimeStamps On-chip timestamp generation enable 75
Nexus specific TrOnchip Commands .............................................................................. 76
TrOnchip.Alpha Set special breakpoint function 76
TrOnchip.Beta Set special breakpoint function 77
TrOnchip.Charly Set special breakpoint function 77
TrOnchip.Delta Set special breakpoint function 78
TrOnchip.Echo Set special breakpoint function 78
Debug Connector .............................................................................................................. 79
Debug Connector 14 pin 100mil 79
Debug Connector AUTO26 80
Trace Connectors .............................................................................................................. 81
Parallel NEXUS Connector (Debug and Trace) 81
Aurora NEXUS SAMTEC 34-pin (Debug and Trace) 82
Aurora NEXUS SAMTEC 40-pin (Trace only) 83
Support ............................................................................................................................... 84
Available Tools 84
Compilers 91
Target Operating Systems 91
3rd Party Tool Integrations 92
Products ............................................................................................................................. 93
Product Information 93
Order Information 96
RISC-V
RISC-V Debugger ............................................................................. (debugger_riscv.pdf) 1
Introduction ....................................................................................................................... 4
Brief Overview of Documents for New Users 4
Demo and Start-up Script 4
Warning .............................................................................................................................. 5
Quick Start of the JTAG Debugger .................................................................................. 6
TRACE32 Directory 342 ©1989-2017 Lauterbach GmbH
Troubleshooting ................................................................................................................ 9
SYStem.Up Errors 9
FAQ ..................................................................................................................................... 10
RISC-V Specific Implementations 11
Breakpoints 12
Access Classes 14
CPU specific SYStem Commands ................................................................................... 15
SYStem.CONFIG.state Display target configuration 15
SYStem.CONFIG Configure debugger according to target topology 16
SYStem.CPU Select the used CPU 22
SYStem.CpuAccess Run-time memory access (intrusive) 22
SYStem.JtagClock Define JTAG frequency 23
SYStem.LOCK Tristate the JTAG port 23
SYStem.MemAccess Run-time memory access (non-intrusive) 24
SYStem.Mode Establish the communication with the target 25
SYStem.Option Address32 Define address format display 25
SYStem.Option IMASKASM Disable interrupts while single stepping 26
SYStem.state Display SYStem window 26
Target Adaption ................................................................................................................. 27
Connector Type and Pinout 27
Support ............................................................................................................................... 29
Available Tools 29
Compilers 29
Products ............................................................................................................................. 30
Product Information 30
Order Information 30
RX Debugger
RX Debugger .......................................................................................... (debugger_rx.pdf) 1
General Note ...................................................................................................................... 3
Brief Overview of Documents for New Users ................................................................. 3
Warning .............................................................................................................................. 4
Application Note ................................................................................................................ 5
Location of Debug Connector 5
Reset Line 5
Enable Debug Mode 6
Enable AUD Trace lines 6
Quick Start JTAG ............................................................................................................... 7
Troubleshooting ................................................................................................................ 9
TRACE32 Directory 343 ©1989-2017 Lauterbach GmbH
SYStem.Up Errors 9
Trace Errors 10
FAQ ..................................................................................................................................... 11
Configuration ..................................................................................................................... 12
System Overview 12
General System Settings .................................................................................................. 13
SYStem.CONFIG Configure debugger according to target topology 13
SYStem.CONFIG.CORE Assign core to TRACE32 instance 17
SYStem.CPU CPU type selection 18
SYStem.CpuAccess Run-time memory access (intrusive) 18
SYStem.JtagClock JTAG clock selection 19
SYStem.LOCK JTAG lock 19
SYStem.MemAccess Real-time memory access (non-intrusive) 20
SYStem.Mode System mode selection 21
SYStem.Option BigEndian Define byte order (endianness) 21
SYStem.Option IMASKASM Interrupt disable 22
SYStem.Option IMASKHLL Interrupt disable 22
SYStem.Option KEYCODE Keycode 22
SYStem.RESetOut Reset target without reset of debug port 22
Breakpoints ........................................................................................................................ 23
Software Breakpoints 23
On-chip Breakpoints 23
Breakpoint in ROM 24
Example for Breakpoints 24
TrOnchip Commands ........................................................................................................ 25
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 25
TrOnchip.RESet Set on-chip trigger to default state 25
TrOnchip.SEQ Sequential breakpoints 26
TrOnchip.state Display on-chip trigger window 26
Memory Classes ................................................................................................................ 27
Trace ................................................................................................................................... 28
AUD-Trace 28
SYStem.Option AUDBT AUD branch trace enable 29
SYStem.Option AUDDT AUD data trace enable 29
SYStem.Option AUDRTT AUD real time trace enable 29
SYStem.Option AUDClock AUD clock select 29
On-chip Trace 30
Onchip.Mode.ProgramTrace Program flow trace enable 30
Onchip.Mode.DataTrace Data trace enable 30
On-chip Performance Analysis ........................................................................................ 31
TRACE32 Directory 344 ©1989-2017 Lauterbach GmbH
Runtime Measurement ...................................................................................................... 32
JTAG Connector ................................................................................................................ 33
AUD Trace Connector ....................................................................................................... 34
Support ............................................................................................................................... 35
Available Tools 35
Compilers 35
Target Operating Systems 35
3rd Party Tool Integrations 36
Products ............................................................................................................................. 37
Product Information 37
Order Information 37
StarCore
StarCore Debugger and Trace .................................................. (debugger_starcore.pdf) 1
General Note ...................................................................................................................... 5
Brief Overview of Documents for New Users ................................................................. 5
Warning .............................................................................................................................. 6
Quick Start ......................................................................................................................... 7
Troubleshooting ................................................................................................................ 10
SYStem.Up Errors 10
Memory Access Errors 11
NEXUS Flow Errors and FIFO Overflow Messages 12
FAQ ..................................................................................................................................... 14
Configuration ..................................................................................................................... 15
General SYStem Settings and Restrictions .................................................................... 16
SYStem.Clock Setup core clock 16
SYStem.CONFIG.state Display target configuration 16
SYStem.CONFIG Configure debugger according to target topology 17
SYStem.CONFIG.CORE Assign core to TRACE32 instance 21
SYStem.CPU Select the used CPU 22
SYStem.CpuAccess Run-time memory access (intrusive) 22
SYStem.LOCK Lock and tristate the debug port 23
SYStem.MemAccess Real-time memory access (non-intrusive) 23
SYStem.Mode Establish the communication with the target 24
System Options 25
SYStem.Option BASE Sets the SUI base address 25
SYStem.Option DCFLUSH Data cache flush before step/run 25
SYStem.Option DTM Enables data trace messages 25
TRACE32 Directory 345 ©1989-2017 Lauterbach GmbH
SYStem.Option EnReset Allow the debugger to drive nRESET/nSRST 26
SYStem.Option EnTrst Allow debugger to drive TRST 26
SYStem.Option HalfRate Enable Nexus DDR mode 26
SYStem.Option ICFLUSH Instruction cache flush before step/run 27
SYStem.Option IMASKASM Disable interrupts while single stepping 27
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 27
SYStem.Option IPLDI Sets interrupt mask strategy 28
SYStem.Option LittleEnd Switches between endian modes 28
SYStem.Option MCKO Nexus output clock ratio 28
SYStem.Option MPU MPU disabled 29
SYStem.Option Nexus Nexus port width 29
SYStem.Option OCEBASE Base address for OnCE registers 29
SYStem.Option OCECORE OnCE selection 30
SYStem.Option OVC Trace message overrun control 30
SYStem.Option PTM Enables program trace messages 30
SYStem.Option SAMPLE Adjust NEXUS sample point 31
SYStem.Option SLOWPOLL Change timing of JTAG during runtime 31
SYStem.Option SLOWRESET Expand reset time for additional reset module 31
SYStem.Option VBA Set up VBA value for analysis 32
SYStem.Option WaitReset Halt the core after reset 33
SYStem.Option WATCHDOG Enable WATCHDOG 34
SYStem.Option WEN Enables watchpoint trace messages 34
SYStem.JtagClock Define JTAG clock 35
CPU specific MMU Commands ........................................................................................ 38
MMU.DUMP Page wise display of MMU translation table 38
BenchMarkCounter ........................................................................................................... 39
TrOnchip ............................................................................................................................ 40
TrOnchip Control of on-chip resources 43
TrOnchip.CONVert Automatically convert range to single address 44
TrOnchip.REGister Shows custom on-chip trigger registers 44
TrOnchip.RESet Set on-chip trigger to default state 44
TrOnchip.VarCONVert Automatically convert range to single address 44
TrOnchip.state Opens configure panel 45
On-chip Trace .................................................................................................................... 46
Onchip.Mode Select mode to control trace buffer and contents 46
Onchip.VTBA Set the destination address of the onchip trace 47
General Restrictions ......................................................................................................... 48
Floating Point Formats ..................................................................................................... 49
Integer Access Keywords ................................................................................................. 49
File I/O Support .................................................................................................................. 50
Metrowerks MSLIO Support 50
TRACE32 Directory 346 ©1989-2017 Lauterbach GmbH
JTAG Connection .............................................................................................................. 51
Mechanical Description of the 20-pin Debug Cable 51
Electrical Description of the 20-pin Debug Cable 52
JTAG Connector 14-pin 53
Memory Classes ................................................................................................................ 55
Support ............................................................................................................................... 56
Available Tools 56
Compilers 57
Target Operating Systems 57
3rd Party Tool Integrations 57
Products ............................................................................................................................. 58
Product Information 58
Order Information 59
StarCore Application Note ...................................................................................................
StarCore Application Note for MXC Chips ............................. (starcore_mxc_app.pdf) 1
NEXUS Preprocessors ................................................................................................... 2
Basic NEXUS Handling .................................................................................................. 3
Settings of the SYStem Window 3
Trigger Settings 8
Further NEXUS Trace Analysis ..................................................................................... 10
Display of the T-Bit in the Trace.List Window 10
OS Kernel related Trace Analysis 11
Benchmark Counter Analysis using DPU Counters 13
SuperH
SH2, SH3 and SH4 Debugger ............................................................ (debugger_sh4.pdf) 1
General Note ...................................................................................................................... 5
Brief Overview of Documents for New Users ................................................................. 5
Warning .............................................................................................................................. 6
Application Note ................................................................................................................ 7
Location of Debug Connector 7
Reset Line 7
Enable JTAG Mode SH2 8
Enable JTAG Mode SH3 8
SH7710/12 Solution Engine 8
Enable AUD Trace lines of SH7760 8
Memory Mapping of SH7615/ SH7616 BusControlRegisters 8
Enable 8-bit AUD Trace Interface of SH4-202 9
TRACE32 Directory 347 ©1989-2017 Lauterbach GmbH
Quick Start JTAG ............................................................................................................... 10
Troubleshooting ................................................................................................................ 12
SYStem.Up Errors 12
Trace Errors 13
FAQ ..................................................................................................................................... 14
Configuration ..................................................................................................................... 15
System Overview 15
General System Settings .................................................................................................. 16
SYStem.CONFIG.state Display target configuration 16
SYStem.CONFIG Configure debugger according to target topology 17
SYStem.CONFIG.CORE Assign core to TRACE32 instance 21
SYStem.CPU CPU type selection 22
SYStem.CpuAccess Run-time memory access (intrusive) 22
SYStem.JtagClock JTAG clock selection 23
SYStem.LOCK JTAG lock 23
SYStem.MemAccess Real-time memory access (non-intrusive) 24
SYStem.Mode System mode selection 25
SYStem.Option EnReset Allow the debugger to drive nRESET 25
SYStem.Option HOOK Compare PC to hook address 26
SYStem.Option IMASKASM Interrupt disable 26
SYStem.Option IMASKHLL Interrupt disable 26
SYStem.Option JtagWait JTAG wait enable 26
SYStem.Option KEYCODE Keycode SH7144/45 27
SYStem.Option MMUSPACES Enable space IDs 27
SYStem.Option NoRunCheck No check of the running state 28
SYStem.Option SLOWRESET Slow reset enable 28
SYStem.Option SOFTLONG Use LONG access for softbreak patching 29
SYStem.Option SOFTSLOT Prevent softbreak in slot-instruction 29
SYStem.Option STEPSOFT Use software breakpoints for ASM stepping 29
SYStem.Option LittleEnd Selection of little endian mode 29
SYStem.RESetOut Reset target without reset of debug port 30
SYStem.Option VBR Vector base address (SH3/4 only) 30
Multicore Debugging 30
Breakpoints ........................................................................................................................ 31
Software Breakpoints 31
On-chip Breakpoints 31
On-chip Breakpoints SH7047, SH7144, SH7145 32
On-chip Breakpoints SH72513 32
Breakpoint in ROM 33
Example for Breakpoints 33
CPU specific BenchMarkCounter Commands ................................................................ 34
TRACE32 Directory 348 ©1989-2017 Lauterbach GmbH
BMC.<counter>.ATOB Advise counter to count within AB-range 34
BMC.SnoopSet Assign event counter to TRACE32 ‘SnooperTrace’ 34
TrOnchip Commands ........................................................................................................ 38
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 38
TrOnchip.IOB I/O breakpoints (SH4, ST40) 38
TrOnchip.LDTLB LDTLB breakpoints 38
TrOnchip.A.IBUS I-bus breakpoints (SH2A) 39
TrOnchip.RESet Set on-chip trigger to default state 39
TrOnchip.RPE Reset sequential trigger on reset point 39
TrOnchip.SEQ Sequential breakpoints (SH4, ST40) 40
TrOnchip.SIZE Trigger on byte, word, long memory accesses 40
TrOnchip.state Display on-chip trigger window 40
CPU specific MMU Commands ........................................................................................ 41
MMU.DUMP Page wise display of MMU translation table 41
MMU.List Compact display of MMU translation table 42
MMU.SCAN Load MMU table from CPU 43
Memory Classes and Cache Handling ............................................................................ 45
Memory Classes (SH2) 45
Memory Classes (SH3, SH4, ST40) 45
Cache Handling(SH3, SH4, ST40) 46
SYStem Commands .......................................................................................................... 47
SYStem.Option ICFLUSH Cache invalidation option 47
SYStem.Option DCFREEZE Freeze data cache contents 47
SYStem.Option DCCOPYBACK Cache copy back 47
SYStem.Option ICREAD Cache read option 47
SYStem.Option DCREAD Cache read option 48
Trace ................................................................................................................................... 49
FIFO Trace (SH2A, SH3, SH4, ST40) 49
SYStem.Option FIFO FIFO trace configuration 49
LOGGER Trace (SH4, ST40, SH7705) 50
AUD-Trace (SH2A, SH4, ST40) 51
SYStem.Option AUDBT AUD branch trace enable 52
SYStem.Option AUDDT AUD data trace enable 52
SYStem.Option AUDRTT AUD real time trace enable 52
SYStem.Option AUDClock AUD clock select 52
SYStem.Option AUD8 AUD 8-bit enable 53
AUD-Trace (SH3) 54
SYStem.Option AUDRTT AUD real time trace enable 54
SYStem.Option AUDClock AUD clock select 54
On-chip Trace SH2A 55
Onchip.Mode.MBusTrace Mbus trace enable 55
Onchip.Mode.IBusTrace Ibus trace enable 56
TRACE32 Directory 349 ©1989-2017 Lauterbach GmbH
Onchip.Mode.ProgramTrace Program flow trace enable 56
Onchip.Mode.DataReadTrace Data read trace enable 56
Onchip.Mode.DataWriteTrace Data write trace enable 57
On-chip Performance Analysis (SH4, ST40) ................................................................... 58
TrOnchip.PMCTRx Performance counter configuration 58
Runtime Measurement ...................................................................................................... 60
JTAG Connector ................................................................................................................ 61
AUD Trace Connector ....................................................................................................... 62
Support ............................................................................................................................... 63
Available Tools 63
Compilers 65
Target Operating Systems 66
3rd Party Tool Integrations 66
Products ............................................................................................................................. 68
Product Information 68
Order Information 69
SH2 Monitor ............................................................................................. (monitor_sh.pdf) 1
Brief Overview of Documents for New Users ................................................................. 4
Warning .............................................................................................................................. 5
Quick Start of the ESI ROM-Monitor ................................................................................ 6
Quick Start of the Serial ROM-Monitor ............................................................................ 8
Troubleshooting ................................................................................................................ 10
FAQ ..................................................................................................................................... 10
Basics ................................................................................................................................. 11
Monitor Features 11
Hardware Breakpoints 11
Monitor Files 11
Address Layout 12
Vector Table 12
Interrupt Priority 13
Configuration 13
Specific System Commands ............................................................................................ 14
SYStem.CPU CPU type 14
SYStem.CpuAccess Run-time memory access (intrusive) 14
SYStem.MemAccess Real-time memory access (non-intrusive) 15
SYStem.Mode Establish the communication with the CPU 15
SYStem.Option IMASKASM Disable interrupts while single stepping 16
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 16
TRACE32 Directory 350 ©1989-2017 Lauterbach GmbH
SYStem.Option LittleEnd Selection of little endian mode 16
SYStem.Option MMUSPACES Enable space IDs 16
SYStem.Option SOFTLONG Use LONG access for softbreak patching 17
SYStem.Option SOFTSLOT Prevent softbreak in slot-instruction 18
SYStem.Option STEPSOFT Use software breakpoints for ASM stepping 18
SYStem.PORT Set communication parameters 18
SYStem.RESetOut Reset target without reset of debug port 18
TrOnchip Commands ........................................................................................................ 20
TrOnchip.RESet Set on-chip trigger to default state 20
TrOnchip.SEQ Sequential breakpoints 20
TrOnchip.state Display on-chip trigger window 21
CPU specific MMU Commands ........................................................................................ 22
MMU.DUMP Page wise display of MMU translation table 22
MMU.List Compact display of MMU translation table 23
MMU.SCAN Load MMU table from CPU 24
General Settings and Restrictions ................................................................................... 26
General Restrictions 26
Memory Classes ................................................................................................................ 27
Support ............................................................................................................................... 28
Available Tools 28
Compilers 28
Target Operating Systems 29
3rd Party Tool Integrations 29
Products ............................................................................................................................. 31
Product Information 31
Order Information 31
TI DSPs
TMS320C2X Debugger ................................................................... (debugger_c2000.pdf) 1
Brief Overview of Documents for New Users ................................................................. 3
Converter from GEL to PRACTICE .................................................................................. 3
Warning .............................................................................................................................. 4
DSP specific Implementations ......................................................................................... 5
Trigger 5
Memory Classes 5
DSP specific SYStem Commands ................................................................................... 6
SYStem.BdmClock Define JTAG frequency 6
SYStem.CONFIG.state Display target configuration 6
SYStem.CONFIG Configure debugger according to target topology 7
TRACE32 Directory 351 ©1989-2017 Lauterbach GmbH
SYStem.CPU Select the used CPU 43
SYStem.CpuAccess Run-time memory access (intrusive) 44
SYStem.JtagClock Define JTAG frequency 45
SYStem.LOCK Tristate the JTAG port 46
SYStem.MemAccess Real-time memory access (non-intrusive) 47
SYStem.Mode Establish the communication with the target 47
SYStem.Option IMASKASM Disable interrupts while single stepping 49
SYStem.Option DAPDBGPWRUPREQ Force debug power in DAP 49
SYStem.Option DAPSYSPWRUPREQ Force system power in DAP 50
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 50
SYStem.RESetOut Reset target without reset of debug port 51
TrOnchip Commands ........................................................................................................ 52
TrOnchip.state Display on-chip trigger window 52
TrOnchip.RESet Set on-chip trigger to default state 52
JTAG Connection .............................................................................................................. 53
Mechanical Description of the 20-pin Debug Cable 53
Electrical Description of the 20-pin Debug Cable 54
Mechanical Description of the TI Connector 55
Electrical Description of the TI Connector 55
FAQ ..................................................................................................................................... 56
Operation Voltage ............................................................................................................. 58
Support ............................................................................................................................... 59
Available Tools 59
Compilers 60
3rd Party Tool Integrations 61
Target Operating Systems 62
Products ............................................................................................................................. 63
Product Information 63
Order Information 64
TMS320C5X Debugger ................................................................... (debugger_c5500.pdf) 1
Brief Overview of Documents for New Users ................................................................. 3
Converter from GEL to PRACTICE .................................................................................. 3
Warning .............................................................................................................................. 4
DSP specific Implementations ......................................................................................... 5
Trigger 5
Memory Classes 5
DSP specific SYStem Commands ................................................................................... 6
SYStem.Option IMASKASM Disable interrupts while single stepping 6
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 6
TRACE32 Directory 352 ©1989-2017 Lauterbach GmbH
SYStem.BdmClock Define JTAG frequency 6
SYStem.CPU Select the used CPU 6
SYStem.CpuAccess Run-time memory access (intrusive) 7
SYStem.JtagClock Define JTAG frequency 8
SYStem.MemAccess Run-time memory access 9
SYStem.Mode Establish the communication with the target 10
SYStem.CONFIG.state Display target configuration 10
SYStem.CONFIG Configure debugger according to target topology 11
SYStem.Option DAPDBGPWRUPREQ Force debug power in DAP 47
SYStem.Option DAPSYSPWRUPREQ Force system power in DAP 48
SYStem.Option ICEPICKONLY Only ICEPick registers accessible 49
SYStem.Option OVERLAY Enable overlay support 49
SYStem.Option TargetServer Use target server from TI 50
SYStem.Option TURBO Use DMA for write accesses 50
SYStem.RESetOut Reset the DSP 50
SYStem.Option CToolsDecoder Use TI’s trace decoder software 51
SYStem.Option CToolsNoSync CToolsNoSync 51
CPU specific BenchMarkCounter Commands ................................................................ 52
BMC.<counter>.ATOB Advise counter to count within AB-range 52
BMC.<counter>.EVENT Assign event to counter 53
TrOnchip Commands ........................................................................................................ 54
TrOnchip.state Display on-chip trigger window 54
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 54
C55X specific TrOnchip Commands ............................................................................... 55
TrOnchip.ATOB Activate on-chip breakpoints in AB-range 55
TrOnchip.BMCTR Configure the benchmark counter 55
TrOnchip.CLOCK Set the clock for the benchmark counter 59
TrOnchip.PROfile Display the benchmark data 59
TrOnchip.RESet Set on-chip trigger to default state 59
TrOnchip.VarCONVert Adjust complex breakpoint in on-chip resource 59
JTAG Connection .............................................................................................................. 60
Mechanical Description of the 20-pin Debug Cable 60
Electrical Description of the 20-pin Debug Cable 61
Mechanical Description of the 14-pin Debug Cable 62
Electrical Description of the 14-pin Debug Cable 62
Mechanical Description of the TI Connector 63
FAQ ..................................................................................................................................... 64
Operation Voltage ............................................................................................................. 65
Support ............................................................................................................................... 66
Available Tools 66
Compilers 66
TRACE32 Directory 353 ©1989-2017 Lauterbach GmbH
Target Operating Systems 67
3rd Party Tool Integrations 67
Products ............................................................................................................................. 69
Product Information 69
Order Information 69
TMS320C6X Debugger ................................................................... (debugger_c6000.pdf) 1
Brief Overview of Documents for New Users ................................................................. 3
Converter from GEL to PRACTICE .................................................................................. 3
Warning .............................................................................................................................. 4
DSP specific Implementations ......................................................................................... 5
Trigger 5
Memory Classes 5
DSP specific SYStem Commands ................................................................................... 6
SYStem.BdmClock Define JTAG frequency 6
SYStem.CONFIG.state Display target configuration 6
SYStem.CONFIG Configure debugger according to target topology 7
SYStem.CPU Select the used CPU 43
SYStem.CpuAccess Run-time memory access (intrusive) 44
SYStem.JtagClock Define JTAG frequency 45
SYStem.LOCK Tristate the JTAG port 46
SYStem.MemAccess Real-time memory access (non-intrusive) 46
SYStem.Mode Establish the communication with the target 47
SYStem.Option IMASKASM Disable interrupts while single stepping 48
SYStem.Option DAPDBGPWRUPREQ Force debug power in DAP 48
SYStem.Option DAPSYSPWRUPREQ Force system power in DAP 49
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 49
SYStem.RESetOut Reset target without reset of debug port 50
C64x+ specific SYStem Commands ................................................................................ 51
SYStem.CONFIG Configure debugger according to target topology 51
SYStem.Option TargetServer Use target server from Texas Instruments 51
SYStem.Option TURBO Use DMA for write accesses 51
CPU specific BenchMarkCounter Commands ................................................................ 52
BMC.<counter>.ATOB Advise counter to count within AB-range 52
TrOnchip Commands ........................................................................................................ 53
TrOnchip.state Display on-chip trigger window 53
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 53
TrOnchip.RESet Set on-chip trigger to default state 53
TrOnchip.VarCONVert Adjust complex breakpoint in on-chip resource 54
JTAG Connection .............................................................................................................. 55
Mechanical Description of the 20-pin Debug Cable 55
TRACE32 Directory 354 ©1989-2017 Lauterbach GmbH
Electrical Description of the 20-pin Debug Cable 56
Mechanical Description of the TI Connector 57
Electrical Description of the TI Connector 57
FAQ ..................................................................................................................................... 58
Operation Voltage ............................................................................................................. 59
Support ............................................................................................................................... 60
Available Tools 60
Compilers 63
Target Operating Systems 63
3rd Party Tool Integrations 64
Products ............................................................................................................................. 65
Product Information 65
Order Information 65
TriCore
TriCore Debugger and Trace ........................................................ (debugger_tricore.pdf) 1
Brief Overview of Documents for New Users ................................................................. 7
Safety Precautions ............................................................................................................ 8
Introduction ....................................................................................................................... 9
Available Tools 9
Software Installation 11
Configuration 11
Related Documents 12
Demo and Start-up Scripts 12
OCDS Levels 13
Debugging .......................................................................................................................... 14
Single-Core Debugging (AUDO) 15
Multicore Debugging (AURIX) 17
Access Classes 24
Breakpoints 25
Single Stepping 27
Flash 28
Onchip Triggers (TrOnchip Window) 30
BenchMarkCounter 31
Watchpins 35
Cache Access 39
Debugging while Sharing the Debug Port with a 3rd Party Tool 41
Debugging an Application with the Memory Protection Unit Enabled 43
Debugging through Resets and Power Cycles 45
Internal Break Bus (JTAG) 49
TRACE32 Directory 355 ©1989-2017 Lauterbach GmbH
Troubleshooting 50
FAQ 52
Tracing ............................................................................................................................... 60
On-chip Trace (OCDS-L3) 60
Command Reference ........................................................................................................ 67
Analyzer.Mode PCP Select PCP trace 67
About the BMC Commands 67
BMC.state Display BMC configuration window 67
BMC.CLOCK Provide core clock for cycle counter 69
BMC.<counter>.ATOB Control A-to-B mode 69
About the SYStem.CONFIG Commands 70
SYStem.CONFIG.state Display target configuration 70
SYStem.CONFIG Configure debugger according to target topology 71
SYStem.CONFIG.CORE Assign core to TRACE32 instance 75
SYStem.CONFIG BreakPIN Define mapping of break pins 76
SYStem.CONFIG DAP Configure DAP interface 77
SYStem.CONFIG DAP.BreakPIN Define mapping of break pins 77
SYStem.CONFIG DAP.DAPENable Enable DAP mode on PORST 77
SYStem.CONFIG DAP.USERn Configure and set USER pins 78
SYStem.CONFIG.DEBUGPORT Select target interface 79
SYStem.CONFIG.DEBUGPORTTYPE Set debug cable interface mode 79
SYStem.CONFIG DXCPL Configure DXCPL 80
SYStem.CONFIG DXCPL.Timing Configure SPD timing for DXCPL 80
SYStem.CONFIG.EXTWDTDIS Disable external watchdog 80
SYStem.CONFIG PortSHaRing Control sharing of debug port with other tool 81
SYStem.CPU Select CPU 81
SYStem.CpuAccess Run-time CPU access (intrusive) 82
SYStem.JtagClock Set the JTAG frequency 82
SYStem.LOCK Tristate the JTAG port 83
SYStem.MemAccess Run-time memory access (non-intrusive) 84
SYStem.Mode Establish the communication with the CPU 85
SYStem.Option CPU specific commands 86
SYStem.Option BREAKFIX Enable workaround for asynchronous breaking 86
SYStem.Option DCFREEZE Do not invalidate cache 86
SYStem.Option DCREAD Read from data cache 86
SYStem.Option DUALPORT Run-time memory access for all windows 87
SYStem.Option DataTrace Enable data tracing 87
SYStem.Option ETK Debugging together with ETK from ETAS 88
SYStem.Option HeartBeat Bug fix to avoid FPI bus conflict 88
SYStem.Option ICFLUSH Flush instruction cache at 'Go' or 'Step' 89
SYStem.Option IMASKASM Disable interrupts while single stepping 89
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 89
SYStem.Option INTSTART Start address of interrupt routines 90
TRACE32 Directory 356 ©1989-2017 Lauterbach GmbH
SYStem.Option INTUSE Number of implemented interrupts 90
SYStem.Option JTAGENSEQ Use JTAG initialization sequence 90
SYStem.Option KEYCODE Set debug interface password 91
SYStem.Option LBIST LBIST gap handling 91
SYStem.Option OCDSELOW Set OCDS line to low 92
SYStem.Option OVC Enable OVERLAY memory access 93
SYStem.Option PERSTOP Enable global peripheral suspend 93
SYStem.Option PMILBFIX Enable PMI line buffer invalidation workaround 93
SYStem.Option PostResetDELAY Delay after RESET is released 95
SYStem.Option ReadOnly Block all write accesses 95
SYStem.Option RESetBehavior Set behavior when a reset occurs 96
SYStem.Option ResetDetection Set how hard resets are detected 96
SYStem.Option RESetTMS State of TMS line at reset 97
SYStem.Option SOFTLONG Set 32 bit software breakpoints 97
SYStem.Option STEPONCHIP Step with onchip breakpoints 97
SYStem.Option STEPSOFT Step with software breakpoints 98
SYStem.Option TB1766FIX Bug fix for some TC1766 TriBoards 98
SYStem.Option TC1796FIX Bug fix for disabling the watchdog 99
SYStem.Option TC19XXFIX Bug fix required for some TC19XX derivatives 99
SYStem.Option TRAPSTART Start address of trap vectors 100
SYStem.Option WATCHDOGFIX Disables the watchdog on SYStem.Up 100
SYStem.Option WDTSUS Link the watchdog timer to the suspend bus 100
SYStem.RESetOut In-target reset 101
SYStem.state Open SYStem.state window 101
CPU specific TrOnchip Commands 102
TrOnchip.BreakBusN.BreakIN Configure break pin of 'BreakBus N' 102
TrOnchip.BreakBusN.BreakOUT Configure break pin of 'BreakBus N' 102
TrOnchip.BreakIN.<target> Connect break <target> to BreakBus 103
TrOnchip.BreakOUT.<source> Connect break <source> to BreakBus 103
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 105
TrOnchip.CountX Event X counter value 105
TrOnchip.CountY Event Y counter value 105
TrOnchip.EXTernal Configure TriCore break on BreakBus event 106
TrOnchip.RESet Reset settings for the on-chip trigger unit 106
TrOnchip.SoftWare Configure 'TriCore' break on debug instruction 106
TrOnchip.SusSWitch Enable or disable suspend switch 107
TrOnchip.SusSWitch.FORCE Force generation of suspend signal 107
TrOnchip.SusSWitch.Mode Set suspend switch mode 107
TrOnchip.SusTarget Connect special targets to the suspend bus 108
TrOnchip.SYNCHRONOUS Switches mode for data breakpoints 108
TrOnchip.TCompress Trace data compression 108
TrOnchip.TDelay Trace trigger delay (obsolete) 109
TrOnchip.TExtMode Mode for external trigger input 109
TRACE32 Directory 357 ©1989-2017 Lauterbach GmbH
TrOnchip.TExtPol Polarity of external trigger input 109
TrOnchip.TMode Trace mode (obsolete) 109
TrOnchip.TR0 Specify trigger event 0 110
TrOnchip.TR1 Specify trigger event 1 111
TrOnchip.state Show on-chip trigger window 111
TrOnchip.X Select trigger source X 112
TrOnchip.Y Select trigger source Y 112
Technical Data ................................................................................................................... 113
JTAG Connector 113
Trace Connector 115
AMP 40 Connector 115
ERF8 22-pin Power.org Connector 116
Samtec 60 Connector 116
Technical Data for Debugger 118
Technical Data for Trace 119
Support 122
Products 128
Appendix ............................................................................................................................ 132
Parallel Off-chip Trace - OCDS-L2 Flow Trace (Analyzer) 132
MCDS User's Guide ..................................................................................(mcds_user.pdf) 1
Introduction ....................................................................................................................... 5
Intended Audience 5
How to read this Document 5
Related Documents 6
Background Information ................................................................................................... 7
Trace Source 7
Trace Sink 8
Trace Filter and Trigger 8
The Emulation Device Concept 9
TRACE32 Support for Emulation Devices ...................................................................... 11
Feature Overview 11
Target Interface 11
MCDS Licensing 12
MCDS Basic Features ....................................................................................................... 14
MCDS Concept 14
MCDS Configuration 16
Trace Control 21
Basic Trace Usage 23
Trace Decoding 41
MCDS Unlocking 48
MCDS Special Features .................................................................................................... 49
TRACE32 Directory 358 ©1989-2017 Lauterbach GmbH
Benchmark Counters 49
Trace Through Resets and Power Cycles 51
Special Trace Sources via OTGM 53
miniMCDS 67
OCTL Complex Trigger Programming ............................................................................. 69
OCTL Features 69
OCTL Example: Bus Trigger 69
Clock System ..................................................................................................................... 71
EEC Clock System 71
MCDS Clock System 75
MCDS Clock Configuration 78
Emulation Memory ............................................................................................................ 81
Background Information 81
EMEM Partitioning 82
AGBT High-speed Serial Trace ........................................................................................ 89
Background Information 89
Xilinx Aurora 90
Requirements 90
AGBT Configuration 94
Trace Streaming 94
Limitations and Restrictions 95
Advanced Emulation Device Access ............................................................................... 97
EEC Access 97
Guarded MCDS Programming 99
Example Scripts 102
Known Issues and Application Hints .............................................................................. 103
Missing Instructions 103
Invalid Program Trace at the Beginning of the Trace Recording 103
No Trace Content Displayed 103
FIFOFULL error 104
Concurrent Usage of Different Trace Methods 104
PCP Channel ID 105
Glossary ............................................................................................................................. 106
Infineon Glossary 106
Lauterbach Glossary 107
TriCore Application Notes ...................................................................................................
Application Note Debug Cable TriCore ....................................(tricore_app_ocds.pdf) 1
Introduction .................................................................................................................... 3
Debug Protocols ............................................................................................................. 4
JTAG 4
TRACE32 Directory 359 ©1989-2017 Lauterbach GmbH
DAP 5
Connector Standards and Signals ................................................................................ 7
Description of Signals 7
OCDS-L1 Connector 9
Automotive Debug Connector 10
CAN D-Sub Connector 11
Custom Connectors 11
Trace Connectors 13
Debug Cables ................................................................................................................. 14
OCDS Debug Cables 16
Debug Interface Configuration ...................................................................................... 23
Connecting using JTAG 23
Connecting using DAP over Dedicated Pins 24
Connecting using DXCPL/DXCM with DXCPL Box 25
Sharing the Debug Port between TRACE32 and 3rd-Party Tool 27
DAP User Pins 27
Break Pins 28
Controlling an External Watchdog 29
Adapters, Converters and Extensions ......................................................................... 30
Adapter 16-pin 100 mil to 50 mil 30
Converter 16-pin JTAG to DAP for TriCore/XC2000/XC800 31
Converter DXCPL Box for TriCore 32
Converter 16-pin JTAG to BOSCH MEDC17 for TriCore 33
Converter AUTO26/ OnCE14-PPC/ JTAG16-TC to ECU14 33
Converter JTAG16-TriCore to AUTO26 34
Converter AUTO26 to JTAG16-TriCore 34
Converter Samtec 60 to AMP 40 35
Converter 16-pin OCDS-L1 to Samtec 60 for TriCore 35
Converter 16-pin OCDS-L1/ 40-pin HSSTP to ERF8 for TriCore 36
Flex Extension for SAMTEC 60-pin QTH-QSH series 37
Flex Extension for SAMTEC 60-pin QTH-QSH series 37
Cable 26-pin for Debug Cable Automotive 38
Cable 20-pin for Debug Cable Automotive 38
Cable 10-pin for Debug Cable Automotive 39
Recommended Connectors ........................................................................................... 40
Standard 2x8 Connector 40
Half-size 2x8 Connector 40
Half-size 2x5 Connector 41
Half-size 2x5 Connector with Keying Pin 7 41
Half-size 2x10 Connector with Keying Pin 7 42
Half-size 2x13 Connector with Keying Pin 7 43
TFM 2x5 Connector 44
TRACE32 Directory 360 ©1989-2017 Lauterbach GmbH
AMP 40 Connector 44
ERF8 22-pin Power.org Connector 45
Samtec 60 Connector 45
TriCore On-Chip FLASH Programming ....................................(tricore_app_flash.pdf) 1
Introduction .................................................................................................................... 2
Test Strategy ................................................................................................................... 2
Flash Erase 2
Flash Programming 3
Flash Content Verification 4
Test Setup ....................................................................................................................... 5
CPU Setup ....................................................................................................................... 5
Test Cases ...................................................................................................................... 5
Test Results .................................................................................................................... 7
Hints ................................................................................................................................ 8
TriCore Monitor ................................................................................ (monitor_tricore.pdf) 1
Brief Overview of Documents for New Users ................................................................. 4
General Note ...................................................................................................................... 5
Quick Start of the TriCore Serial Monitor ........................................................................ 6
Troubleshooting ................................................................................................................ 7
FAQ ..................................................................................................................................... 7
Basics ................................................................................................................................. 8
Monitor Features 8
Monitor Files 8
Exceptions 9
Configuration 9
General SYStem Settings and Restrictions .................................................................... 10
SYStem.CPU CPU type 10
SYStem.CpuAccess Run-time memory access (intrusive) 10
SYStem.Down Disables monitor 11
SYStem.MemAccess Real-time memory access (non-intrusive) 11
SYStem.Mode Establish the communication with the CPU 12
SYStem.Option IMASKASM Disable interrupts while single stepping 13
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 13
TrOnchip ............................................................................................................................ 14
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 14
TrOnchip.VarCONVert Adjust complex breakpoint in on-chip resource 14
TrOnchip.RESet Set on-chip trigger to default state 14
TrOnchip.TEnable Set filter for the trace 15
TRACE32 Directory 361 ©1989-2017 Lauterbach GmbH
TrOnchip.TOFF Switch the sampling to the trace to OFF 15
TrOnchip.TON Switch the sampling to the trace to “ON” 15
Memory Classes ................................................................................................................ 16
Support ............................................................................................................................... 17
Available Tools 17
Compilers 17
Target Operating Systems 17
3rd Party Tool Integrations 18
Products ............................................................................................................................. 19
Product Information 19
Order Information 19
PCP Debugger Reference .................................................................. (debugger_pcp.pdf) 1
Warning .............................................................................................................................. 5
General Notes .................................................................................................................... 6
Brief Overview of Documents for New Users ................................................................. 6
PCP Debugger Implementations 7
Example Scripts 8
Related Documents 8
Quick Start ......................................................................................................................... 9
Quick Start for OCDS-L1 Debugger 9
Quick Start for Tracing with OCDS-L2 Trace (Analyzer) 12
Quick Start for Tracing with OCDS-L3 Trace (On-chip Trace) 14
OCDS-L1 Debugger ........................................................................................................... 15
Troubleshooting 15
Memory Classes 16
Breakpoints 17
OCDS Trace ....................................................................................................................... 18
OCDS-L2 Flow Trace (Analyzer) 18
OCDS-L3 On-chip Trace 20
Simple Trace Control ........................................................................................................ 21
Coupling of PCP and Host-core Debugger ..................................................................... 22
Modify TRACE32 configuration files 22
Start PowerView instances 22
Synchronous Break 22
Synchronous Step or Go 24
FAQ ..................................................................................................................................... 26
Commands ......................................................................................................................... 30
SYStem.BdmClock Define JTAG frequency 30
SYStem.CONFIG Configure debugger according to target topology 30
TRACE32 Directory 362 ©1989-2017 Lauterbach GmbH
SYStem.CONFIG.CORE Assign core to TRACE32 instance 34
SYStem.CONFIG PortSHaRing Control sharing of debug port with other tool 35
SYStem.CPU Select CPU 35
SYStem.CpuAccess Run-time CPU access (intrusive) 36
SYStem.JtagClock Set the JTAG frequency 37
SYStem.LOCK Tristate the JTAG port 38
SYStem.MemAccess Run-time memory access (non-intrusive) 39
SYStem.Mode Establish the communication with the CPU 40
SYStem.Option CPU specific commands 41
SYStem.Option BreakSignal Generate break signal 41
SYStem.Option CodeBASE PCODE base address 41
SYStem.Option CodeSIZE PCP PRAM size 41
SYStem.Option CPUREQ CPU request address 42
SYStem.Option DAC Disable all channels on break 42
SYStem.Option DIAG Diagnosis function 42
SYStem.Option DUALPORT Run-time memory access for all windows 43
SYStem.Option PramBASE PRAM base address 43
SYStem.Option PermanentBP Enable breakpoints when single stepping 43
SYStem.Option PSIZE PCP PRAM size 44
SYStem.Option RegBASE PCP configuration register base address 45
SYStem.Option SessKEYHIGH Key for unlocking on-chip trace memory 45
SYStem.Option SessKEYLOW Key for unlocking on-chip trace memory 45
SYStem.Option TB1766FIX Bug fix for some TC1766 TriBoards 46
CPU specific TriggerOnchip Commands ........................................................................ 47
Internal Break Bus (JTAG) 47
Trace Break Signals (OCDS-L2) 47
TrOnchip.BreakIN Connect break target PCP to BreakBus 48
TrOnchip.BreakOUT Connect break source PCP to BreakBus 48
TrOnchip.CONVert Not relevant for the PCP architecture 48
TrOnchip.RESet Reset settings for the on-chip trigger unit 48
TrOnchip.SusTarget Connect PCP to the suspend bus 49
CPU specific BenchMarkCounter Commands ................................................................ 50
BMC.<counter>.ATOB Advise counter to count within AB-range 50
JTAG Connector ................................................................................................................ 51
Trace Connector ................................................................................................................ 51
Support ............................................................................................................................... 52
Available Tools 52
Compilers 52
Target Operating Systems 52
3rd Party Tool Integrations 53
Products ............................................................................................................................. 54
TRACE32 Directory 363 ©1989-2017 Lauterbach GmbH
Product Information 54
Order Information 54
Debugging via Infineon DAS Server ................................................... (backend_das.pdf) 1
Introduction ....................................................................................................................... 2
Related Documents 2
Contacting Support 3
System Architecture ......................................................................................................... 4
PowerView System Configurations ................................................................................. 5
System Initialization via the TRACE32 PowerView GUI ................................................. 8
System Initialization via the TRACE32 Command Line ................................................. 10
Keep the graphical user interface responsive ................................................................ 12
Timing Adaption ................................................................................................................ 13
Command Reference ........................................................................................................ 14
SYStem.InfineonDAS Configure the InfineonDAS debug port 14
SYStem.InfineonDAS.CBSBUSNAME Bus access transactor 14
SYStem.InfineonDAS.CBSINSTRNAME Cerberus instruction transactor 14
SYStem.InfineonDAS.CONNECT Connect to DAS server 15
SYStem.InfineonDAS.DISCONNECT Disconnect from the server 15
SYStem.InfineonDAS.EXPLore Explore server interactively 16
SYStem.InfineonDAS.InfineonDAPNAME DAP transactor 17
SYStem.InfineonDAS.MODELNAME Select port instance 17
SYStem.InfineonDAS.SERVERCONFIG Configure server options 18
V850
V850 Debugger and Trace ............................................................... (debugger_v850.pdf) 1
General Note ...................................................................................................................... 4
Brief Overview of Documents for New Users ................................................................. 4
Warning .............................................................................................................................. 5
Application Note ................................................................................................................ 6
Location of Debug Connector 6
Reset Line 6
FLMD0 Line 7
Mask-Options of V850/Fx3, Cargate 8
Quick Start JTAG ............................................................................................................... 9
Troubleshooting ................................................................................................................ 12
SYStem.Up Errors 12
FAQ ..................................................................................................................................... 12
TRACE32 Directory 364 ©1989-2017 Lauterbach GmbH
Configuration ..................................................................................................................... 13
System Overview 13
General System Settings .................................................................................................. 14
SYStem.CONFIG.state Display target configuration 14
SYStem.CONFIG Configure debugger according to target topology 15
SYStem.CONFIG.CORE Assign core to TRACE32 instance 19
SYStem.CPU CPU type selection 20
SYStem.CpuAccess Run-time memory access (intrusive) 20
SYStem.JtagClock JTAG clock selection 21
SYStem.LOCK Lock and tristate the debug port 21
SYStem.MemAccess Memory access selection 21
SYStem.Mode System mode selection 22
SYStem.Option DIAG Activate more log messages 23
SYStem.Option IMASKASM Interrupt disable 23
SYStem.Option IMASKHLL Interrupt disable 23
SYStem.Option PERSTOP Disable cpu peripherals if stopped 23
Exception Lines Enable .................................................................................................... 24
SYStem.Option RESET Reset line enable 24
SYStem.Option STOP Stop line enable 24
SYStem.Option WAIT Wait line enable 24
SYStem.Option REQest Request line enable 25
SYStem.Option NMI0 NMI0 line enable 25
SYStem.Option NMI1 NMI1 line enable 25
SYStem.Option NMI2 NMI2 line enable 25
SYStem.Option CPINT CPINT line enable 26
Trace System Settings ...................................................................................................... 27
SYStem.Option BTM Branch trace message 27
SYStem.Option DTM Data trace message 28
SYStem.Option KEYCODE Keycode 28
SYStem.Option OPWIDTH Trace interface width 29
SYStem.Option STALL Trace STALL mode 30
SYStem.Option TCMODE Trace clock mode 30
Breakpoints ........................................................................................................................ 31
Software Breakpoints 31
On-chip Breakpoints 31
Breakpoint in ROM 32
Example for Breakpoints 32
TrOnchip Commands ........................................................................................................ 33
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 33
TrOnchip.RCU ROM-Correction breakpoints 34
TrOnchip.RESet Set on-chip trigger to default state 34
TrOnchip.Set Alignment Alignment error breakpoints 34
TRACE32 Directory 365 ©1989-2017 Lauterbach GmbH
TrOnchip.Set MissAlign Alignment error breakpoints 35
TrOnchip.SEQ Sequential breakpoints 35
TrOnchip.SIZE Trigger on byte, word, long memory accesses 36
TrOnchip.state Display on-chip trigger window 36
TrOnchip.VarCONVert Adjust complex breakpoint in on-chip resource 36
Memory Classes ................................................................................................................ 37
DataFlash: Memory Class 37
Trace ................................................................................................................................... 38
NBD Interface ..................................................................................................................... 39
Runtime Measurement ...................................................................................................... 40
JTAG Connector ................................................................................................................ 41
Connector 20 pin 100mil /NWire 41
Trace Connector ................................................................................................................ 42
Connector MICTOR/N-Wire and Trace 42
Connector KEL/N-Wire and Trace 43
NBD Connector .................................................................................................................. 44
Support ............................................................................................................................... 45
Available Tools 45
Compilers 55
Target Operating Systems 56
3rd Party Tool Integrations 56
Products ............................................................................................................................. 57
Product Information 57
Order Information 58
x86
Intel® x86/x64 Debugger .................................................................... (debugger_x86.pdf) 1
Brief Overview of Documents for New Users ................................................................. 7
Welcome Dialog 7
Help Menu 8
Further Documents 9
Warning .............................................................................................................................. 11
Quick Start ......................................................................................................................... 12
Troubleshooting ................................................................................................................ 14
FAQ ..................................................................................................................................... 15
x86 Specific Implementations .......................................................................................... 17
Tool Identification 17
TRACE32 Directory 366 ©1989-2017 Lauterbach GmbH
Onchip Breakpoints 17
Breakpoints after Reset/Power Cycle 18
Access Classes 19
Memory Model 30
Segmentation 31
Platform Controller Hub (PCH) 32
Slave Core Debugging 34
CPU specific JTAG.CONFIG Commands ........................................................................ 37
JTAG.CONFIG Electrical characteristics of MIPI-60 debug signals 37
JTAG.CONFIG.DRiVer Set slew rate of JTAG signals 37
JTAG.CONFIG.PowerDownTriState Automatically tristate outputs 38
JTAG.CONFIG.TDOEdge Select TCK edge 38
JTAG.CONFIG.Voltage.HooKTHreshold Set hook threshold voltages 38
JTAG.CONFIG.Voltage.REFerence Set reference voltage source 39
JTAG.CONFIG.Voltage.THreshold Set JTAG threshold voltages 39
CPU specific SYStem.DETECT Commands .................................................................... 40
SYStem.DETECT CLTapchain Show SOC IDs of SOC slave cores 40
SYStem.DETECT CORES Detect core/thread number 40
SYStem.DETECT HyperThreads Detect hyper thread status 40
SYStem.DETECT TARGET Full automatic board setup 41
SYStem.DETECT TOPOlogy Detect board topology 42
SYStem Settings ................................................................................................................ 43
SYStem.CONFIG.state Display target configuration 43
SYStem.CONFIG Configure debugger according to target topology 44
SYStem.CONFIG PCH Select the target PCH 46
SYStem.CORESTATES Core states overview 47
SYStem.CPU Select the target CPU/SOC 48
SYStem.CpuAccess Run-time memory access (intrusive) 48
SYStem.JtagClock Define JTAG clock 49
SYStem.LOCK Tristate the JTAG port 49
SYStem.MemAccess Real-time memory access (non-intrusive) 50
SYStem.Mode Establish the communication with the target 50
SYStem.Option Address32 Use 32 bit address display only 51
SYStem.Option BIGREALmode Enable Big Real mode handling 52
SYStem.Option BranchSTEP Enables branch stepping 52
SYStem.Option BreakDELAY Set max. break delay 53
SYStem.Option C0Hold Hold CPU in C0 state 53
SYStem.Option IGnoreDEbugReDirections Ignore debug redirections 53
SYStem.Option IGnoreSOC Ignore SoC TAP chain structure 54
SYStem.Option IGnoreSWBPReDirections Ignore SW BP redirections 54
SYStem.Option IMASKASM Disable interrupts while single stepping 54
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 55
TRACE32 Directory 367 ©1989-2017 Lauterbach GmbH
SYStem.Option InstrSUBmitFOrcePHYSicalPRDY Use physical PRDY 55
SYStem.Option InstrSUBmitIGnorePHYSicalPRDY Ignore physical PRDY 55
SYStem.Option InstrSUBmitTimeout Timeout for instruction submission 55
SYStem.Option IntelSOC Slave core is part of Intel® SoC 56
SYStem.Option JTAGDirectCPU JTAG directly to CPU TAPs 56
SYStem.Option JTAGOnly Use only JTAG signals 57
SYStem.Option MACHINESPACES Address extension for guest OSes 57
SYStem.Option MEMoryMODEL Define memory model 58
SYStem.Option MMUSPACES Enable space IDs 61
SYStem.Option MultiCoreWhiskers Server board whisker setup 62
SYStem.Option NoDualcoreModule Disable dualcore module support 62
SYStem.Option NoHyperThread Disable HyperThreading support 62
SYStem.Option NoReBoot Disable watchdog causing reboot 63
SYStem.Option OSWakeupTIME Set the OS wake up time 63
SYStem.Option PreserveDRX Preserve DRx resources 63
SYStem.Option PreserveLBR Preserve LBR resources 64
SYStem.Option ProbeModeNOSaveRestore No save/restore 64
SYStem.Option ProbeModeONDEmand On demand save/restore 64
SYStem.Option PWRCycleTime Set power cycle time 65
SYStem.Option PWROFFTime Set power off assertion time 65
SYStem.Option PWRONTime Set power on assertion time 65
SYStem.Option PWRONWaitTime Set power on time 65
SYStem.Option ReArmBreakPoints Rearm breakpoints on reset 66
SYStem.Option RESetDELAY Set reset delay 66
SYStem.Option RESetDetection Select reset detection source 66
SYStem.Option RESetMode Select reset method 67
SYStem.Option RESetTIME Set reset assertion time 67
SYStem.Option RESetWaitTIME Set reset input wait time 68
SYStem.Option S0Hold Hold SoC in S0 state 68
SYStem.Option SOFTLONG Use 32-bit access to set SW breakpoint 68
SYStem.Option STandBYAttach In standby mode, only attach to target 69
SYStem.Option STandBYAttachDELAY Delay after standby 69
SYStem.Option STepINToEXC Step into interrupt or exception handler 69
SYStem.Option TOPOlogy Select server board topology 70
SYStem.Option WatchDogWaitTIME Set the reset watch dog time 70
SYStem.Option WHISKER Select a whisker 70
SYStem.Option ZoneSPACES Enable symbol management for zones 71
SYStem.POWER Control target power 74
SYStem.StuffInstruction Submit instruction to CPU in probe mode 74
SYStem.StuffInstructionRead Submit instruction and read 74
Command Groups for Special Registers ........................................................................ 75
CPU specific MMU Commands ........................................................................................ 76
MMU.DUMP Page wise display of MMU translation table 76
TRACE32 Directory 368 ©1989-2017 Lauterbach GmbH
MMU.GDT Display GDT descriptor table 77
MMU.IDT Display IDT descriptor table 77
MMU.LDT Display LDT descriptor table 77
MMU.List Compact display of MMU translation table 78
MMU.SCAN Load MMU table from CPU 79
MMU.Set Set MMU register 80
MMU.view Display all segment and descriptor registers 80
Onchip Triggers ................................................................................................................. 81
TrOnchip.CONVert Adjust range breakpoint in onchip registers 81
TrOnchip.PrintList Print possible onchip triggers 81
TrOnchip.RESet Reset settings to defaults 81
TrOnchip.Set Break on event 82
TrOnchip.Set BootStall Enter bootstall 82
TrOnchip.Set C6Exit Break on C6 exit 83
TrOnchip.Set ColdRESet Break on cold reset 84
TrOnchip.Set CpuBootStall Enter CPU bootstall 84
TrOnchip.Set ENCLU Break on ENCLU event 84
TrOnchip.Set GeneralDetect Break on general detect 85
TrOnchip.Set INIT Break on init 85
TrOnchip.Set MachineCheck Break on machine check 85
TrOnchip.Set RESet Break on target reset 85
TrOnchip.Set ShutDown Break on shutdown 86
TrOnchip.Set SMMENtry Break on SMM entry 86
TrOnchip.Set SMMEXit Break on SMM exit 86
TrOnchip.Set SMMINto Step into SMM when single stepping 86
TrOnchip.Set TraceHub Enter/leave trace hub break 87
TrOnchip.Set VMENtry Break on VM entry 87
TrOnchip.Set VMEXit Break on VM exit 88
TrOnchip.state Display onchip trigger window 90
CPU specific Events for the ON and GLOBALON Command ....................................... 91
CPU specific BenchmarkCounter Commands ............................................................... 92
BMC.<counter> Select BMC event to count 92
BMC.<counter>.COUNT Select count mode for BMC 92
CPU Specific Onchip Trace Commands ......................................................................... 93
Onchip.Buffer Configure onchip trace source 93
CPU Specific Functions .................................................................................................... 95
SYStem.CoreStates.APIC() 95
SYStem.CoreStates.HYPER() 95
SYStem.CoreStates.MODE() 95
SYStem.CoreStates.PHYS() 96
SYStem.CoreStates.PRIOR() 96
SYStem.CoreStates.SMM() 96
TRACE32 Directory 369 ©1989-2017 Lauterbach GmbH
SYStem.CoreStates.VMX() 96
SYStem.Option.MEMoryMODEL() 97
SYStem.ReadPDRH() 97
SYStem.ReadPDRL() 97
TrOnchip.IsAvailable() 97
TrOnchip.IsSet() 98
VMX() 98
VMX.Guest() 98
SYStem Trace Settings ..................................................................................................... 99
Connectors ........................................................................................................................ 100
JTAG Connector 100
MIPI34 Connector 101
MIPI60-C Connector 102
MIPI60-Cv2 Connector 104
MIPI60-Q Connector 106
Support ............................................................................................................................... 108
Available Tools 108
Compilers 108
Operating Systems (32-bit) 109
Operating Systems (64-bit) 109
UEFIs (32-bit) 109
UEFIs (64-bit) 110
3rd Party Tool Integrations 111
Products ............................................................................................................................. 112
Product Information 112
Order Information 114
Tools for Intel® x86/x64 ....................................................................(tools_intel_x86.pdf) 1
Introduction ....................................................................................................................... 2
Legend ................................................................................................................................ 2
TRACE32 QuadProbe ........................................................................................................ 3
QuadProbe and PowerDebug Module USB 3.0 4
QuadProbe and PowerDebug PRO 11
Extra ................................................................................................................................... 18
Extra (USB-2-CABLE) 18
Extras (TRIGGER-CONNECTOR) 19
Intel® Application Note for Server Setup .......................................(app_x86_server.pdf) 1
Introduction ....................................................................................................................... 2
Prerequisites 2
How This Manual is Organized 2
Related Documents 2
TRACE32 Directory 370 ©1989-2017 Lauterbach GmbH
Contacting Support 3
Server Board Topologies .................................................................................................. 4
Probe Whiskers ................................................................................................................. 7
Standard Setup .................................................................................................................. 8
Manual Setup ..................................................................................................................... 9
Special Cases .................................................................................................................... 10
Intel® Processor Trace ....................................................................... (trace_intel_pt.pdf) 1
Configuration ..................................................................................................................... 2
Selective Tracing (optional) 2
Tracing to Memory 3
Memory buffer size 4
Example Script .................................................................................................................. 5
CPU specific IPT Commands ........................................................................................... 6
IPT Intel® Processor Trace (IPT) 6
IPT.CompressReturn Compression of near return addresses 6
IPT.CR3 Filtering by CR3 6
IPT.CycleAccurate Enable cycle accurate tracing 7
IPT.EXPORTBASE IPT output region 7
IPT.LessPackets Do not create IPT packets on certain circumstances 7
IPT.MiniTimeCounter Enable 'MiniTimeCounter' packets 8
IPT.OFF Switch IPT off 8
IPT.ON Switch IPT on 8
IPT.PacketCount Synchronization period 8
IPT.RESet Reset IPT settings 9
IPT.state Display IPT settings 10
IPT.SuperTimeSync Enable 'SuperTimeSync' packets 10
IPT.TraceCORE Trace selected cores only 11
IPT.TraceID Assign STP ID(s) to core(s) 11
IPT.TraceOS Filtering by current privilege level 0 12
IPT.TraceUSER Filtering by current privilege levels 1-3 12
Connectors ........................................................................................................................ 13
Intel® MIPI60 Connector 13
MIPI60-P Connector 14
Intel® Trace Hub .................................................................................. (trace_intel_th.pdf) 1
Introduction ....................................................................................................................... 2
Installation / Update 2
Example Script 3
Trace Port Configuration .................................................................................................. 4
Select Trace Port 4
TRACE32 Directory 371 ©1989-2017 Lauterbach GmbH
STM.PortClock PTI clock frequency 4
Displaying Trace Results .................................................................................................. 5
Save and Load Trace Files ............................................................................................... 6
CPU specific ITH Commands ........................................................................................... 7
ITH Intel® Trace Hub (ITH) 7
ITH.FlushCache Flush ITH cache content to memory 7
ITH.Init Initialize the ITH framework 7
ITH.Master.<type>.state Show config window for trace sources 8
ITH.Master.<type>.<instance> Enable or disable trace source 8
ITH.OFF Disables tracing 8
ITH.ON Enables tracing 9
ITH.RESet Reset ITH settings 9
ITH.SessionFile Target-specific settings 9
ITH.state Display ITH configuration 9
Connectors ........................................................................................................................ 10
Intel® MIPI60 Connector 10
MIPI60-P Connector 11
x186
x186 Monitor ........................................................................................ (monitor_x186.pdf) 1
Brief Overview of Documents for New Users ................................................................. 4
Warning .............................................................................................................................. 4
Quick Start 186 ESI-ROM Monitor .................................................................................... 5
Troubleshooting ................................................................................................................ 8
FAQ ..................................................................................................................................... 8
Basics ................................................................................................................................. 10
Monitor Features 10
Monitor Files 10
Address Layout 10
Vector Table 11
Emulation Modes ............................................................................................................... 12
SYStem.Mode Establish the communication with the CPU 12
SYStem.CPU CPU type 13
SYStem.MemAccess Real-time memory access (non-intrusive) 13
SYStem.CpuAccess Run-time memory access (intrusive) 13
General SYStem Settings and Restrictions .................................................................... 15
SYStem.Option REL Relocation register 15
SYStem.Option NIBBLE Set global nibble flags 15
TRACE32 Directory 372 ©1989-2017 Lauterbach GmbH
SYStem.PORT Set communication parameters 15
General Restrictions 16
TrOnchip Commands ........................................................................................................ 17
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 17
TrOnchip.RESet Set on-chip trigger to default state 17
TrOnchip.state Opens configuration panel 17
Memory Classes ................................................................................................................ 18
Support ............................................................................................................................... 19
Available Tools 19
Compilers 19
Target Operating Systems 20
3rd Party Tool Integrations 20
Products ............................................................................................................................. 21
Product Information 21
Order Information 21
x196
x196 Monitor .......................................................................................... (monitor_196.pdf) 1
Brief Overview of Documents for New Users ................................................................. 2
Warning .............................................................................................................................. 4
Note .................................................................................................................................... 4
Quick Start of the 80196 ROM Monitor ............................................................................ 5
Troubleshooting ................................................................................................................ 7
FAQ ..................................................................................................................................... 7
Basics ................................................................................................................................. 8
Monitor Features 8
Monitor Files 8
Address Layout 9
Vector Table 9
Configuration 10
SYStem.CPU CPU type 10
General SYStem Settings and Restrictions .................................................................... 11
General Restrictions 11
On-chip Hardware Breakpoint (196EA only) ................................................................... 12
Memory Classes ................................................................................................................ 13
Support ............................................................................................................................... 14
Available Tools 14
TRACE32 Directory 373 ©1989-2017 Lauterbach GmbH
Compilers 15
Realtime Operation System 15
3rd Party Tool Integrations 15
Products ............................................................................................................................. 17
Product Information 17
Order Information 17
x386 and x486
x386 and x486 Monitor ........................................................................ (monitor_x386.pdf) 1
Brief Overview of Documents for New Users ................................................................. 4
Warning .............................................................................................................................. 5
Quick Start 386 ESI-ROM Monitor .................................................................................... 6
Troubleshooting ................................................................................................................ 8
FAQ ..................................................................................................................................... 8
Basics ................................................................................................................................. 10
Monitor Features 10
Monitor Files 10
Address Layout 11
Vector Table 12
General SYStem Commands ............................................................................................ 13
SYStem.CPU CPU type 13
SYStem.CpuAccess Run-time memory access (intrusive) 13
SYStem.MemAccess Real-time memory access (non-intrusive) 14
SYStem.Mode Establish the communication with the CPU 14
SYStem.Option MMUSPACES Enable space IDs 15
CPU specific MMU Commands ........................................................................................ 16
MMU.DUMP Page wise display of MMU translation table 16
MMU.List Compact display of MMU translation table 17
MMU.SCAN Load MMU table from CPU 18
General SYStem Settings and Restrictions .................................................................... 20
General Restrictions 20
Memory Classes ................................................................................................................ 21
Support ............................................................................................................................... 22
Available Tools 22
Compilers (Protected Mode) 22
Compilers (Real Mode) 23
Target Operating Systems 24
3rd Party Tool Integrations 25
TRACE32 Directory 374 ©1989-2017 Lauterbach GmbH
Products ............................................................................................................................. 26
Product Information 26
Order Information 26
XA51
XA51 Monitor ....................................................................................... (monitor_xa51.pdf) 1
Brief Overview of Documents for New Users ................................................................. 2
WARNING ........................................................................................................................... 4
Quick Start of the 51XA ROM Monitor ............................................................................. 5
Troubleshooting ................................................................................................................ 7
FAQ 7
Basics ................................................................................................................................. 8
Monitor Features 8
Monitor Files 8
Address Layout 8
Vector Table 9
Configuration 9
General SYStem Settings and Restrictions .................................................................... 10
SYStem.CPU CPU type 10
General Restrictions 10
Memory Classes ................................................................................................................ 11
Support ............................................................................................................................... 12
Available Tools 12
Compilers 12
Realtime Operation System 12
Debuggers 12
Products ............................................................................................................................. 13
Product Information 13
Order Information 13
XC800
XC800 Debugger ............................................................................. (debugger_xc800.pdf) 1
General Note ...................................................................................................................... 3
Brief Overview of Documents for New Users ................................................................. 3
Warning .............................................................................................................................. 4
Quick Start ......................................................................................................................... 5
Troubleshooting ................................................................................................................ 7
TRACE32 Directory 375 ©1989-2017 Lauterbach GmbH
SYStem.Up Errors 7
FAQ ..................................................................................................................................... 8
Configuration ..................................................................................................................... 10
General SYStem Settings and Restrictions .................................................................... 11
SYStem Open system window 11
SYStem.CONFIG.state Display target configuration 11
SYStem.CPU Select CPU 12
SYStem.MemAccess Select memory access mode 12
SYStem.CpuAccess Run-time memory access (intrusive) 12
SYStem.Mode Establish communication with the target 14
SYStem.LOCK Tristate the JTAG port 14
System Options ................................................................................................................. 15
SYStem.Option IMASKASM Disable interrupts while single stepping 15
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 15
SYStem.Option TRAPEN Change the TRAP_EN bit 16
SYStem.JtagClock Define JTAG clock 17
TrOnchip Commands ........................................................................................................ 18
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 18
TrOnchip.RESet Set on-chip trigger to default state 18
TrOnchip.state Display on-chip trigger window 18
TrOnchip.VarCONVert Adjust complex breakpoint in on-chip resource 19
OCDS1 Connector ............................................................................................................. 20
Memory Classes 22
Support ............................................................................................................................... 23
Available Tools 23
Compilers 24
Target Operating Systems 25
3rd Party Tool Integrations 25
Products ............................................................................................................................. 26
Product Information 26
Order Information 26
XC800 Application Notes .....................................................................................................
Application Note Debug Cable XC800 ....................................... (xc800_app_ocds.pdf) 1
Introduction .................................................................................................................... 3
Debug Cables ................................................................................................................. 4
OCDS Debug Cables 4
Debug Interface Description ......................................................................................... 10
JTAG Interface 10
DAP Interface 13
TRACE32 Directory 376 ©1989-2017 Lauterbach GmbH
Configuring PowerView ................................................................................................. 15
Selecting the Interface Mode 15
Enabling the DAP Interface on the Chip 15
DAP User Pins 16
Adapters, Converters and Extensions ......................................................................... 18
Adapter 16-pin 100 mil to 50 mil 18
Converter 16-pin JTAG to DAP for TriCore/XC2000/XC800 19
Recommended Connectors ........................................................................................... 20
Standard 2x8 Connector 20
Half-size 2x8 Connector 20
Half-size 2x5 Connector 21
Half-size 2x5 Connector with Keying Pin 7 21
XTENSA
XTENSA Debugger ........................................................................ (debugger_xtensa.pdf) 1
Brief Overview of Documents for New Users ................................................................. 4
Warning .............................................................................................................................. 5
Quick Start of the JTAG Debugger .................................................................................. 6
Troubleshooting ................................................................................................................ 9
SYStem.Up Errors 9
FAQ ..................................................................................................................................... 10
XTENSA Specific Implementations ................................................................................. 11
Breakpoints 11
Runtime Measurement 13
Memory Classes 14
MAP.BUS8 Bus width mapping 14
MAP.BUS16 Bus width mapping 14
MAP.BUS32 Bus width mapping 15
CPU specific SYStem Commands ................................................................................... 16
SYStem.CONFIG.state Display target configuration 16
SYStem.CONFIG Configure debugger according to target topology 17
SYStem.CPU Select the used CPU 53
SYStem.CpuAccess Run-time memory access (intrusive) 54
SYStem.JtagClock Define JTAG frequency 55
SYStem.LOCK Tristate the JTAG port 56
SYStem.MemAccess Real-time memory access (non-intrusive) 56
SYStem.Mode Establish the communication with the target 57
SYStem.Option DAPREMAP Rearrange DAP memory map 57
SYStem.Option DAP2SYSPWRUPREQ Force system power in DAP2 58
TRACE32 Directory 377 ©1989-2017 Lauterbach GmbH
SYStem.Option DAPSYSPWRUPREQ Force system power in DAP 58
SYStem.Option Endianness Specify the byte ordering 59
SYStem.Option IMASKASM Disable interrupts while single stepping 59
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 59
SYStem.Option IntelSOC Core is part of Intel® SoC 60
SYStem.Option PWROVR Specifies power override bit 60
SYStem.Option SOFTLONG Use 32-bit access to set breakpoint 60
SYStem.Option SPILLLOC Temporary memory 60
SYStem.Option WinRegOption Windowed register option 61
SYStem.TIE TIE library files 62
SYStem.TIE.ADDtiedll Add library file 62
SYStem.TIE.ADDALL Add all library files 62
SYStem.TIE.ADPerdll Add library for per file generation 63
SYStem.TIE.CMList Internal use only 63
SYStem.TIE.DELete Remove all library files 63
SYStem.TIE.DEPerdll Remove all library files for per file 63
SYStem.TIE.DISable Unload and disable TIE instruction 64
SYStem.TIE.ENAble Load and enable TIE instructions 64
SYStem.TIE.GENper Generate peripheral file 65
SYStem.TIE.GETArchOPTions Detect architectural options from libraries 65
SYStem.TIE.LIBpath Specify path for library tools 65
SYStem.TIE.REGlist Internal use only 65
CPU specific TERM.METHOD Command ........................................................................ 66
TERM.METHOD Define communication protocol 66
CPU specific TrOnchip Commands ................................................................................. 67
TrOnchip.RESet Reset on-chip trigger settings 67
TrOnchip.state Display on-chip trigger window 67
JTAG Connection .............................................................................................................. 68
Mechanical Description of the 14-pin Debug Cable 68
Electrical Description of the 14-pin Debug Cable 68
Support ............................................................................................................................... 69
Available Tools 69
Compilers 70
Target Operating Systems 70
3rd Party Tool Integrations 71
Products ............................................................................................................................. 72
Product Information 72
Order Information 73
Z80
Z80 Monitor .............................................................................................(monitor_z80.pdf) 1
TRACE32 Directory 378 ©1989-2017 Lauterbach GmbH
Brief Overview of Documents for New Users ................................................................. 3
Warning .............................................................................................................................. 5
Quick Start of the Z80 ROM Monitor ................................................................................ 6
Troubleshooting ................................................................................................................ 8
FAQ ..................................................................................................................................... 8
Basics ................................................................................................................................. 9
Monitor Features 9
Monitor Files 9
Address Layout 10
Configuration 11
Emulation Modes ............................................................................................................... 12
SYStem.CPU CPU type 12
SYStem.Mode Establish the communication with the CPU 12
General SYStem Settings and Restrictions .................................................................... 13
General Restrictions 13
SYStem.Option BrkVector Breakpoint trap 13
SYStem.Option BASE Base address of internal registers 13
Using the MMU for Z180 ................................................................................................... 14
Memory Classes ................................................................................................................ 16
Support ............................................................................................................................... 17
Available Tools 17
Compilers 17
Realtime Operation System 18
3rd Party Tool Integrations 19
Products ............................................................................................................................. 20
Product Information 20
Order Information 20
ZSP
ZSP Debugger ..................................................................................... (debugger_zsp.pdf) 1
Brief Overview of Documents for New Users ................................................................. 4
ESD Protection .................................................................................................................. 6
FAQ ..................................................................................................................................... 7
Quick Start JTAG ............................................................................................................... 12
Troubleshooting ................................................................................................................ 14
System Up Errors 14
Configuration 16
TRACE32 Directory 379 ©1989-2017 Lauterbach GmbH
Hardware and Software Debug Modes 18
CPU specific TrOnchip Commands ................................................................................. 22
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 22
TrOnchip.RESet Set on-chip trigger to default state 22
TrOnchip.state Display on-chip trigger window 22
Memory Access ................................................................................................................. 23
Memory Addressing (ZSP400) 23
Memory Addressing (ZSP500) 24
General System Settings .................................................................................................. 25
SYStem.CPU Selects the processor type 25
SYStem.CpuAccess CPU access mode 25
SYStem.JtagClock Selects the frequency for the debug interface 26
SYStem.MemAccess Memory access mode 27
SYStem.Mode Selects target reset mode 28
SYStem.CONFIG Configure debugger according to target topology 30
SYStem.Option EnReset Allow the debugger to drive nRESET (ZSP400) 33
SYStem.Option HardwareDebug Select debug mode (ZSP5xx) 34
SYStem.Option IBOOT Configure IBOOT board signal (ZSP5xx) 35
SYStem.Option IMASKASM Disable interrupts while ASM single stepping 35
SYStem.Option IMASKHLL Disable interrupts while HLL single stepping 35
SYStem.Option.ADIAFTERBREAKIN Handling of external breakpoints 35
SYStem.Option.BREAKOUTAFTERSWBREAK Creating a break-out signal 37
SYStem.Option MEMDEU Memory access via DEU (ZSP5xx) 38
SYStem.Option RisingTDO TDO sampled on rising TCK edge (LSI402ZX) 38
SYStem.Option SLOWRESET Slow reset 38
SYStem.Option SVTADDR Configure SVTADDR (ZSP500) 39
Multicore Debugging ......................................................................................................... 40
SYStem.LOCK Lock debug port (ZSP400) 40
Design Decisions and Limitations (ZSP5xx) .................................................................. 41
Disassembler 41
Timer0, Timer1 Registers 41
Single Stepping over RETI Fails 41
Software Breakpoints in CEXE Blocks 41
On-chip Breakpoints (ZSP500 Hardware Erratum) 42
Software Debug Mode and Hardware Debug Mode 42
Simulator Interface for ZSP5xx Cores ............................................................................. 43
Limitations of the Simulators 43
File I/O with Simulator Targets 43
Performance Measurements with Simulator Interface 44
JTAG Connector ................................................................................................................ 45
Mechanical Description of 20-pin Debug Cable for ZSP400/ZSP500 45
TRACE32 Directory 380 ©1989-2017 Lauterbach GmbH
Mechanical Description of JTAG Connector for ZSP400 (obsolete) 45
Technical Data ................................................................................................................... 46
Mechanical Dimensions 46
Operation Voltage 46
Operating Frequency 46
Support ............................................................................................................................... 47
Probes 47
Available Tools 47
Compilers ZSP400 47
Compilers ZSP500 47
Target Operating Systems 48
3rd Party Tool Integrations 49
Products ............................................................................................................................. 50
Product Information 50
Order Information 50
ICE In-Circuit Emulator
ICE User's Guide
ICE User's Guide ................................................................................................ (ice_user.pdf) 1
Concept .................................................................................................................................... 5
Modules 5
ECU Functional Units 12
Probes and Connectors 17
Input Probe Assignments 18
STROBE Probe Assignments 19
Outputs Emulator Chassis 21
Basic Emulator Concept ......................................................................................................... 22
Modularity 22
Buffered Probes 22
3 Memories 23
Dual-Port Technology 23
State Display ............................................................................................................................ 24
Memory Oriented Softkeys ..................................................................................................... 25
Emulation System ................................................................................................................... 26
Activation 26
System Errors 28
Clock Select 28
TRACE32 Directory 381 ©1989-2017 Lauterbach GmbH
Time-Out 29
Special Setup 29
Mapper ...................................................................................................................................... 30
Basic Function 30
Setup 31
Banking 34
Program and Data Memory ..................................................................................................... 37
Function 37
Dual-port Access 38
Access Procedures 38
Memory Classes 39
Basic Display and Change 40
Assembler Structures 46
Data Modification 47
Peripheral I/O 47
Symbolic Display and Change 48
Load and Store 52
Find and Compare 56
Symbol Management .............................................................................................................. 57
Database Structure 57
Symbol Display 58
Search for Symbol 59
Symbol Macros 61
Load Source HLL 61
Loading Assembler Source 62
Special Options 62
HLL Structures ........................................................................................................................ 63
Accessing Variables 63
Displaying Variables 69
Variable Based Softkeys 76
Register and Peripherals ........................................................................................................ 77
Realtime Emulation ................................................................................................................. 80
Preparations 80
Single Step on Assembler Level 81
Single Step on HLL 82
Cycle Step 83
Realtime Emulation 84
Complex Emulation Control (ASM) 85
Complex Emulation Control (HLL) 86
Configurable Emulation Menu 87
Execution Time Measurement ................................................................................................ 88
TRACE32 Directory 382 ©1989-2017 Lauterbach GmbH
Function 88
Breakpoint Memory ................................................................................................................. 90
Function 90
Breakpoint Types 91
Set and Delete Breakpoints 92
Display Breakpoints 94
Temporary breakpoints 95
Mouse 96
Execution Breakpoints 96
Data Breakpoints 97
Trigger System ........................................................................................................................ 98
Function 98
State Display 100
Trigger Setup 101
Trigger Sources 102
Examples 102
Event Trigger System ............................................................................................................. 103
Function 103
Event Trigger Modes 104
Setup 108
Examples 109
External Trigger Input ............................................................................................................. 110
Function 110
Setup 111
Examples 112
Spot System ............................................................................................................................. 114
Function 114
Setup 115
Examples 115
Exception Control ................................................................................................................... 116
Function 116
Setup 117
Examples 118
FLAG System ........................................................................................................................... 119
Function 119
FLAG Control 120
Display Functions 121
Frequency Generator .............................................................................................................. 124
Function 124
Setup 125
TRACE32 Directory 383 ©1989-2017 Lauterbach GmbH
Universal Counter ................................................................................................................... 126
Function 126
Glitch Detection 127
Setup 128
Examples 129
Pulse Generator ....................................................................................................................... 130
Function 130
Setup 131
Examples 131
Refresh Generator ................................................................................................................... 132
Function 132
Setup 133
Examples 133
Master-Slave Synchronisation ............................................................................................... 134
Function 134
Setup 135
Examples 135
Index (local) ............................................................................................................................. 136
ICE Analyzer System
ICE/FIRE Analyzer User's Guide
ICE/FIRE Analyzer User's Guide ...........................................................(analyzer_user.pdf) 1
Analyzer Types ..................................................................................................................... 3
SA120 3
HA120 4
HAC 5
ECC8 6
Functional Units ................................................................................................................... 7
Trace Memory 7
Time Stamp Unit 10
Performance Analyzer 10
Trigger Unit 10
External Trigger Inputs 10
Trigger Output 12
Analyzer Control ................................................................................................................... 13
Basic Trace Control 13
Operation Modes 14
Automatic Trace Control 15
Special Operation Modes 16
TRACE32 Directory 384 ©1989-2017 Lauterbach GmbH
Hypertrace Operation Modes 16
Display Trace ........................................................................................................................ 17
Display Commands 17
Search and Compare 19
Real-Time Displays 20
Saving Trace Buffers 21
Using the Trigger Unit 22
Prestore Mode 23
Statistic Analysis .................................................................................................................. 24
Time Charts ........................................................................................................................... 28
Coverage Analysis ............................................................................................................... 30
Performance Analysis .......................................................................................................... 31
Analyzer Structure 31
Commands 32
Display Results 34
Manual Address Selection 34
ICE Analyzer Programming
ICE/FIRE Analyzer Trigger Unit Programming Guide ..................... (analyzer_prog.pdf) 1
Analyzer Programming ..................................................................................................... 4
Program Structure ............................................................................................................. 5
Sample Trigger Program 6
Declarations ....................................................................................................................... 7
Address Selectors 7
Data Selectors 7
Data Latch 8
External Trigger 8
Event Counters 9
Flags 10
Hardware Message Event 10
Ownership Trace Message Event 11
Time Counters 11
Declaration Table 12
Global Instructions ............................................................................................................ 14
Local Instructions ............................................................................................................. 15
Output Command Tables .................................................................................................. 16
Events ................................................................................................................................. 19
Address Selectors 19
TRACE32 Directory 385 ©1989-2017 Lauterbach GmbH
Counter Events 20
Data Latch Events 20
Data Selectors 21
External Clocked Counter Events 21
External Trigger Events 21
Flags 21
Hardware Message Events 22
Ownership Trace Message Events 22
Time Events 22
Other Events 22
Input Event Table 23
Conditions .......................................................................................................................... 26
Levels ................................................................................................................................. 28
GOTO 28
CONTinue 28
Programming Examples ................................................................................................... 29
Selective Recording 29
HLL Lines Trace 30
Stopping the Analyzer 31
Stopping the User Program 32
Exception Generator 33
Spot Point Execution 33
Stimulating Output Lines 34
Using the Internal Trigger Bus 35
Using Markers 35
Time Counters 36
Event Counters 38
Using Flags 40
Switching Trigger Levels 41
Declaration Reference ...................................................................................................... 42
ADDRESS Address selectors 42
DATA Data selectors 44
DLATCH Data latch 48
EVENTCOUNTER Event counter 49
EXTERNCOUNTER External counter 52
FLAGS Flags 52
HWME Hardware message events 53
OTME Ownership trace message events 54
TIMECOUNTER Time counter 55
TRIG External triggers 58
Instruction Reference ....................................................................................................... 60
Aux Auxiliary trigger 60
TRACE32 Directory 386 ©1989-2017 Lauterbach GmbH
BREAK Analyzer stop 61
Bus Bus trigger 62
CONTinue Sequential level switching 63
Counter Counter control 64
Flag Flag control 68
GOTO Level switching 69
Latch Data latch 71
Mark Recording markers 72
Out Output control 72
Perf Performance analyzer control 74
Sample Recording control 74
Trigger Trigger control 77
Trigger Programming Language Syntax ......................................................................... 79
Analyzer Programming Extensions HAC ........................................................................ 82
Trigger Unit 82
Trigger Unit Constructions 83
Input Events 88
Output Events 90
Logical Levels 90
ICE/FIRE Analyzer Programming Dialog ........................................(analyzer_dialog.pdf) 1
Introduction ....................................................................................................................... 2
How to Start 2
Programming ..................................................................................................................... 3
Elements in the Dialog Box 3
Definitions 9
Examples 18
ICE Performance Analyzer User's Guide
ICE Performance Analyzer User's Guide ..................................... (performance_user.pdf) 1
Performance Analysis .......................................................................................................... 2
Analyzer Structure 2
Commands 3
Display Results 5
Manual Address Selection 5
ICE Port Analyzer User's Guide
ICE Port Analyzer User's Guide ...................................................................... (port_user.pdf) 1
Basic Function ......................................................................................................................... 2
Port Analyzer State Display .................................................................................................... 5
TRACE32 Directory 387 ©1989-2017 Lauterbach GmbH
Run in Slave Mode .................................................................................................................. 6
Run in Timing Mode ................................................................................................................ 7
Display Information ................................................................................................................. 9
Store and Reload 10
Analyze Traced Data 11
ICE Memory Modules
ICE Memory Modules .................................................................. (ice_memory_modules.pdf) 1
SDIL Module ............................................................................................................................. 2
SRAM Module .......................................................................................................................... 3
DRAM Module 1/4 MByte ....................................................................................................... 8
DRAM Module 4/16 MByte ..................................................................................................... 10
ICE Target Guides
ICE Emulator for 68000
ICE Emulator for 68000 .................................................................................(ice_68000.pdf) 1
Warning ................................................................................................................................. 3
Quick Start ............................................................................................................................ 4
Troubleshooting ................................................................................................................... 5
Hang-Up 5
Dual-Port Errors 6
Configuration ........................................................................................................................ 7
General Settings and Restrictions ...................................................................................... 9
Restrictions 9
SYStem.Clock Clock generation 10
SYStem.Mode Select emulation modes 10
SYStem.Line CPU signals 11
SYStem.RESetOut RESET peripherals 11
SYStem.Option V33 Voltage sense 11
Memory Classes ................................................................................................................... 12
State Analyzer ....................................................................................................................... 13
Keywords for the Trigger Unit 13
Keywords for the Display 15
Dequeueing 15
Emulation Frequency ........................................................................................................... 16
Support .................................................................................................................................. 17
TRACE32 Directory 388 ©1989-2017 Lauterbach GmbH
Compilers 17
3rd Party Tool Integration 18
Realtime Operation Systems 19
ICE Emulator for MC68000 and MC6830X
ICE Emulator for MC68000 and MC6830X ...................................................(ice_68300.pdf) 1
Warning ................................................................................................................................. 5
Quick Start ............................................................................................................................ 6
Troubleshooting ................................................................................................................... 10
Hang-Up 10
Dualport Errors 11
FAQ ........................................................................................................................................ 12
Configuration ........................................................................................................................ 15
Basics .................................................................................................................................... 16
Emulation Method “68302 Dual-Chip” 16
Emulation Method “Single-Chip” 16
Emulation Method “Hybrid-Solution” 17
Target Power Sense 17
Emulation Modes 18
SYStem.Clock Clock generation 19
Dualport Modes 20
DMA Modes 21
General SYStem Settings and Restrictions ....................................................................... 22
General Restrictions 22
SYStem.Line BusSize Bus width 22
SYStem.Line BusReq Bus request when stopped 22
SYStem.Line WDOG WDOG line 23
SYStem.Option MOBAR Module controller base address register 23
SYStem.Option BAR Base address register 23
SYStem.Option BrkVector Break vector 23
SYStem.Option BreakWin Break window 24
SYStem.Option FAST High-speed emulation 24
SYStem.Option FCode Ignore function codes 24
SYStem.Option Freeze FREEZE control 25
SYStem.Option TestClock Clockfail detection 26
SYStem.Option TrReset Tristate bus 26
SYStem.Option TrHalt Tristate bus 26
SYStem.Option V33 Powerfail detection 26
SYStem.Option FreezeExtern Target freeze enable 27
SYStem.Option TraceBank Bank trace enable 27
TRACE32 Directory 389 ©1989-2017 Lauterbach GmbH
Special Settings 68302 Dual -chip and Restrictions ......................................................... 28
Restrictions 68302 Dual-chip 28
SYStem.RESetOut Reset target CPU 29
SYStem.Option BR0/OR0 CS0 line replacement 29
SYStem.Option BAR/BARI BAR registers 30
SYStem.Option IntChange Interrupt translation 32
Clock Generation 33
ONCE Mode Handling 34
Special Settings 68302 Single Chip and Restrictions ....................................................... 37
Restrictions 68302 Single Chip 37
SYStem.Option MonBase Monitor relocation 37
SYStem.Option Dedicated Interrupt controller mode 38
ONCE Mode Handling 38
Special Settings 68356 ......................................................................................................... 39
SYStem.Line DRESET Reset configuration 39
SYStem.Line MODCK Clock mode selection 39
SYStem.Option MODCKx Clock mode selection 39
SYStem.Option CS Clock mode selection 40
SYStem.Option VCO/100 32KHz support 40
Special Settings 68PM302, 68LC302 .................................................................................. 41
SYStem.Option BRx/NOCSBASE A20..23 address creation 41
SYStem.Option DTACK DTACK configuration 41
SYStem.Option MODCLK Clock mode selection 42
SYStem.Option VCCSYN Clock mode selection 42
SYStem.Option ONCE ON-Circuit emulation (68PM302 only) 42
SYStem.Option PCEN PCMCIA (68PM302 only) 42
SYStem.Option ResetExt Reset configuration 43
SYStem.Option VCO/100 32KHz support 43
Special Settings 68EN302 .................................................................................................... 44
ONCE Mode Handling 44
SYStem.Option MBCTL DRAM control 44
SYStem.Option BUSW Bus width selection 44
Special Settings GSC38393 and Restrictions .................................................................... 45
Restrictions GSC38939 45
SYStem.Option WSEL Reset configuration 45
SYStem.Option BUSW0 Reset configuration 45
SYStem.Option CKSL Clock selection 45
Special Settings 68328 and Restrictions ........................................................................... 46
Restrictions 68328 46
SYStem.Option BBUSW Boot bus width 47
SYStem.Option MOCLK Clock selection 47
TRACE32 Directory 390 ©1989-2017 Lauterbach GmbH
SYStem.Option PORTB Port B enable 47
SYStem.Option PRBASE Port replacement base address 48
Special Settings 68306 and Restrictions ........................................................................... 49
Restrictions 68306 49
SYStem.Option AMODE Address mode 49
SYStem.Option ONCE On-circuit emulation 49
SYStem.Option XTAL Oscillator replacement 49
68306 Clock Generation 50
Special Settings 68307 and Restrictions ........................................................................... 51
Restrictions 68307 51
SYStem.Option DISWT Watchdog disable 51
SYStem.Option DRAM DRAM mode 51
68307 Clock Generation 52
Exception Control ................................................................................................................ 53
RESET Line 54
HALT Line 56
BERR Line 57
BUSREQ Line 58
VPA Line 58
Interrupt Control 59
Interrupt Stimulation 60
Trap Trigger 60
Monitor Extensions .............................................................................................................. 61
Mapping ................................................................................................................................. 62
MAP.BUS8 Bus width mapping 62
MAP.OPFetch Code area mapping 62
Memory Classes ................................................................................................................... 63
State Analyzer ....................................................................................................................... 64
Keywords for the Trigger Unit 65
Keywords for the Display 67
Dequeueing 67
Port Analyzer ........................................................................................................................ 68
Default Keywords for the Port Analyzer 68
68306 Keywords for the Port Analyzer 70
68307 Keywords for the Port Analyzer 71
Default Connector for Additional Trace Channels 73
Single Chip 68302 Connector for Additional Trace Channels 74
Compilers .............................................................................................................................. 75
3rd Party Tool Integrations .................................................................................................. 77
Realtime Operation Systems ............................................................................................... 78
TRACE32 Directory 391 ©1989-2017 Lauterbach GmbH
Emulation Frequency ........................................................................................................... 79
Emulation Module ................................................................................................................ 80
Module Overview 80
Order Information 81
Operation Voltage ................................................................................................................ 83
Physical Dimensions ........................................................................................................... 84
Adaption ................................................................................................................................ 111
ICE Emulator for MC68020/30
ICE Emulator for MC68020/30 ......................................................................(ice_68020.pdf) 1
Warning ................................................................................................................................. 4
Quick Start ............................................................................................................................ 4
Troubleshooting ................................................................................................................... 5
Hang-Up 5
Dualport Errors 6
FAQ ........................................................................................................................................ 7
Configuration ........................................................................................................................ 12
Basics .................................................................................................................................... 12
Emulation Modes 13
SYStem.Clock Clock generation 14
SYStem.Mode Select emulation modes 15
General SYStem Settings and Restrictions ....................................................................... 16
General Restrictions 16
SYStem.Line CPU signals 16
SYStem.Option TestClock Clock test 17
SYStem.Option TestPower Power test 17
Exception Control ................................................................................................................ 18
Reset 19
Halt 21
Berr 22
BR 22
Interrupt Control 23
Interrupt Stimulation 23
Mapping ................................................................................................................................. 24
FPU ........................................................................................................................................ 25
SYStem.Option FPU Activate emulator based FPU 25
MMU ....................................................................................................................................... 27
TRACE32 Directory 392 ©1989-2017 Lauterbach GmbH
MMU.view Display MMU registers 27
MMU.Set Modify MMU registers 27
MMU.DUMP Dump MMU tables 28
MMU.SCAN Scan MMU tables 29
Cache ..................................................................................................................................... 30
SYStem.Option CACHE Enable cache 30
Wait Cycles ........................................................................................................................... 31
Breakpoint System ............................................................................................................... 32
Monitor Extensions .............................................................................................................. 33
Memory Classes ................................................................................................................... 34
State Analyzer ....................................................................................................................... 36
Keywords for the Trigger Unit 36
Keywords for the Display 38
Dequeueing 39
Compilers .............................................................................................................................. 40
Debugger Support ................................................................................................................ 42
RTOS Support ....................................................................................................................... 43
Emulation Frequency ........................................................................................................... 44
Emulation Modules .............................................................................................................. 45
Module Overview 45
Order Information 45
Physical Dimensions ........................................................................................................... 46
Physical Dimensions 68020/30 Module 46
ICE Emulator for MC68040/60
ICE Emulator for MC68040/60 ......................................................................(ice_68040.pdf) 1
WARNING .............................................................................................................................. 4
Quick Start ............................................................................................................................ 5
Troubleshooting ................................................................................................................... 6
Hang-Up 6
Dual-port Errors 7
FAQ 7
Configuration ........................................................................................................................ 8
Basics .................................................................................................................................... 9
Emulation Modes 10
SYStem.Clock Clock generation 12
Dualport Access 12
TRACE32 Directory 393 ©1989-2017 Lauterbach GmbH
General SYStem Settings and Restrictions ....................................................................... 13
General Restrictions 13
Exception Control ................................................................................................................ 14
Schematics 14
eXception.Enable Enable exception 15
eXception.Trigger Trigger on exception 17
eXception.Pulse Stimulate exception 19
Specific System Settings ..................................................................................................... 21
SYStem.Option BreakWin tbd. 21
SYStem.Option FAST tbd. 21
SYStem.Option FCode tbd. 22
SYStem.Option IdleAddr tbd. 22
SYStem.Option MAPBURST tbd. 22
SYStem.Option MAPCACHE tbd. 23
SYStem.Option NatAck tbd. 23
Mapping ................................................................................................................................. 24
FPU ........................................................................................................................................ 26
MMU ....................................................................................................................................... 27
SYStem.Option MMU MMU control 27
MMU.view Display MMU registers 27
MMU.Set Modify MMU registers 27
MMU.DUMP Dump MMU tables 28
MMU.SCAN Scan MMU tables 29
Cache ..................................................................................................................................... 30
SYStem.Option CACHE Cache control 30
SYStem.Option Wait Wait cycles 30
SYStem.Option BrkVector Breakpoint Vector 30
Monitor Extensions .............................................................................................................. 31
Taskselective Symbols ........................................................................................................ 32
Memory Classes ................................................................................................................... 33
State Analyzer ....................................................................................................................... 34
Keywords for the Trigger Unit 34
Keywords for the Display 35
Dequeuing 37
Compiler ................................................................................................................................ 38
Debugger Support ................................................................................................................ 40
RTOS Support ....................................................................................................................... 41
Emulation Frequency ........................................................................................................... 42
TRACE32 Directory 394 ©1989-2017 Lauterbach GmbH
Emulation Modules .............................................................................................................. 43
Module Overview 43
Order Information 44
Operation Voltage ................................................................................................................ 45
Physical Dimensions ........................................................................................................... 46
Adapter .................................................................................................................................. 51
Adaptation for the QFP240 51
ICE Emulator for MC6833X
ICE Emulator for MC6833X ...........................................................................(ice_68330.pdf) 1
WARNING .............................................................................................................................. 6
Quick Start ............................................................................................................................ 7
General Information 7
Best-case Setting 8
Worst-Case Setting 11
Troubleshooting ................................................................................................................... 15
Hang-Up 15
Dualport Errors 16
FAQ ........................................................................................................................................ 17
Basics .................................................................................................................................... 19
Configuration: Address Recovery A19..A31 ...................................................................... 20
Address Recovery by Register Contents 20
SYStem.Option CSBARx Chipselect base address 21
SYStem.Option CSPARx Chip select pin assignment register 21
SYStem.Option PEPAR Port E pin assignment register 21
Address Recovery by PLD Logic 22
Software Based Address Recovery 26
Chip Select Replacement by PLD logic 27
Configuration: Control Lines Recovery ............................................................................. 29
Function Codes have alternate Pin Function 29
Port-E Control Lines Recovery for SCIM Modules 29
Port-E Control Lines Recovery for SIM Modules 30
SYStem.Line AS/DS/SIZ Port replacement 32
SYStem.Option PRBASE Peripheral address 32
Configuration: DIP-Switches ............................................................................................... 33
General SYStem Settings and Restrictions ....................................................................... 34
General Restrictions 34
Emulation Modes 36
TRACE32 Directory 395 ©1989-2017 Lauterbach GmbH
SYStem.Access Dualport modes 37
SYStem.Option BASE Peripheral address 37
SYStem.BdmClock BDM clock speed 38
SYStem.Clock Clock modes 38
SYStem.CPU CPU operating mode: 68HC16Y1/68396 only 39
SYStem.Line ADDR Address mask 39
SYStem.Line BKPT External BKPT input 39
SYStem.Option DMA modes 40
SYStem.Option DSACK DSACK mode 40
SYStem.Option FastTerm Fast termination cycles 41
SYStem.Option FCode FCODE pins 41
SYStem.Option LIMITDP Dualport access limitation 41
SYStem.Line/Option MODCLK PLL mode 42
SYStem.Line/Option VCCSYN PLL mode 42
SYStem.Option ONCE On-circuit emulation 42
SYStem.Option RamWait Wait state for memory 43
SYStem.Option ResetMode, ResetExt Reset vector 43
SYStem.Option ShowBreak/ShowTrace Show cycles 43
SYStem.Option ShowBERR Show buserror cycles 44
SYStem.Option Size SIZE pins 44
SYStem.Option STBY Standby voltage 44
SYStem.Option TEST TEST mode 44
SYStem.Option TestClock Clock error check 45
SYStem.Option TraceWait Wait state for memory 45
SYStem.Option VFPEx Flash programming voltage 45
SYStem.Option WDELAY Write strobe delay 45
SYStem.RESetOut Peripheral reset 46
SYStem.TimeDebug Timeout for debug-interface 46
Special Settings 68HC16T3/Z1 ............................................................................................ 47
Special Settings 68HC16X1 ................................................................................................. 47
Special Settings 68HC16Y1 ................................................................................................. 47
Special Settings 68330 ......................................................................................................... 48
Restrictions 68330 48
SYStem.Option PreMap Premapper function 48
Special Settings 68331/332/334/335/336/339/376 ............................................................... 49
SYStem.CPU CPU modes 49
DIP Switches 68336/376 49
Special Settings 68338 ......................................................................................................... 50
Special Settings 68340/341 .................................................................................................. 51
Restrictions 68340/341 51
SYStem.Option PreMap Premapper function 51
TRACE32 Directory 396 ©1989-2017 Lauterbach GmbH
SYStem.Option TRANS DMA modes 51
SYStem.Option TRANSRD DMA modes 52
Special Settings 68375 ......................................................................................................... 53
SYStem.Line/Option EPEB0 Flash program enable 53
SYStem.Option VPP Flash programming voltage 53
SYStem.Line/Option FASTREF PLL mode 54
Special Settings 68396 ......................................................................................................... 55
SYStem.Line/Option FASTREF PLL mode 55
Exception Control ................................................................................................................ 56
eXception.Enable Exception control 56
Reset Line 57
HALT Line 59
BERR Line 60
BR Line 61
IRQ Lines (6833x,68HC16) 62
Mapping ................................................................................................................................. 63
MAP.BUS8 Bus width mapping 63
MAP.Onchipp Onchip peripherals 63
Memory Classes ................................................................................................................... 64
State Analyzer ....................................................................................................................... 65
Keywords for the Trigger Unit 65
Keywords for the Display 69
Dequeueing 70
Port Analyzer ........................................................................................................................ 71
Keywords for the Port Analyzer 68332 71
Keywords for the Port Analyzer 68340 72
Keywords for the Port Analyzer 68HC16Z1 73
Keywords for the Port Analyzer 68HC16Y1 75
Keywords for the Port Analyzer 68HC16T3 76
Additional Trace Channels (MC68340) 77
Support .................................................................................................................................. 78
Compilers 78
3rd Party Tool Integrations 80
Realtime Operation Systems 81
Emulation Frequency ........................................................................................................... 82
Emulation Modules .............................................................................................................. 83
Module Overview 83
Order Information 84
Operating Voltage ................................................................................................................ 86
TRACE32 Directory 397 ©1989-2017 Lauterbach GmbH
Physical Dimensions ........................................................................................................... 87
Adapter .................................................................................................................................. 98
ICE Emulator for Motorola 68360/349
ICE Emulator for Motorola 68360/349 ..........................................................(ice_68360.pdf) 1
WARNING .............................................................................................................................. 4
Quick Start ............................................................................................................................ 5
Troubleshooting ................................................................................................................... 6
Hang-Up 6
Dualport Errors 7
FAQ ........................................................................................................................................ 8
Configuration ........................................................................................................................ 11
Basics .................................................................................................................................... 12
Emulation Modes 13
SYStem.Mode Emulation modes 14
SYStem.Access Dualport modes 15
General SYStem Settings and Restrictions ....................................................................... 16
General Restrictions 16
SYStem.Option DSACK DTACK mode 17
SYStem.Option Show cycles 17
SYStem.Option Show buserror cycles 17
SYStem.Option DMA modes 18
SYStem.Option Reset configuration 18
SYStem.Option MODCKx PLL mode 19
SYStem.Option Memory access timing 19
SYStem.Option ONCE On-circuit emulation 21
SYStem.Option STBY Standby voltage 22
SYStem.Option TestClock Clock error check 22
SYStem.Line BKPT External BKPT input 22
SYStem.Line ADDR Address mask 22
SYStem.RESetOut Peripheral reset 23
SYStem.TimeDebug Timeout for debug interface 23
SYStem.BdmClock BDM clock speed 23
SYStem.Option Address lines 24
SYStem.Clock Clock generation 24
Exception Control ................................................................................................................ 25
eXception.Enable Exception control 25
RESET 26
RESETS 27
TRACE32 Directory 398 ©1989-2017 Lauterbach GmbH
HALT Line 28
BERR Line 29
BR Line 29
Mapping ................................................................................................................................. 30
MAP.BUS Bus width mapping 30
Memory Classes ................................................................................................................... 31
State Analyzer ....................................................................................................................... 32
Keywords for the Trigger Unit 32
Keywords for the Display 33
Dynamic Data Selector 34
Dequeueing 35
Port Analyzer ........................................................................................................................ 36
Keywords for the Port Analyzer 68360 36
Compilers .............................................................................................................................. 37
3rd Party Tool Integrations .................................................................................................. 39
Realtime Operation Systems ............................................................................................... 40
Emulation Frequency ........................................................................................................... 41
Emulation Modules .............................................................................................................. 42
Module Overview 42
Order Information 43
Physical Dimensions ........................................................................................................... 44
Adapter .................................................................................................................................. 47
ICE Emulator for 68HC05 and 68HC08
ICE Emulator for 68HC05 and 68HC08 ..........................................................(ice_hc08.pdf) 1
Warning ................................................................................................................................. 4
Quick Start ............................................................................................................................ 4
Troubleshooting ................................................................................................................... 5
Hang-Up 5
Dual-Port Errors 5
FAQ ........................................................................................................................................ 6
Basics .................................................................................................................................... 9
Emulation Modes 9
SYStem.Clock Clock generation 11
SYStem.Access Dualport access 11
Code Sequencer 12
General SYStem Settings and Restrictions ....................................................................... 13
TRACE32 Directory 399 ©1989-2017 Lauterbach GmbH
General Restrictions 13
SYStem.Option V33 Power option 13
SYStem.Option TraceAll Trace option 14
SYStem.Option PerReset Reset target 14
SYStem.Option FAST Speed 14
SYStem.Option TestClock Clock sense 14
SYStem.Option MapEEPROM Mapping 15
SYStem.Option MapGAP Mapping 15
SYStem.Option CANE CAN enable 15
SYStem.Option COMMON Size of common area. 15
SYStem.Option MAPEEPROM Enable EEPROM memory areas 16
SYStem.Option MAPGAP Enable GAP memory areas 16
SYStem.Option MOR Mask option register setting 16
SYStem.Option WDT Watchdog enable 16
Exception Control ................................................................................................................ 17
RESET 17
Interrupt Control 18
Memory Classes ................................................................................................................... 19
State Analyzer ....................................................................................................................... 20
Keywords for the Trigger Unit 20
Keywords for the Display 21
Port Analyzer ........................................................................................................................ 22
Keywords for the Port Analyzer 22
Additional Trace Channels 23
Compilers .............................................................................................................................. 24
3rd Party Tool Integrations 24
Emulation Frequency ........................................................................................................... 26
Emulation Modules .............................................................................................................. 27
Overview 27
Order Information 28
Physical Dimensions ........................................................................................................... 29
Adapter .................................................................................................................................. 37
ICE Emulator for 68HC11
ICE Emulator for 68HC11 ................................................................................(ice_hc11.pdf) 1
WARNING .............................................................................................................................. 4
Quick Start ............................................................................................................................ 5
Troubleshooting ................................................................................................................... 6
TRACE32 Directory 400 ©1989-2017 Lauterbach GmbH
Hang-Up 6
Dualport Errors 6
FAQ ........................................................................................................................................ 7
Basics .................................................................................................................................... 11
Emulation Modes 11
CPU Modes 13
SYStem.Clock Clock generation 15
SYStem.Access Dualport Modes 15
Code Sequencer 16
General SYStem Settings and Restrictions ....................................................................... 17
General Restrictions 17
SYStem.Option Trace Trace options 19
SYStem.Option PerReset Reset target 19
SYStem.Option TestClock Clock sense 19
SYStem.Option BASE RAM and register mapping 20
SYStem.Option PLL PLL mode 20
SYStem.Option RWMC Memory strobe mode 20
SYStem.Option TRANS Transparent mode 21
SYStem.Line EC Strobe control 21
Exception Control ................................................................................................................ 22
RESET Control 22
eXception.Activate Force exception 23
eXception.Enable Enable exception 24
eXception.Trigger Trigger on exception 25
eXception.Pulse Stimulate exception 26
EEPROM Management ......................................................................................................... 27
Banked Target Systems ....................................................................................................... 28
Internal 29
External 29
Memory Access Routines 31
MMU ....................................................................................................................................... 32
Using the MMU for 68HC11K 32
SYStem.Option MMU MMU usage 32
Using the MMU for 68HC11C 35
Memory Classes ................................................................................................................... 38
State Analyzer ....................................................................................................................... 39
Keywords for the Trigger Unit 39
Keywords for the Display 40
Port Analyzer ........................................................................................................................ 41
Keywords for the Port Analyzer 41
TRACE32 Directory 401 ©1989-2017 Lauterbach GmbH
Additional Trace Channels 41
Compilers .............................................................................................................................. 43
3rd Party Tool Integrations .................................................................................................. 44
Realtime Operation Systems ............................................................................................... 45
Emulation Frequency ........................................................................................................... 46
Emulation Modules .............................................................................................................. 47
Module Overview 47
Order Information 48
Operation Voltage ................................................................................................................ 49
Physical Dimensions ........................................................................................................... 50
Adapter .................................................................................................................................. 57
ICE Emulator for MELPS 7700
ICE Emulator for MELPS 7700 ..................................................................... (ice_melps.pdf) 1
WARNING .............................................................................................................................. 4
Quick Start ............................................................................................................................ 5
Troubleshooting ................................................................................................................... 8
FAQ ........................................................................................................................................ 9
Configuration ........................................................................................................................ 11
Basics .................................................................................................................................... 12
Emulation Modes 12
SYStem.Clock Clock generation 13
SYStem.CPU CPU modes 14
SYStem.Access Dualport modes 14
General SYStem Settings and Restrictions ....................................................................... 15
General Restrictions 15
SYStem Settings ................................................................................................................... 20
SYStem.Option BreakWin Break window select 20
SYStem.Option TestClock Clock error check 21
SYStem.Option RamWait Emulator wait insertion 21
SYStem.Option V33 3.3 V targets 21
SYStem.Option DISWD Disable internal watch dog 22
SYStem.Option PMRS Processor mode register sense 22
SYStem.Option TRACEP3 Port 3 trace 23
MAP.OPFetch Define program area 23
Memory Classes ................................................................................................................... 24
TRACE32 Directory 402 ©1989-2017 Lauterbach GmbH
State Analyzer ....................................................................................................................... 25
Keywords for the Trigger Unit 25
CPU specific Data Selectors 26
Keywords for the Display 26
Dequeueing 26
Port Analyzer ........................................................................................................................ 27
Keywords for the Port Analyzer 27
Compilers .............................................................................................................................. 28
3rd Party Tool Integrations .................................................................................................. 29
Realtime Operation Systems ............................................................................................... 30
Emulation Frequency ........................................................................................................... 31
Emulation Modules .............................................................................................................. 32
Module Overview 32
Order Information 33
Operating Voltage ................................................................................................................ 34
Physical Dimensions ........................................................................................................... 35
Adapter .................................................................................................................................. 41
ICE Emulator for C166/ST10
ICE Emulator for C166/ST10 ........................................................................(ice_c166.pdf) 1
Warning .............................................................................................................................. 4
Quick Start ......................................................................................................................... 6
Configuration ..................................................................................................................... 10
Troubleshooting ................................................................................................................ 12
Hang-Up Conditions 12
Dualport Errors 12
FAQ ..................................................................................................................................... 13
Basics ................................................................................................................................. 17
Emulation Modes 18
Dualport Modes 19
General SYStem Settings and Restrictions .................................................................... 20
Restrictions 20
SYStem.BOOTLDR Define the boot loader program 20
SYStem.Clock Clock generation 20
SYStem.CPU CPU type selection 21
SYStem.Option E2 Select “bondout” type 21
SYStem.Option ONCE On-circuit emulation 21
TRACE32 Directory 403 ©1989-2017 Lauterbach GmbH
SYStem.Option ONCEReset On-circuit emulation reset 22
SYStem.Option V33 Target voltage sense level 22
SYStem.RESetOut Peripheral reset 22
SYStem.Option BusType Bus mode 23
SYStem.Option MuxMode Bus MUX mode 23
SYStem.Option resetmode Start-up modes 24
SYStem.Option Trace Trace modes 25
SYStem.Option <freeze> Freeze modes 26
SYStem.Option lines Lines 27
SYStem.Option wait Wait system 28
SYStem.Option TestClock Clock test 28
SYStem.Option BreakWin Break window 29
SYStem.Option EmuBreak Breakpoint interrupt 29
SYStem.Option SGT Segmentation 30
SYStem.Option CS Chip selects 31
SYStem.Option CLOCK PLL selects 31
SYStem.Option ROMSIZE ROM size 32
SYStem.Option MODE MODE 32
MAP.BUS8 Bus width mapping 33
MAP.OPFetch Code area mapping 33
Exception Control ............................................................................................................. 34
Schematics 34
eXception.state Exception control 35
eXception.Activate Force exception 35
eXception.Enable Enable exception 36
eXception.Trigger Trigger on exception 36
eXception.Pulse Stimulate exception 38
Bus System ........................................................................................................................ 39
Banking .............................................................................................................................. 40
Bondout Features .............................................................................................................. 41
Internal Breakpoints 42
Execution Breakpoints 44
ROM Data Breakpoints 44
Analyzer Functions 45
Special Functions .............................................................................................................. 51
Memory Classes ................................................................................................................ 51
State Analyzer .................................................................................................................... 52
Keywords for the Trigger Unit 52
Keywords for the Display 55
Bondout Information Display 56
Dequeueing 57
TRACE32 Directory 404 ©1989-2017 Lauterbach GmbH
Port Analyzer ..................................................................................................................... 58
Keywords for the Port Analyzer 80C166 58
Keywords for the Port Analyzer C161/C163/C165/C167/VECON 58
Keywords for the Port Analyzer PMB2800 58
Compilers ........................................................................................................................... 60
3rd Party Tool Integrations ............................................................................................... 61
Realtime Operation Systems ............................................................................................ 62
Emulation Frequency ........................................................................................................ 63
NOP/DENIED Mode 63
GAP Mode 63
Emulation Modules ........................................................................................................... 64
Module Overview 64
Order Information 65
Operation Voltage ............................................................................................................. 66
Adapter ............................................................................................................................... 84
C166/ST10 Application Note ................................................................................................
Update C167-E2 to C167-E3 ................................................................ (app_ice166.pdf) 1
Changes .......................................................................................................................... 2
Bottom View Bondout Module 3
Bottom View Bondout Socket 4
ICE Emulator for the 80186 and 80196
ICE Emulator for the 80186 and 80196 ..........................................................(ice_x186.pdf) 1
WArning ................................................................................................................................ 6
Quick Start ............................................................................................................................ 7
Troubleshooting ................................................................................................................... 11
Hang-Up 11
Dual-Port Errors 12
FAQ ........................................................................................................................................ 13
Configuration ........................................................................................................................ 14
DIP-Switch Setting of 8086/8088/V20/V30 14
DIP-Switch Setting of 80186(EA)/80188(EA)/80C186(XL)/80C188(XL) 15
DIP-Switch Setting of 80186EB/80188EB/80186EC/80188EC, V40/V50 16
DIP-Switch Setting of 186EM/ES/ER/ED/188EM/ES/ER 17
DIP-Switch Setting of 186CC/CH/CU 18
Basics .................................................................................................................................... 19
Emulation Modes 19
TRACE32 Directory 405 ©1989-2017 Lauterbach GmbH
Dual-Port Access 21
SYStem.Clock Clock generation 21
General SYStem Settings and Restrictions ....................................................................... 22
General Restrictions 22
SYStem.Option BreakWin Break window 23
SYStem.Option ONCE On-circuit emulation 23
SYStem.Option RamWait Wait states 23
SYStem.Option REFresh Trace refresh cycles 24
SYStem.Option REL Relocation register 24
SYStem.Option SPLIT Access control on splitted memory 24
SYStem.Option TestClock Clock fail detection 24
SYStem.Option V33 3.3 V power fail detection 25
Continue with CPU specific Special Settings and Restrictions 25
Special Settings 8086/8088/V20/V30 ................................................................................... 26
SYStem.Option FPU Floating-point unit 26
SYStem.Option MAX MIN/MAX mode 27
Special Settings 80186/80188 .............................................................................................. 28
SYStem.Option FPU Floating-point unit 28
SYStem.Option MAX MIN/MAX mode 28
Special Settings C186(XL)/C188(XL)/EA and Restrictions ............................................... 29
Restrictions 80C186(XL)/80C188(XL)/80C186EA/80C188EA 29
SYStem.Option Enhanced Enhanced mode 29
SYStem.Option FPU Floating-point unit 29
Special Settings 80186EB/80188EB/80186EC/80188EC .................................................... 30
SYStem.Option FPU Floating-point unit 30
Special Settings 186EM, 188EM and Restrictions ............................................................. 31
Restrictions 186EM, 188EM 31
SYStem.CPU CPU type 31
SYStem.Line ADDR Shared address pins 32
SYStem.Line SRDY SRDY pin 32
Special Settings 186ES, 188ES, 186ED and Restrictions ................................................. 33
Restrictions 186ES, 188ES, 186ED 33
SYStem.CPU CPU type 33
SYStem.Line ADDR Shared address pins 34
SYStem.Line SRDY SRDY pin 34
Mapping 34
MAP.BUS8 Bus width mapping 35
Special Settings 186ER/188ER and Restrictions .............................................................. 36
Restrictions 186ER/188ER 36
SYStem.CPU CPU type 36
SYStem.Line ADDR Shared address pins 36
TRACE32 Directory 406 ©1989-2017 Lauterbach GmbH
SYStem.Line SRDY SRDY pin 37
SYStem.Option CLKSEL Clock select 37
SYStem.Option IMDIS Internal memory disable 37
SYStem.Option SREN Show read enable 37
Special Settings 186CC/CU/CH and Restrictions .............................................................. 38
Restrictions 186CC/CU/CH 38
SYStem.Line SRDY SRDY pin 38
SYStem.Line ARDY ARDY pin 39
SYStem.Option CLKSEL Clock select 39
Special Settings V40/V50 and Restrictions ........................................................................ 40
Restrictions V40/V50 40
Exception Control ................................................................................................................ 41
Reset 42
NMI 43
HOLD 44
DMA Modes 44
SYStem.Line HOLD Enable HOLD line (emulation stopped) 44
Interrupt and Timer Control 45
Interrupt Stimulation 47
INT0 48
Trap Trigger 50
Refresh Operation ................................................................................................................ 51
FPU ........................................................................................................................................ 51
I/O Connector for Coprocessor (8086/8087) 52
Memory Classes ................................................................................................................... 53
Segmentation ........................................................................................................................ 55
Banked Target Systems ....................................................................................................... 56
Memory and I/O Access Routines 58
Monitor Extensions .............................................................................................................. 59
State Analyzer ....................................................................................................................... 60
Keywords for the Trigger Unit 60
Keywords for the Display 65
Dequeueing 66
Port Analyzer ........................................................................................................................ 67
Keywords for the Port Analyzer (8086/8088/V20/V30) 67
Keywords for the Port Analyzer (80(C)186(XL)/80(C)188(XL)/EA) 68
Keywords for the Port Analyzer (80186EB/80188EB) 69
Keywords for the Port Analyzer (80186EC/80188EC) 70
Keywords for the Port Analyzer (18xEM/18xES/18xER/186ED) 71
Keywords for the Port Analyzer (V40/V50) 73
TRACE32 Directory 407 ©1989-2017 Lauterbach GmbH
Input Connector for free Channels (8086/8088/80186/80188) 74
Input Connector for free Channels (80186EB/80188EB) 74
Input Connector for free Channels (18xEM/18xES/18xER/186ED/CC) 75
Compilers .............................................................................................................................. 76
3rd Party Tool Integrations .................................................................................................. 77
Realtime Operation Systems ............................................................................................... 78
Emulation Frequency ........................................................................................................... 79
Emulation Modules .............................................................................................................. 80
Module Overview 80
Order Information 81
Operating Voltage ................................................................................................................ 83
Physical Dimensions ........................................................................................................... 84
Adapter .................................................................................................................................. 93
ICE Emulator for INTEL 196K Family
ICE Emulator for INTEL 196K Family .............................................................(ice_196k.pdf) 1
WARNING .............................................................................................................................. 4
Quick Start ............................................................................................................................ 5
Troubleshooting ................................................................................................................... 9
Hang-Up 9
Dual-Port Errors 10
FAQ ........................................................................................................................................ 11
Configuration ........................................................................................................................ 12
DIP-Switch Setting of 80C196KB/KC/KD/194/198 12
DIP-Switch Setting of 80C196EN 14
Basics .................................................................................................................................... 15
Emulation Modes 15
SYStem.Clock Clock generation 17
Dual-Port Access 17
General Settings and Restrictions ...................................................................................... 18
General Restrictions 18
SYStem.Line ALE ALE line 19
SYStem.Option FAST Fast mode 19
SYStem.Option PLLEN Enable PLL 19
SYStem.Option BreakWin Break window 19
SYStem.Option CCR Configuration register 20
SYStem.Option HOLD HOLD protocol 20
TRACE32 Directory 408 ©1989-2017 Lauterbach GmbH
SYStem.Option MicroCon Microcontroller mode 20
SYStem.Option ONCE On-circuit emulation 20
SYStem.Option RamWait Wait states 21
SYStem.Option TestClock Clock fail detection 21
SYStem.Option V33 3.3 V power fail detection 21
Continue with CPU specific Special Settings and Restrictions 21
Special Restrictions 196KD ................................................................................................. 22
Special Settings 196EN ........................................................................................................ 23
SYStem.Option WDT Watchdog timer 23
SYStem.Line.ADDR NT 24-bit addressing 23
SYStem.Option HighAddr NT 24-bit addressing 24
Exception Control ................................................................................................................ 25
Schematics 25
eXception.Activate Force exception 26
eXception.Trigger Trigger on exception 27
eXception.Pulse Stimulate exception 29
Mapping ................................................................................................................................. 30
MAP.BUS8 Bus width mapping 30
Memory Classes ................................................................................................................... 31
Banked Target Systems ....................................................................................................... 32
Internal 33
External 33
Memory Access Routines 34
State Analyzer ....................................................................................................................... 35
Keywords for the Trigger Unit 35
Keywords for the Display 35
Dequeueing 35
Port Analyzer ........................................................................................................................ 36
Keywords for the Port Analyzer (196KB, KC, KD) 36
Keywords for the Port Analyzer (196EN) 36
Input Connector for free Channels 37
Compilers .............................................................................................................................. 38
3rd Party Tool Integrations .................................................................................................. 39
Emulation Frequency ........................................................................................................... 40
Emulation Modules .............................................................................................................. 41
Module Overview 41
Order Information 42
Physical Dimensions ........................................................................................................... 44
Adapter .................................................................................................................................. 53
TRACE32 Directory 409 ©1989-2017 Lauterbach GmbH
ICE Emulator for 386/486
ICE Emulator for 386/486 .................................................................................(ice_i386.pdf) 1
WARNING .............................................................................................................................. 6
Quick Start ............................................................................................................................ 7
Troubleshooting ................................................................................................................... 12
Hang-Up 12
Dualport Errors 13
FAQ ........................................................................................................................................ 14
Configuration ........................................................................................................................ 17
DIP-Switch Setting of 386SX/CX 17
DIP-Switch Setting of 486 18
Cableset 19
Basics .................................................................................................................................... 20
Emulation Modes 20
SYStem.Clock Clock generation 22
Dualport Access 22
General SYStem Settings and Restrictions ....................................................................... 23
General Restrictions 23
SYStem.Option BreakWin Break window 23
SYStem.Option FAST High speed mode 24
SYStem.Option FASTA High speed mode A 24
SYStem.Option ONCE On-circuit emulation 24
SYStem.Option PreMap Address lines 25
SYStem.Option SYNCH Synchronization mode 26
SYStem.Option TestClock Clock fail detection 26
SYStem.Option V33 3.3 V power fail detection 27
Continue with CPU specific Special Settings and Restrictions 27
Special Settings 386EX (no SMMC) and Restrictions ....................................................... 28
Restrictions 386EX (no SMMC) 28
SYStem.Option BreakNMI Break with 2nd NMI 30
SYStem.Option Protected Real mode/protected mode 31
SYStem.Option Prot16 Protected mode: 16 bit/32 bit code 32
SYStem.Option IDT Interrupt descriptor table base 33
Special Settings 386EX (SMMC) and Restrictions ............................................................ 34
Restrictions 386EX (SMMC) 34
SYStem.Option BOOT Real mode boot segment 35
SYStem.Option Protected Real mode/protected mode 36
SYStem.Option Prot16 Protected mode: 16 bit/32 bit code 38
SYStem.Option IDT Interrupt descriptor table base 39
TRACE32 Directory 410 ©1989-2017 Lauterbach GmbH
Special Settings 386SX, 386CX, 386DX and 486 (no SMMC) and Restrictions .............. 40
Restrictions 386SX, 386CX, 386DX and 486 (no SMMC) 40
SYStem.Option Protected Real mode/protected mode 42
SYStem.Option Prot16 Protected mode: 16 bit/32 bit code 43
SYStem.Option IDT Interrupt descriptor table base 44
SYStem.Option Wait Wait cycles 44
Special Settings 486 (SMMC) and Restrictions ................................................................. 46
Restrictions 486 (SMMC) 46
SYStem.Option BOOT Real mode boot segment 47
SYStem.Option Protected Real mode/protected mode 47
SYStem.Option Prot16 Protected mode: 16 bit/32 bit code 49
SYStem.Option IDT Interrupt descriptor table base 50
SYStem.Option CACHE Disable cache 50
SYStem.Option BURST Disable burst 51
SYStem.Option Wait Wait cycles 51
Specific System Settings ..................................................................................................... 53
Exception Control ................................................................................................................ 54
Schematics 55
DMA Modes 57
SYStem.Line HOLD Enable HOLD line (emulation stopped) 57
eXception.Activate Force exception 58
eXception.Enable Enable exception 59
eXception.Trigger Trigger on exception 61
eXception.Pulse Stimulate exception 62
Interrupt Stimulation 62
Mapping ................................................................................................................................. 63
MAP.PRE Premapper 63
MAP.BUS Buswidth mapping 64
MAP.NoCache] No cache mapping 64
FPU ........................................................................................................................................ 65
MMU ....................................................................................................................................... 66
MMU.DUMP Dump descriptor tables 66
MMU.SCAN Scan descriptor tables 67
MMU.PDUMP Dump page tables 67
MMU.PSCAN Scan page tables 67
Special Functions ................................................................................................................. 68
Memory Classes ................................................................................................................... 69
Overview 69
Real Mode Addressing 71
Protected Mode Addressing 71
TRACE32 Directory 411 ©1989-2017 Lauterbach GmbH
State Analyzer ....................................................................................................................... 72
Keywords for the Trigger Unit 72
Keywords for the Display 74
Dequeueing 75
Port Analyzer ........................................................................................................................ 76
Keywords for the Portanalyzer (386EX) 76
Input Connector for free Channels (386EX) 77
Compiler ................................................................................................................................ 78
Compiler Support Real Mode 78
Compiler Support Protected Mode 79
3rd Party Tool Integration .................................................................................................... 80
Realtime Operation Systems ............................................................................................... 81
Emulation Frequency ........................................................................................................... 82
Emulation Modules .............................................................................................................. 83
Module Overview 83
Order Information 84
Operating Voltage ................................................................................................................ 85
Physical Dimensions ........................................................................................................... 86
Adapter .................................................................................................................................. 93
ICE Emulator for 8051
ICE Emulator for 8051 .........................................................................................(ice_51.pdf) 1
WARNING .............................................................................................................................. 3
Quick Start ............................................................................................................................ 4
Troubleshooting ................................................................................................................... 7
FAQ ........................................................................................................................................ 8
Configuration ........................................................................................................................ 12
8051 12
80152 13
C515C 13
C505C 14
Basics .................................................................................................................................... 15
Emulation Modes 15
SYStem.Clock Clock generation 16
SYStem.CPU CPU modes 17
SYStem.Access Dualport access 17
General SYStem Settings and Restrictions ....................................................................... 18
TRACE32 Directory 412 ©1989-2017 Lauterbach GmbH
General Restrictions 18
Special I/O-Register Module M582 18
Special I/O-Register Module MCL580 21
Special I/O-register Module 517E 22
Internal Memory 23
SYStem.Line Bus configuration 24
SYStem.Line CPU signals 25
SYStem.Option DUMMY DUMMY cycles 25
SYStem.Options ................................................................................................................... 26
SYStem.Option IOSTOP Stop peripherals 26
SYStem.Option DMA DMA operation 27
SYStem.Option TestClock Clock error check 28
Exception Control ................................................................................................................ 29
eXception.Activate Force exception 29
eXception.Enable Enable exception 29
eXception.Trigger Trigger on exception 30
eXception.Pulse Stimulate exception 31
Banked Target Systems ....................................................................................................... 32
Internal 32
External 33
Memory Access Routines 36
Memory Classes ................................................................................................................... 37
State Analyzer ....................................................................................................................... 38
Keywords for the Trigger Unit 38
Keywords for the Display 40
Dequeueing 40
Port Analyzer ........................................................................................................................ 41
Keywords for the Port Analyzer 41
Additional Trace Channels 42
Support .................................................................................................................................. 45
Compilers 45
3rd Party Tool integrations 45
Realtime Operation Systems 46
Emulation Frequency ........................................................................................................... 47
Emulation Modules .............................................................................................................. 49
Module Overview 49
Order Information 53
Physical Dimensions ........................................................................................................... 54
Adapter .................................................................................................................................. 79
TRACE32 Directory 413 ©1989-2017 Lauterbach GmbH
ICE Emulator for 90CL301
ICE Emulator for 90CL301 ............................................................................(ice_90301.pdf) 1
Warning ................................................................................................................................. 4
Quick Start ............................................................................................................................ 5
Troubleshooting ................................................................................................................... 6
Hang-Up 6
Dualport Errors 7
FAQ ........................................................................................................................................ 8
Basics .................................................................................................................................... 9
Oscillator Replacement 9
Emulation Modes 10
Dualport Modes 11
General SYStem Settings and Restrictions ....................................................................... 12
General Restrictions 12
SYStem.Option TestClock Clock fault detection 12
SYStem.Option PDE Chip select modes 12
SYStem.Option FCODE Function codes 13
SYStem.Option ONCE On-Circuit emulation 13
SYStem.Option A23 Address line A23 13
SYStem.Option V33 Operation voltage 13
SYStem.Option RD 8051 RD line active 13
SYStem.Option WR 8051 WR line active 14
SYStem.Option BUS8 Program bus size 14
SYStem.Option BUSDYN Dynamic bus sizing 14
System.Option MonBase Monitor relocation 14
MAP.BUS8 Bus size setup 15
Exception Control ................................................................................................................ 16
RESET 17
HALT 18
RESETIN 19
BR 19
Memory Classes ................................................................................................................... 20
State Analyzer ....................................................................................................................... 22
Keywords for the Trigger Unit 22
Keywords for the Display 23
Dequeueing 23
Port Analyzer ........................................................................................................................ 24
Keywords for the Port Analyzer 24
Compilers .............................................................................................................................. 25
TRACE32 Directory 414 ©1989-2017 Lauterbach GmbH
3rd Party Tool Integrations .................................................................................................. 27
Realtime Operation Systems ............................................................................................... 28
Emulation Frequency ........................................................................................................... 29
Emulation Modules .............................................................................................................. 30
Module Overview 30
Order Information 30
Operating Voltage ................................................................................................................ 31
Physical Dimensions ........................................................................................................... 32
Adapter .................................................................................................................................. 33
Connector 34
ICE Emulator for Hitachi H8/300 and H8/500
ICE Emulator for Hitachi H8/300 and H8/500 ....................................................(ice_h8.pdf) 1
WARNING .............................................................................................................................. 4
Quick Start ............................................................................................................................ 5
Troubleshooting ................................................................................................................... 8
Dual-Port Errors 8
FAQ ........................................................................................................................................ 9
Basics .................................................................................................................................... 10
Emulation Modes 10
SYStem.Clock Clock generation 11
SYStem.CPU Processors type 11
SYStem.CPU Operation mode 12
Emulation Method 12
Configuration 13
System.Access Dual-port access 13
General System Settings and Restrictions ........................................................................ 14
Restrictions 14
MAP.OPFetch Define program area 14
SYStem.Option Processor options 15
Exception Control ................................................................................................................ 16
RES- Line 16
NMI- Line 16
STBY- Line 17
BREQ- Line 17
Memory Classes ................................................................................................................... 18
Banked Target Systems (H8/300) ........................................................................................ 19
TRACE32 Directory 415 ©1989-2017 Lauterbach GmbH
Internal 19
External 20
Memory and IO Access Routines 21
State Analyzer ....................................................................................................................... 22
Keywords for the Trigger Unit 22
CPU specific Data Selectors 23
Keywords for the Display 23
Dequeueing 23
Port Analyzer ........................................................................................................................ 24
Keywords for the Port Analyzer (H8/300) 24
Keywords for the Port Analyzer (H8/300H) 24
Additional Trace Channels 25
Keywords for the Port Analyzer (H8/500) 25
Compilers .............................................................................................................................. 26
Compilers H8_300 26
Compilers H8_300H 26
Compilers H8_500 26
Compilers H8s 27
3rd Party Tool Integrations .................................................................................................. 28
RTOS Support ....................................................................................................................... 29
Emulation Frequency ........................................................................................................... 30
Emulation Modules .............................................................................................................. 33
Module Overview 33
Order Information 38
Operation Voltage ................................................................................................................ 40
Physical Dimensions ........................................................................................................... 41
Adapter .................................................................................................................................. 52
ICE Emulator for PowerPC
ICE Emulator for PowerPC ....................................................................... (ice_mpc8xx.pdf) 1
WARNING .............................................................................................................................. 5
Quick Start ............................................................................................................................ 6
Troubleshooting ................................................................................................................... 7
Hang-Up 7
Dualport Errors 7
FAQ ........................................................................................................................................ 8
Basics .................................................................................................................................... 9
TRACE32 Directory 416 ©1989-2017 Lauterbach GmbH
SYStem.Mode 10
SYStem.Clock Clock generation 11
Dualport Modes 11
Mapping and CS Setting of the MPC505, PPC403 12
Mapping and CS setting of the MPC860/821 12
Jumper Settings of the MPC860/821 Probe 14
Adaption to different Clock Sources of the MPC860/821 Probe 15
Layout of the MPC860/821 Probe 16
General SYStem Settings and Restrictions ....................................................................... 19
General Restrictions 19
SYStem.Option PreMap Address lines 20
SYStem.Option PreMapMod Premapper mode 20
SYStem.Option TestClock Clock test 21
SYStem.Option BreakMask Break mask 22
SYStem.Option FREEZE Timer freeze modes 22
SYStem.Option VSYNC Synch trace signals 23
SYStem.Option CFLUSH Instruction cache flush 23
SYStem.Option ONCE On-circuit emulation 23
SYStem.Option BASE Peripheral base address 24
SYStem.Option RESETCONF Reset configuration 24
SYStem.Option IBUS IBUS control 24
SYStem.Option ICFLUSH Internal instruction cache flush 24
SYStem.Option ICREAD Instruction cache read 25
SYStem.Option DCREAD Date cache read 25
SYStem.Option WATCHDOG Disable watchdog 25
SYStem.Option BRKNOMSK tbd. 25
SYStem.Option FlowTrace tbd. 26
SYStem.Option ResetExt tbd. 26
SYStem.Option ResetMode tbd. 26
SYStem.Option SCRATCH tbd. 27
SYStem.Option TURBO tbd. 27
SYStem.Option Wait System wait states 27
Exception Control ................................................................................................................ 28
Schematics 28
eXception.Activate Force exception 30
eXception.Enable Enable exception 32
eXception.Pulse Stimulate exception 33
Mapping ................................................................................................................................. 35
MAP.BUS Bus width mapping 35
CPU specific MMU commands ............................................................................................ 36
MMU.TLB Display MMU TLB entries 36
MMU.TLBSCAN Load MMU TLB entries 36
TRACE32 Directory 417 ©1989-2017 Lauterbach GmbH
Memory Classes ................................................................................................................... 37
State Analyzer ....................................................................................................................... 38
Keywords for the Trigger Unit 38
Keywords for the Display 38
Port Analyzer ........................................................................................................................ 39
Keywords for the Port Analyzer 39
Additional Trace Channels 41
Compilers .............................................................................................................................. 43
3rd Party Tool Integrations .................................................................................................. 44
Realtime operation Systems ............................................................................................... 45
Emulation Modules .............................................................................................................. 47
Module Overview 47
Order Information 48
Operating Voltage ................................................................................................................ 49
Physical Dimensions ........................................................................................................... 50
Adapter .................................................................................................................................. 57
ICE Emulator for Z80 and Z180
ICE Emulator for Z80 and Z180 ........................................................................ (ice_z80.pdf) 1
WARNING .............................................................................................................................. 4
Quick Start ............................................................................................................................ 5
Troubleshooting ................................................................................................................... 8
Hang-Up 8
Dual-Port Errors 8
FAQ ........................................................................................................................................ 9
Basics .................................................................................................................................... 11
Peripherals Window 11
Z80182 Emulation hints 11
Probe Configuration 12
Emulation Modes 17
SYStem.Clock Clock generation 18
SYStem.CPU MCU operation modes 18
SYStem.Access Dual-port access 19
General Settings and Restrictions ...................................................................................... 20
Restrictions 20
SYStem.Line BusReq Bus access control 20
SYStem.Option REFresh Trace option 21
TRACE32 Directory 418 ©1989-2017 Lauterbach GmbH
SYStem.Option Wait options 21
SYStem.Option OMCR OMCR register 21
SYStem.Option DOut Bus control for daisy chain 22
SYStem.Option IO8 Size of I/O space 22
SYStem.Option V33 Voltage sense 22
Exception Control ................................................................................................................ 23
Schematics 24
eXception.Activate Force exception 26
eXception.Enable Enable exception 27
eXception.Trigger Trigger on exception 28
eXception.Pulse Stimulate exception 29
eXception.Vector Define vector 29
MAP.DMA DMA area 30
EEPROM Management ......................................................................................................... 31
Using the MMU for Banked Target Systems ...................................................................... 32
SYStem.BankFile Select banking file 32
SYStem.Bank Select bank mode 33
Internal Bank 33
External Bank 34
Extended 35
Parameters for Banking Program 36
Memory and IO Access Routines 37
Using the MMU for Z180 37
Memory Classes ................................................................................................................... 40
State Analyzer ....................................................................................................................... 42
Keywords for the Trigger Unit 42
Keywords for the Display 45
Port Analyzer ........................................................................................................................ 46
Keywords for the Port Analyzer (Z180,84C15) 46
Keywords for the Port Analyzer (Z181) 47
Additional Trace Channels .................................................................................................. 49
Compilers .............................................................................................................................. 53
3rd Party Tool Integration .................................................................................................... 54
Realtime Operation Systems ............................................................................................... 55
Emulation Frequency ........................................................................................................... 56
Emulation Modules .............................................................................................................. 57
Module Overview 57
Order Information 57
Physical Dimensions ........................................................................................................... 59
TRACE32 Directory 419 ©1989-2017 Lauterbach GmbH
Adapter .................................................................................................................................. 70
Timing Analyzer
Timing Analyzer User's Guide
Timing Analyzer User's Guide ......................................................................(time_user.pdf) 1
Functional Units ................................................................................................................... 3
Timing Analyzer (TA32) 4
Pattern Generator (PATT16) 5
Serial Line Tester (SLT) 6
Input/Output Probes 7
Input Probe Assignments .................................................................................................... 8
Output Probe Assignments ................................................................................................. 9
General Functions ................................................................................................................ 10
Timing Analyzer .................................................................................................................... 11
Function 11
Analyzer Control ................................................................................................................... 12
Basic Trace Control 12
Operation Modes 14
Automatic Trace Control 14
Using the Trigger Delay 15
Trace Modes 15
Display Trace ........................................................................................................................ 16
Display Commands 16
Search and Compare 18
Real-Time Displays 18
Saving Trace Buffers 19
Using the Trigger Unit 20
Pattern Generator ................................................................................................................. 21
Function 21
System Control 22
Clock Generator 23
Trigger System 23
Pattern Storage 24
Pattern Display 27
Load and Store 28
Serial Line Tester ................................................................................................................. 29
Function 29
Set-up 30
TRACE32 Directory 420 ©1989-2017 Lauterbach GmbH
Timing Analyzer Reference Guide
Timing Analyzer Reference Guide ..................................................................(time_ref.pdf) 1
Commands ............................................................................................................................ 4
Analyzer.Arm Arm analyzer 4
Analyzer.AutoArm Automatic arming 4
Analyzer.AutoInit Automatic initialization 5
Analyzer.AutoTEST Continuous measurement 5
Analyzer.ComClk Communication clock select 6
Analyzer.ExtClk Synchronous clock select 6
Analyzer.Find Find entry 7
Analyzer.GOTO Jump to entry 8
Analyzer.Init Initialization 8
Analyzer.List Display trace buffer 9
Analyzer.LOAD Load reference buffer 13
Analyzer.Mode Configuration 13
Analyzer.OFF Switch off 14
Analyzer.Program Program trigger unit 15
Analyzer.REF Set reference point 16
Analyzer.ReProgram Program trigger unit 16
Analyzer.RESet Reset analyzer 16
Analyzer.SAVE Save trace buffer 17
Analyzer.state Display state 18
Analyzer.SYnch Synchronous channel mode 19
Analyzer.TDelay Set trigger delay 19
Analyzer.TEST Init and arm 20
Analyzer.Timing Waveform of trace buffer 20
Analyzer.TRansient Transient channel mode 22
Serial Line Tester ................................................................................................................. 23
ComA.Arm Arm SLT 23
ComA.BaudRate Baud rate 23
ComA.BITS Transfer bits 24
ComA.CLOSE Close file transfer 24
ComA.Connect Connection 25
ComA.Dump.Mode Display data mode 26
ComA.Dump.RESet Reset trace buffer 26
ComA.Dump.SIZE Define buffer size 26
ComA.Dump.view Display data 27
ComA.Init Initialization 27
ComA.Mode Mode enable output 28
ComA.OFF Switch off 28
ComA.PARity Parity bits 29
ComA.READ Transfer file 29
TRACE32 Directory 421 ©1989-2017 Lauterbach GmbH
ComA.RESet Reset SLT 29
ComA.SAMple Analyzer sample 30
ComA.Send Transfer data 30
ComA.state Display state 31
ComA.StopBits Stop bits 32
ComA.TERM.RESet Reset terminal parameters 32
ComA.TERM.SIZE Define terminal size 32
ComA.TERM.view Display virtual terminal 33
ComA.TMode Transfer mode 33
ComA.WRITE Transfer to file 34
Names .................................................................................................................................... 35
NAME.list Display logical names 35
NAME.Polarity Setup polarity handling 36
NAME.RESet Reset logical names 37
NAME.Set Change logical names 37
Pattern Generator ................................................................................................................. 38
Pattern.Arm Arm analyzer 38
Pattern.CEnable Pattern clock control 38
Pattern.CMode Pattern clock select 39
Pattern.GOTO Jump to entry 39
Pattern.Init Initialization 40
Pattern.List Display pattern memory 40
Pattern.LOAD Load reference buffer 44
Pattern.OFF Disable pattern generator 44
Pattern.Program Program pattern generator 45
Pattern.REF Set reference point 46
Pattern.ReProgram Program pattern generator 47
Pattern.RESet Reset patttern generator 47
Pattern.SAVE Save trace buffer 47
Pattern.state Display state 48
Pattern.Step Single step function 49
Pattern.TEST Run pattern generator 49
Pattern.TIMESCALE Define raster 50
Pattern.Timing Display pattern memory 50
Pattern.TLatch Trigger latch 53
Pattern.TMode Trigger mode 53
Pattern.TSelect Trigger input select 54
RESet ..................................................................................................................................... 55
RESet General reset function 55
STOre ..................................................................................................................................... 56
STOre Save set-up 56
TRACE32 Directory 422 ©1989-2017 Lauterbach GmbH
Timing Analyzer Trigger Unit Programming Guide
Timing Analyzer Trigger Unit Programming Guide ................................... (time_prog.pdf) 1
Analyzer Programming ........................................................................................................ 3
Program Structure ................................................................................................................ 4
Sample Trigger Program 5
Declarations .......................................................................................................................... 6
Data Selectors 6
Event Counters 7
Flags 8
Time Counters 8
Global Instructions ............................................................................................................... 9
Local Instructions ................................................................................................................ 10
Output command table 11
Events .................................................................................................................................... 12
Counter Events 12
Data Selectors 12
Flags 13
Time Events 13
Other Events 13
Conditions ............................................................................................................................. 14
Levels .................................................................................................................................... 16
CONTinue 16
GOTO 16
TRIGGER, BREAK 17
Programming Examples ...................................................................................................... 18
Selective Recording 18
Stopping the Analyzer 20
Stimulating Output Lines 20
Using the Internal Trigger Bus 21
Time and Event Counters 22
Using Flags 23
Switching Trigger Levels 24
Declaration Reference ......................................................................................................... 25
DATA Data selectors 25
EVENTCOUNTER Event counter 26
FLAGS Flags 28
TIMECOUNTER Time counter 29
Instruction Reference .......................................................................................................... 32
BREAK Analyzer stop 32
TRACE32 Directory 423 ©1989-2017 Lauterbach GmbH
Bus Bus trigger 32
CONTinue Sequential level switching 33
Counter Counter control 33
Flag Flag control 36
GOTO Level switching 37
Out Output control 38
Sample Recording control 38
Trigger Analyzer stop 40
TA32 Programming Language Syntax ............................................................................... 41
FIRE In-Circuit Emulator
FIRE User's Guide
FIRE User's Guide ..............................................................................................(fire_user.pdf) 1
Concept .................................................................................................................................... 3
Modules 3
Basic Emulator Concept ......................................................................................................... 14
Modularity 14
Buffered Probes 14
Memories 15
Dual-Port Technology 15
Emulator Functions ................................................................................................................. 16
Mapper 16
Breakpoint System 21
Trigger System 26
Analyzer 28
Performance Analyzer 31
Flag System 34
Execution Time Measurement 35
Exception Control 37
Frequency Generator 38
Universal Counter 39
Pulse Generator 41
Getting Started ........................................................................................................................ 42
Index (local) ............................................................................................................................. 43
TRACE32 Directory 424 ©1989-2017 Lauterbach GmbH
ICE Analyzer System
ICE/FIRE Analyzer User's Guide
ICE/FIRE Analyzer User's Guide ...........................................................(analyzer_user.pdf) 1
Analyzer Types ..................................................................................................................... 3
SA120 3
HA120 4
HAC 5
ECC8 6
Functional Units ................................................................................................................... 7
Trace Memory 7
Time Stamp Unit 10
Performance Analyzer 10
Trigger Unit 10
External Trigger Inputs 10
Trigger Output 12
Analyzer Control ................................................................................................................... 13
Basic Trace Control 13
Operation Modes 14
Automatic Trace Control 15
Special Operation Modes 16
Hypertrace Operation Modes 16
Display Trace ........................................................................................................................ 17
Display Commands 17
Search and Compare 19
Real-Time Displays 20
Saving Trace Buffers 21
Using the Trigger Unit 22
Prestore Mode 23
Statistic Analysis .................................................................................................................. 24
Time Charts ........................................................................................................................... 28
Coverage Analysis ............................................................................................................... 30
Performance Analysis .......................................................................................................... 31
Analyzer Structure 31
Commands 32
Display Results 34
Manual Address Selection 34
TRACE32 Directory 425 ©1989-2017 Lauterbach GmbH
FIRE Analyzer Programming
ICE/FIRE Analyzer Trigger Unit Programming Guide ..................... (analyzer_prog.pdf) 1
Analyzer Programming ..................................................................................................... 4
Program Structure ............................................................................................................. 5
Sample Trigger Program 6
Declarations ....................................................................................................................... 7
Address Selectors 7
Data Selectors 7
Data Latch 8
External Trigger 8
Event Counters 9
Flags 10
Hardware Message Event 10
Ownership Trace Message Event 11
Time Counters 11
Declaration Table 12
Global Instructions ............................................................................................................ 14
Local Instructions ............................................................................................................. 15
Output Command Tables .................................................................................................. 16
Events ................................................................................................................................. 19
Address Selectors 19
Counter Events 20
Data Latch Events 20
Data Selectors 21
External Clocked Counter Events 21
External Trigger Events 21
Flags 21
Hardware Message Events 22
Ownership Trace Message Events 22
Time Events 22
Other Events 22
Input Event Table 23
Conditions .......................................................................................................................... 26
Levels ................................................................................................................................. 28
GOTO 28
CONTinue 28
Programming Examples ................................................................................................... 29
Selective Recording 29
HLL Lines Trace 30
TRACE32 Directory 426 ©1989-2017 Lauterbach GmbH
Stopping the Analyzer 31
Stopping the User Program 32
Exception Generator 33
Spot Point Execution 33
Stimulating Output Lines 34
Using the Internal Trigger Bus 35
Using Markers 35
Time Counters 36
Event Counters 38
Using Flags 40
Switching Trigger Levels 41
Declaration Reference ...................................................................................................... 42
ADDRESS Address selectors 42
DATA Data selectors 44
DLATCH Data latch 48
EVENTCOUNTER Event counter 49
EXTERNCOUNTER External counter 52
FLAGS Flags 52
HWME Hardware message events 53
OTME Ownership trace message events 54
TIMECOUNTER Time counter 55
TRIG External triggers 58
Instruction Reference ....................................................................................................... 60
Aux Auxiliary trigger 60
BREAK Analyzer stop 61
Bus Bus trigger 62
CONTinue Sequential level switching 63
Counter Counter control 64
Flag Flag control 68
GOTO Level switching 69
Latch Data latch 71
Mark Recording markers 72
Out Output control 72
Perf Performance analyzer control 74
Sample Recording control 74
Trigger Trigger control 77
Trigger Programming Language Syntax ......................................................................... 79
Analyzer Programming Extensions HAC ........................................................................ 82
Trigger Unit 82
Trigger Unit Constructions 83
Input Events 88
Output Events 90
TRACE32 Directory 427 ©1989-2017 Lauterbach GmbH
Logical Levels 90
ICE/FIRE Analyzer Programming Dialog ........................................(analyzer_dialog.pdf) 1
Introduction ....................................................................................................................... 2
How to Start 2
Programming ..................................................................................................................... 3
Elements in the Dialog Box 3
Definitions 9
Examples 18
FIRE Port Analyzer User´s Guide
FIRE Port Analyzer User´s Guide ...............................................................(fireport_user.pdf) 1
Basic Function ......................................................................................................................... 3
Inputs 6
Port Analyzer State Display .................................................................................................... 8
Run in Slave Mode .................................................................................................................. 9
Run in Timing Mode ................................................................................................................ 10
Trigger System ........................................................................................................................ 12
Function 12
Trigger Channel Selector 13
Trigger Word 13
Trigger Combiner 14
Trigger PreDelay 14
Trigger Filter 14
Trigger Counter 15
Trigger Delay 15
Trigger Out 15
Trigger Setting 16
Asynchronous Trigger ............................................................................................................ 17
Asynchronous Trigger Setting 20
Counter ..................................................................................................................................... 22
Signal Selection 22
Display Information ................................................................................................................. 23
Store and Reload 24
Analyze Traced Data 24
Products ................................................................................................................................... 27
Product Information 27
Order Information 27
TRACE32 Directory 428 ©1989-2017 Lauterbach GmbH
FIRE Target Guides
FIRE Emulator for HC12/MCS12
FIRE Emulator for HC12/MCS12 .................................................................... (fire_hc12.pdf) 1
Warning ................................................................................................................................. 4
Quick Start ............................................................................................................................ 5
Troubleshooting ................................................................................................................... 8
Hang-up 8
Dual-Port Errors 8
FAQ ........................................................................................................................................ 9
Basics .................................................................................................................................... 10
Emulation Modes .................................................................................................................. 11
SYStem.Mode Emulation modes 11
CPU Type and Mode ............................................................................................................. 12
SYStem.Access Define method for dual-port access 12
SYStem.CPU CPU select 13
General System Settings and Restrictions ........................................................................ 14
Restrictions 14
SYStem.LOCK Multicore applications 14
SYStem.Option Trace options 15
SYStem.Option PerRest Reset target 15
SYStem.Option VDDPLL Enable PLL 15
SYStem.Option WATCHDOG Debugging with active COP (WATCHDOG) 16
HCS12 On-chip Debug Module ........................................................................................... 17
Onchip.Mode Storage behavior 17
Onchip.Mode Capture behavior 18
Onchip.view Open control panel to configure on-chip trace 18
CPU specific TrOnchip Commands .................................................................................... 19
TrOnchip.CONVert Adjust range breakpoint in on-chip resource 19
TrOnchip.VarCONVert Adjust complex breakpoint in on-chip resource 19
TrOnchip.Mode Complex trigger configuration 20
TrOnchip.RESet Set to default values 20
TrOnchip.view Show control panel 20
CPU specific Trigger Commands ....................................................................................... 21
Trigger.Set 21
Exception Control ................................................................................................................ 22
RESET Control 22
eXception.Activate Force exception 22
TRACE32 Directory 429 ©1989-2017 Lauterbach GmbH
eXception.Enable Enable exception 24
eXception.Pulse Stimulate exception 25
EEPROM Management ......................................................................................................... 26
Memory Classes ................................................................................................................... 27
SYStem.Option ROMHM ROM in second half of map 27
State Analyzer ....................................................................................................................... 28
Keywords for the Display 28
Keywords for the Trigger Unit 29
Port Analyzer ........................................................................................................................ 30
Port Signals HC12DX128 30
Port Signals MCS12DP 30
Port Signals MCS12X 31
Technical Data ...................................................................................................................... 32
Mechanical Dimensions 32
Adaptions 49
Adapters 52
Operation Voltage 60
Operation Frequency 63
Support .................................................................................................................................. 65
Probes 65
Available Tools 70
Compilers 72
Realtime Operation Systems 72
3rd Party Tool Integrations 72
Products ................................................................................................................................ 74
Product Information 74
Order Information 77
FIRE Emulator for C166 Family
FIRE Emulator for C166 Family .................................................................. (fire_c166.pdf) 1
Warning .............................................................................................................................. 6
Quick Start ......................................................................................................................... 7
Configuration ..................................................................................................................... 11
Emulation Module ST10R201 11
Adapter C165/C167/ST10 12
Adapter C161RI/PI 14
Adapter C161CI,CS,JI,JC,SI 15
Adapter F276 17
Adapter F252 19
TRACE32 Directory 430 ©1989-2017 Lauterbach GmbH
Adapter XCORE 20
Troubleshooting ................................................................................................................ 21
Hang-up Conditions 21
Dualport Errors 21
FAQ ..................................................................................................................................... 22
Basics ................................................................................................................................. 23
Overview 24
Trigger Module 25
Bondout Module 26
CPU Module 27
Emulation Modes 27
SYStem.CpuAccess CPU access modes 28
SYStem.MemAccess Dualport access 30
SYStem.Mode Emulation modes 31
SYStem.TimeReq Sets dualport update interval 31
General SYStem Settings and Restrictions .................................................................... 32
SYStem.BOOTLDR Define the boot loader program 32
SYStem.CPU CPU type selection 33
SYStem.Option V33 3.3 V power fail detection 33
SYStem.Option IMASKASM Mask interrupts during assembler step 34
SYStem.Option IMASKHLL Mask interrupts during HLL step 34
SYStem.Option ONCE On-circuit emulation 34
SYStem.Option ONCEReset On-circuit emulation reset 35
SYStem.RESetOut Peripheral reset 35
SYStem.Option BusType Bus mode 36
SYStem.Option WriteLimit Write strobe limitation 36
SYStem.Option ExtBus External bus 36
SYStem.Option Start-up modes 37
SYStem.Option Trace modes 38
SYStem.Option <disable> Freeze modes 39
SYStem.Option <control> Startup settings 40
SYStem.Option <subsystem> XPER modes 41
SYStem.Option TestClock Clock test 43
SYStem.Option MuxMode Multiplexed mode 43
SYStem.Option SGT Segmentation 43
SYStem.Option CS Chip selects 44
SYStem.Option Clock PLL selects 44
SYStem.Option ROMSIZE ROM size 45
Exception Control ............................................................................................................. 46
Schematics 46
eXception.state Exception control 47
eXception.Activate Force exception 47
TRACE32 Directory 431 ©1989-2017 Lauterbach GmbH
eXception.Enable Enable exception 48
eXception.Trigger Trigger on exception 49
eXception.Pulse Stimulate exception 50
XPER Mapping and Shadowing ....................................................................................... 51
Shadow Memory 51
XBUS Mapping 51
Bondout Trace ................................................................................................................... 52
Analyzer Modes 52
Bondout Trigger and Break System ................................................................................ 53
Bondout Breakpoints 53
Bondout Trigger System 54
Code Flag System ............................................................................................................. 57
Code Coverage 57
Flag Mapping 57
C1 Analysis 58
Data Access Flags ............................................................................................................. 60
Flag Operation 60
Flag Mapping 60
Bondout Based Dualport Systems .................................................................................. 61
Bondout Shadow Memory 61
Injected Access 61
On-chip Trigger System .................................................................................................... 62
TrOnchip Trigger onchip 62
Special Functions .............................................................................................................. 63
Memory Classes ................................................................................................................ 64
State Analyzer .................................................................................................................... 65
General 80166 Keywords for the Trigger Unit 65
Bondout CPU Keywords for the Trigger Unit 65
Keywords for the Display 66
Bondout Information Display 66
Port Analyzer ..................................................................................................................... 67
Port Signals C161PI/C161RI 67
Port Signals C161SI/C161CI/C161JC/C161CS 67
Port Signals C161V/C161K/C161O 68
Port Signals C163 68
Port Signals C164CI 69
Port Signals C164CM/C164SM/C164SV 69
Port Signals C164CR 70
Port Signals C165 70
Port Signals C167/F16X/F26X 71
TRACE32 Directory 432 ©1989-2017 Lauterbach GmbH
Port Signals ST10F25X 71
Port Signals ST10F276/ST10F286 72
Port Signals ST10F280/ST10F29X 72
Port Signals XCORE 73
Technical Data ................................................................................................................... 75
Mechanical Dimensions 75
Adaptions 84
Adapters 88
Operation Voltage 95
Operation Frequency 96
Support ............................................................................................................................... 99
Probes 99
Available Tools 102
Compilers 104
Target Operating Systems 104
3rd Party Tool Integrations 105
Products ............................................................................................................................. 106
Product Information 106
Order Information 108
FIRE Emulator for C166S V2 Family
FIRE Emulator for C166S V2 Family ........................................................... (fire_xc166.pdf) 1
Warning ................................................................................................................................. 5
Quick Start ............................................................................................................................ 6
Configuration ........................................................................................................................ 10
Adapter XC161CJ/XC164CS 10
Troubleshooting ................................................................................................................... 12
Hang-Up Conditions 12
Dualport Errors 12
FAQ ........................................................................................................................................ 13
Basics .................................................................................................................................... 14
Overview 15
Trigger Module 16
Bondout Module 17
CPU Module 19
Emulation Modes 19
SYStem.Mode Operation modes 20
SYStem.Access Dualport access 21
SYStem.CpuAccess Run-time memory access 22
TRACE32 Directory 433 ©1989-2017 Lauterbach GmbH
SYStem.TimeReq Sets dualport update intervall 23
General SYStem Settings and Restrictions ....................................................................... 24
SYStem.CPU CPU type selection 25
SYStem.JtagClock JTAG clock selection 25
SYStem.Option MonLevel Monitor level 25
SYStem.Option InjLevel Injection level 26
SYStem.Option V33 3.3 V power fail detection 26
SYStem.Option IMASKASM Mask interrupts during assembler step 26
SYStem.Option IMASKHLL Mask interrupts during HLL step 26
SYStem.Option ONCE On-circuit emulation 27
SYStem.Option ONCEReset On-circuit emulation reset 27
SYStem.RESetOut Peripheral reset 27
SYStem.Option BusType Bus mode 28
SYStem.Option CS_Register CS programming 28
SYStem.Option WriteLimit Write strobe limitation 29
SYStem.Option Start-up modes 29
SYStem.Option Trace modes 30
SYStem.Option Freeze modes 30
SYStem.Option Watchdog settings 31
SYStem.Option LoadCS Startup settings 31
SYStem.Option Overlay Overlay flash settings 31
SYStem.Option Start Start modes 32
SYStem.Option TestClock Clock test 32
SYStem.Option SGT Segmentation 33
SYStem.Option CS Chip selects 33
SYStem.Option CLOCK PLL selects 33
Register Access ................................................................................................................... 34
Exception Control ................................................................................................................ 35
Schematics 35
eXception.state Exception control 36
eXception.Activate Force exception 36
eXception.Enable Enable exception 37
eXception.Trigger Trigger on exception 37
eXception.Pulse Stimulate exception 39
Shadowing ............................................................................................................................ 40
Shadow Memory 40
Fast Emulation Memory ....................................................................................................... 41
Function 41
Bondout Trace ...................................................................................................................... 42
Analyzer Modes 42
Bondout Trigger and Break System ................................................................................... 43
TRACE32 Directory 434 ©1989-2017 Lauterbach GmbH
Bondout Breakpoints 43
Bondout Trigger System 44
Code Flag System ................................................................................................................ 47
Code Coverage 47
Flag Mapping 47
Data Access Flags ................................................................................................................ 48
Flag Operation 48
Flag Mapping 48
Bondout Based Dualport Systems ..................................................................................... 49
Bondout Shadow Memory 49
Injected Access 49
On-chip Trigger System ....................................................................................................... 50
Special Functions ................................................................................................................. 51
Breakpoints ........................................................................................................................... 52
Breakpoint Realization Modes 52
Memory Classes ................................................................................................................... 53
State Analyzer ....................................................................................................................... 54
General 80166 Keywords for the Trigger Unit 54
Bondout CPU Keywords for the Trigger Unit 54
Keywords for the Display 55
Bondout Information Display 55
Port Analyzer ........................................................................................................................ 56
Port Signals XC161CJ 56
Port Signals XC164CM 56
Port Signals XC164CS 57
Technical Data ...................................................................................................................... 58
Mechanical Dimensions 58
Adaptions 60
Adapters 61
Operation Voltage 62
Operation Frequency 63
Support .................................................................................................................................. 64
Probes 64
Available Tools 64
Compilers 65
Target Operating Systems 65
3rd party Tool Integrations 66
Products ................................................................................................................................ 68
Product Information 68
TRACE32 Directory 435 ©1989-2017 Lauterbach GmbH
Order Information 69
FIRE Emulator for C166 Cell-Based-Core
FIRE Emulator for C166 Cell-Based-Core ................................................ (fire_166cbc.pdf) 1
WARNING .............................................................................................................................. 5
Quick Start ............................................................................................................................ 5
Troubleshooting ................................................................................................................... 9
Hang-Up 9
Dualport Errors 10
FAQ ........................................................................................................................................ 10
Configuration ........................................................................................................................ 11
Basics .................................................................................................................................... 12
Emulation Method 12
Emulation Modes 12
SYStem.MemAccess Dualport access 13
SYStem.CpuAccess Dualport access 14
General SYStem Settings and Restrictions ....................................................................... 16
General Restrictions 16
SYStem.CPU Processor type 16
SYStem.Option MODE Operation mode 17
SYStem.Option BusType Operation mode 18
SYStem.Option SGT Segmentation 18
SYStem.Option CS Chip selects 19
SYStem.Option CLOCK PLL selects 19
SYStem.Option CS_Register CS programming 20
SYStem.Option EarlyWrite Write operation mode 20
SYStem.Option WriteLimit Write operation mode 21
SYStem.Option PERSTOP Peripheral freeze 21
SYStem.Option DUALPORT Dualport mode 21
SYStem.Option IMASKASM Mask interrupts during assembler step 21
SYStem.Option IMASKHLL Mask interrupts during HLL step 22
SYStem.Option MonBase Monitor base 22
SYStem.JtagClock Jtag clock 22
SYStem.Option ONCE On-circuit emulation 23
SYStem.Option ONCEReset On-circuit emulation reset 23
SYStem.RESetOut Peripheral reset 23
SYStem.Option BOOTSTRAP Bootstrap mode 23
SYStem.Option ResetExt Reset mode 24
SYStem.Option Startup settings 25
SYStem.Option V33 Voltage sense 25
TRACE32 Directory 436 ©1989-2017 Lauterbach GmbH
SYStem.Option WRC WRL/WRH mode 25
On-chip Trigger-Unit ............................................................................................................ 26
General Description 26
Specific TrOnchip Commands ............................................................................................ 28
TrOnchip.view View window 28
TrOnchip.RESet Reset settings 28
TrOnchip.CONVert Convert breakpoints 28
TrOnchip.Address Select address selector 28
TrOnchip.CYcle Select bus cycle 29
TrOnchip.Data Set data selector value 29
TrOnchip.TaskID Set task selector value 29
Trigger Commands .............................................................................................................. 30
TrBus.Out Define source for the external trigger pulse 30
TrBus.Set Define the target for the incoming trigger 30
Exception Control ................................................................................................................ 31
Schematics 31
Reset 31
eXception.state Exception control 31
eXception.Activate Force exception 32
eXception.Enable Enable exception 32
eXception.Trigger Trigger on exception 33
eXception.Pulse Stimulate exception 33
Breakpoints ........................................................................................................................... 34
Breakpoint Realization Modes 34
Memory Classes ................................................................................................................... 36
Overview 36
State Analyzer ....................................................................................................................... 37
Keywords for the Display 37
Keywords for the Analyzer Trigger Unit 37
Port Analyzer ........................................................................................................................ 38
Port Signals EGOLD 38
Port Signals EGOLDP 39
Port Signals UTAH 40
Technical Data ...................................................................................................................... 41
Mechanical Dimensions 41
Adaptions 43
Adapters 44
Operation Voltage 46
Operation Frequency 46
Support .................................................................................................................................. 47
TRACE32 Directory 437 ©1989-2017 Lauterbach GmbH
Probes 47
Available Tools 47
Compilers 47
Target Operating Systems 48
3rd Party Tool Integrations 48
Products ................................................................................................................................ 50
Product Information 50
Order Information 50
FIRE Emulator for H8S and H8/300H
FIRE Emulator for H8S and H8/300H .............................................................. (fire_h8s.pdf) 1
WARNING .............................................................................................................................. 5
Quick Start ............................................................................................................................ 6
Troubleshooting ................................................................................................................... 10
Hang-up 10
Dualport Errors 10
FAQ ........................................................................................................................................ 11
Configuration ........................................................................................................................ 12
Basics .................................................................................................................................... 13
Emulation Method 13
Emulation Modes 13
Dualport Access 14
General SYStem Settings and Restrictions ....................................................................... 16
General Restrictions 16
SYStem.Option V33 3.3 V power fail detection 16
SYStem.Option RAME Onchip RAM enable 17
SYStem.Option IMASKASM Mask interrupts during assembler step 17
SYStem.Option IMASKHLL Mask interrupts during HLL step 17
Continue with CPU specific Special Settings and Restrictions 17
Special Settings and Restrictions H8S/224x/23xx/265x ................................................... 18
Restrictions for H8S/224x/23xx/265x 18
SYStem.CPU Processor type 18
SYStem.CPU Operation mode 19
SYStem.Option EAE External address enable 19
SYStem.Option TPU Control of timer pulse unit 20
SYStem.Option T8 Control of 8-bit timer unit 20
Special Settings and Restrictions H8S/21xx ..................................................................... 21
Restrictions for H8S/21xx 21
SYStem.CPU Processor type 21
TRACE32 Directory 438 ©1989-2017 Lauterbach GmbH
SYStem.CPU Operation mode 21
Special Settings and Restrictions H8S/222x/223x/262x/263x .......................................... 23
Restrictions for H8S/222x/223x/262x/263x 23
SYStem.CPU Processor type 23
SYStem.CPU Operation mode 24
SYStem.Option SUBCLK Subclock enable 24
SYStem.Option TPU Control of timer pulse unit 24
SYStem.Option T8 Control of 8-bit timer unit 25
Exception Control ................................................................................................................ 26
Reset 26
Hardware Standby 27
NMI 28
Exceptions during Break Mode 29
Breakpoints ........................................................................................................................... 30
Breakpoint Realization Modes 30
Memory Classes ................................................................................................................... 32
Overview 32
State Analyzer ....................................................................................................................... 33
Restrictions 33
Keywords for the Display 33
Keywords for the Emulator Trigger Unit 34
Port Analyzer ........................................................................................................................ 35
Port Signals H8_30XX 35
Port Signals H8S_212X 35
Port Signals H8S_213X 35
Port Signals H8S_214X 36
Port Signals H8S_222X/H8S_223X 36
Port Signals H8S_224X 37
Port Signals H8S_232X 37
Port Signals H8S_233X 37
Port Signals H8S_235X/H8S_265X 38
Port Signals H8S_262X 38
Port Signals H8S_2636 39
Port Signals H8S_263X 39
Technical Data ...................................................................................................................... 40
Mechanical Dimensions 40
Adaptions 48
Adapters 52
Operation Voltage 60
Operation Frequency 62
Support .................................................................................................................................. 65
TRACE32 Directory 439 ©1989-2017 Lauterbach GmbH
Probes 65
Available Tools 69
Compilers 72
Target Operating Systems 72
3rd Party Tool Integrations 72
Products ................................................................................................................................ 74
Product Information 74
Order Information 76
FIRE Emulator for SH2
FIRE Emulator for SH2 ..................................................................................... (fire_sh2.pdf) 1
Warning ................................................................................................................................. 5
Quick Start ............................................................................................................................ 6
Troubleshooting ................................................................................................................... 10
Hang-Up 10
Dualport Errors 10
FAQ ........................................................................................................................................ 11
Configuration ........................................................................................................................ 13
Basics .................................................................................................................................... 14
Emulation Method 14
Emulation Modes 14
Dualport Access 15
General SYStem Settings and Restrictions ....................................................................... 18
General Restrictions 18
SYStem.CPU Processor type 18
SYStem.CPU Operation mode 19
SYStem.Option V33 3.3 V power fail detection 19
SYStem.Option IMASKASM Mask interrupts during assembler step 20
SYStem.Option IMASKHLL Mask interrupts during HLL step 20
Continue with CPU specific Special Settings and Restrictions 20
Special Settings SH701x/704x and Restrictions ............................................................... 21
Restrictions for SH701x/704x 21
SYStem.Option PLL Onchip PLL 21
SYStem.Option MTU Control of multifunction timer pulse unit 21
SYStem.Option CMT Control of compare match timer unit 22
Special Settings SH7050/51 and Restrictions ................................................................... 23
Restrictions for SH7050/51 23
SYStem.Option PLL Onchip PLL 23
SYStem.Option ATU Control of advanced timer unit 23
TRACE32 Directory 440 ©1989-2017 Lauterbach GmbH
Special Settings SH7055 and Restrictions ........................................................................ 24
Restrictions for SH7055 24
SYStem.Option ATU Control of advanced timer unit 24
SYStem.Option CMT Control of compare match timer unit 25
On-chip Trigger Unit ............................................................................................................ 26
General Description 26
Specific TrOnchip Commands ............................................................................................ 29
TrOnchip.view View window 29
TrOnchip.RESet Reset settings 29
TrOnchip.CONVert Convert breakpoints 29
TrOnchip.A.Watch Enable hardware output 30
TrOnchip.A.Break Stop emulation 30
TrOnchip.A.CYcle Select bus cycle type 31
TrOnchip.A.Type Select bus master type 32
TrOnchip.A.Size Select operand size 32
TrOnchip.A.Value Set data selector value 33
TrOnchip.SEQ Select sequential mode 33
TrOnchip.RPE Enable reset pointer 34
TrOnchip.A.Count Set repeat counter value 34
Exception Control ................................................................................................................ 35
Reset 35
Manual Reset (SH701x/704x) 36
Hardware Standby (SH705x) 37
NMI 38
Exceptions during Break Mode 39
Breakpoints ........................................................................................................................... 40
Breakpoint Realization Modes 40
Memory Classes ................................................................................................................... 42
Overview 42
State Analyzer ....................................................................................................................... 43
Keywords for the Display 43
Keywords for the Emulator Trigger Unit 44
Port Analyzer ........................................................................................................................ 45
Port Signals SH7011 45
Port Signals SH7014 46
Port Signals SH7016/SH7017 47
Port Signals SH7040/SH7042/SH7044 49
Port Signals SH7041/SH7043/SH7045 50
Port Signals SH7050/SH7051 52
Technical Data ...................................................................................................................... 55
Mechanical Dimensions 55
TRACE32 Directory 441 ©1989-2017 Lauterbach GmbH
Adaptions 61
Adapters 61
Operation Voltage 62
Operation Frequency 63
Support .................................................................................................................................. 64
Probes 64
Available Tools 64
Compiler Support 65
Realtime Operation Systems 65
3rd Party Tool Integrations 66
Products ................................................................................................................................ 67
Product Information 67
Order Information 69
CombiProbe
CombiProbe User's Guide
CombiProbe User's Guide ..................................................................(combiprobe_user.pdf) 1
Introduction ............................................................................................................................. 2
Keywords 3
Technical Details of the CombiProbe 3
Debug ....................................................................................................................................... 4
Trace ......................................................................................................................................... 4
PIPE Mode ................................................................................................................................ 5
Trace Sinks in PIPE Mode 5
Quick Start for Serial Wire ...................................................................................................... 8
Quick Start for CoreSight Traces with TPIU and Formatter ................................................ 10
Quick-Start for XTI, SDTI and STP Traces (OMAP Chips) ................................................... 13
Connector ................................................................................................................................ 14
FAQ ........................................................................................................................................... 15
Products ................................................................................................................................... 16
Product Information 16
Order Information 19
CombiProbe for Cortex-M User's Guide
CombiProbe for Cortex-M User's Guide ..................................... (combiprobe_cortexm.pdf) 1
TRACE32 Directory 442 ©1989-2017 Lauterbach GmbH
Introduction ............................................................................................................................. 3
Keywords 4
Technical Details of the CombiProbe 4
Overview of Cortex-M CoreSight Components .................................................................... 5
Embedded Trace Macrocell (ETM) Overview 6
Data Watchpoint and Trace (DWT) Unit Overview 6
Instrumentation Trace Macrocell (ITM) Overview 7
Trace Port Interface Unit (TPIU) Overview 7
Tool Support for the Cortex-M3 ............................................................................................. 8
20-Pin Debug and Trace Connector 8
Serial Wire Debug Port (SWDP) 9
Lauterbach’s CombiProbe 10
Using the ETM ......................................................................................................................... 12
Using the DWT ......................................................................................................................... 14
PCSampler 15
Interrupt Trace 17
Tracing Data Accesses 19
Cycle Accurate Trace 24
Merging ETM and DWT Data .................................................................................................. 25
Performance Analysis with the DWT Counters .................................................................... 26
Serial Wire Debug Port (SWDP) and Serial Wire Viewer (SWV) .......................................... 28
Software Trace with the ITM ................................................................................................... 30
Custom Trace DLLs 32
On-the-fly Transfer of ITM and ETM Data .............................................................................. 34
Extending the Recording Size 35
Feeding Your Own Applications with Trace Data 36
Real-Time Profiling with the ETM 37
FAQ ........................................................................................................................................... 38
Products ................................................................................................................................... 39
Product Information 39
Order Information 39
PowerProbe
PowerProbe User's Guide
PowerProbe User's Guide ..................................................................(powerprobe_user.pdf) 1
Functional Units ...................................................................................................................... 3
TRACE32 Directory 443 ©1989-2017 Lauterbach GmbH
Timing Analyzer 4
SOC Interface (Optional) 5
Pattern Generator (Optional) 5
Pulse Generator 5
Input/Output Lines 6
Input Connector Assignments ............................................................................................... 7
General Functions ................................................................................................................... 8
Initialisation 8
Signal Names 8
POD threshold levels and signal display 9
Timing Analyzer ....................................................................................................................... 10
Function 10
Analyzer Control ...................................................................................................................... 12
Basic Trace Control 12
Operation Modes 13
Automatic Trace Control 14
Using the Trigger Delay and Predelay 14
SOC Trace 15
Display Trace ........................................................................................................................... 16
Display Commands 16
Tracking 19
Search and Compare 20
Real-Time Displays 20
Saving Trace Buffers 21
Simple Trigger ......................................................................................................................... 22
Function 23
Trigger Channel Selector 23
Trigger Word 24
Trigger Combiner 25
Trigger PreDelay 25
Trigger Filter 25
Trigger Counter 25
Trigger Delay 26
Trigger Out 26
Trigger Setting 26
Complex Trigger ...................................................................................................................... 27
Asynchronous Trigger ............................................................................................................ 28
Asynchronous Trigger Setting 31
Pattern Generator .................................................................................................................... 33
Function 33
TRACE32 Directory 444 ©1989-2017 Lauterbach GmbH
System Control 34
Clock Generator 35
Trigger System 35
Pattern Storage 36
Pattern Display 39
Counter ..................................................................................................................................... 41
Signal Selection 41
Universal Counter ................................................................................................................... 42
Function 42
Display Window 43
Setup 44
Pulse Generator ....................................................................................................................... 45
Function 45
Setup 46
Examples 46
PowerProbe/Port Analyzer Reference Guide
PowerProbe/Port Analyzer Reference Guide .......................................(powerprobe_ref.pdf) 1
Timing/State Analyzer ............................................................................................................. 4
Probe.Arm Arm the “PowerProbe” 4
Probe.ASYNC Asynchronous trigger system 4
Probe.ASYNC.Clock Defines clock mask 4
Probe.ASYNC.ClockPOL Defines data polarity 4
Probe.ASYNC.Data Defines data mask 5
Probe.ASYNC.DataPOL Defines data polarity 5
Probe.ASYNC.Mode Defines data polarity 5
Probe.ASYNC.state State display 6
Probe.ASYNC.Time Time setting for pulse width trigger 6
Probe.AutoArm Automatic arming 7
Probe.AutoInit Clear trace storage before recording 7
Probe.Break Stop trace 7
Probe.Chart Time charts 7
Probe.Chart.DIStance Event delays 8
Probe.Chart.DistriB Distribution display 8
Probe.Chart.Draw Graphical data display 9
Probe.Chart.DURation Event pair delays 10
Probe.Chart.Rate Event frequency 11
Probe.ComPare Compare trace buffer 12
Probe.CSELect Select signal for counter 12
Probe.DISable Switch 'PowerProbe' off 13
Probe.EXPORT Generate VHDL wait file 13
TRACE32 Directory 445 ©1989-2017 Lauterbach GmbH
Probe.FILE Load trace file 13
Probe.Find Find entry 14
Probe.FindChange Find entry 15
Probe.Get Display input level 16
Probe.GOTO Jump to entry 17
Probe.Init Initialization 17
Probe.List Display trace buffer 18
Probe.LOAD Load reference buffer 22
Probe.Mode Configuration 23
Probe.OFF Disarm the “PowerProbe” 24
Probe.Program Program trigger unit 25
Probe.Rate Select sampling rate 26
Probe.REF Set reference point 27
Probe.ReProgram Program trigger unit 27
Probe.RESet Reset “PowerProbe” 27
Probe.SAVE Save configuration and trace contents 28
Probe.SELect Select SOC signal for trace 28
Probe.SelfArm Continuous measurement 28
Probe.SIZE Select buffer size 29
Probe.SnapShot Init and arm 29
Probe.state Display state 30
Probe.STATistic.Measure Analyse the performance of a single signal 31
Probe.SyncClock Define synchronous clock 31
Probe.TCount Set trigger counter 32
Probe.TDelay Define trigger delay 33
Probe.Timing Display trace contents as timing diagram 35
Probe.TOut Enable trigger output line 36
Probe.TPreDelay Pre-trigger delay 36
Probe.TRIGGER Manual trigger 37
Probe.TSELect Select trigger source 37
Probe.TSYNC Select trigger line and mode 38
Probe.TView Display trigger settings 40
Probe.TWidth Set trigger filter 41
Probe.View Display single record 42
Probe.XTrack Inter-device tracking 43
Counter ..................................................................................................................................... 44
Pattern Generator .................................................................................................................... 45
Pattern.Arm Arm analyzer 45
Pattern.CEnable Pattern clock control 45
Pattern.CMode Pattern clock select 46
Pattern.GOTO Jump to entry 46
Pattern.Init Initialization 47
Pattern.List Display pattern memory 47
TRACE32 Directory 446 ©1989-2017 Lauterbach GmbH
Pattern.OFF Disable pattern generator 51
Pattern.Program Program pattern generator 51
Pattern.REF Set reference point 52
Pattern.ReProgram Program pattern generator 53
Pattern.RESet Reset pattern generator 53
Pattern.state Display state 54
Pattern.Step Single step function 55
Pattern.TEST Run pattern generator 55
Pattern.Timing Display pattern memory 56
Pattern.TLatch Trigger latch 57
Pattern.TMode Trigger mode 58
Pattern.TSELect Trigger input select 59
POD ........................................................................................................................................... 60
POD.Level Input state 60
POD.RESet Input level reset 61
POD.state Input state 61
PORT ........................................................................................................................................ 62
PORT.PROfileChart tbd. 62
PORT.SLAVE Select slave mode 62
PORT.TMode Select trigger mode 62
PORT.ZERO tbd. 62
PULSE ...................................................................................................................................... 63
Function 63
PULSE.BusA Trigger on “BusA” line 63
PULSE.PERiod Cycle duration 64
PULSE.Pulse Programming 65
PULSE.RESet Reset command 66
PULSE.Single Release single pulse 66
PULSE.state State display 67
PULSE.Width Pulse width 67
RESet ........................................................................................................................................ 68
RESet General reset command 68
PowerProbe Trigger Unit Programming Guide
PowerProbe Trigger Unit Programming Guide ............................... (powerprobe_prog.pdf) 1
PowerProbe Programming ..................................................................................................... 3
Program Structure ................................................................................................................... 4
Sample Trigger Program 5
Declarations ............................................................................................................................. 6
Data Selectors 6
TRACE32 Directory 447 ©1989-2017 Lauterbach GmbH
Event Counters 7
Flags 7
Time Counters 7
Synchronous Counters 8
Global Instructions .................................................................................................................. 9
Local Instructions ................................................................................................................... 10
Output Command Table 11
Events ....................................................................................................................................... 12
Counter Events 12
Data Selectors 12
Flags 13
Time Events 13
Other Events 13
Conditions ................................................................................................................................ 14
Levels ....................................................................................................................................... 16
CONTinue 16
GOTO 16
TRIGGER, BREAK 17
Programming Examples ......................................................................................................... 18
Selective Recording 18
Stopping the PowerProbe 20
Stimulating Output Lines 20
Using the Internal Trigger Bus 20
Time and Event Counters 22
Using Flags 23
Switching Trigger Levels 24
Declaration Reference ............................................................................................................ 25
SELECTOR Data selectors 25
EVENTCOUNTER Event counter 26
EXTERNSYNCCOUNTER Synchronous counter 28
FLAGS Flags 29
TIMECOUNTER Time counter 29
Instruction Reference ............................................................................................................. 32
BREAK PowerProbe stop 32
Bus Bus trigger 32
CONTinue Sequential level switching 33
Counter Counter control 34
Flag Flag control 37
GOTO Level switching 38
Out Output control 38
Sample Recording control 39
TRACE32 Directory 448 ©1989-2017 Lauterbach GmbH
Trigger Trigger control 41
PowerProbe Programming Language Syntax ...................................................................... 43
IProbe
IProbe User's Guide
IProbe User's Guide ..................................................................................... (iprobe_user.pdf) 1
General Overview .................................................................................................................... 3
IProbe Features ....................................................................................................................... 4
Functional Overview 5
Timing Probe Features 6
Analog Probe Features 8
IProbe Input Connector Location .......................................................................................... 10
Timing Trace Setup and Configuration ................................................................................. 11
POD threshold levels and signal display 12
Signal Names 12
General IProbe Functions ....................................................................................................... 18
IProbe Trace Control 18
Operation Modes 21
Automatic Trace Control 22
Using the Trigger 22
Trace Display ........................................................................................................................... 23
Signal Naming 23
The IProbe.List Command 24
The IProbe.Timing Command 26
The IProbe.GET Command 28
The IProbe.View Command 29
The IProbe.DRAW Command 30
Tracking ................................................................................................................................... 32
Search and Compare 34
Real-Time Displays 34
Saving Trace Buffers 35
Simple Trigger ......................................................................................................................... 38
Simple Trigger for Timing Mode 38
Simple Trigger for Analog Mode 46
Universal Counter Signal Selection 46
Protocol Analysis .................................................................................................................... 48
Timing Mode Restrictions 50
TRACE32 Directory 449 ©1989-2017 Lauterbach GmbH
Analog Probe ........................................................................................................................... 51
Simple Trigger for Analog Mode 51
Voltage Measurement 51
Current Measurement 52
Power Measurement 54
Energy Analysis 56
Analog Trace Time Coverage Calculation 59
Analog Trace Setup and Configuration ................................................................................. 15
PowerIntegrator
PowerIntegrator User's Guide
PowerIntegrator User's Guide .....................................................(powerintegrator_user.pdf) 1
Functional Units ...................................................................................................................... 3
PowerIntegrator 4
Support Package 5
Input/Output Lines 5
ITRIGGER OUT Connector 6
Probe Connector Assignments .............................................................................................. 7
Mictor Probe 7
Mictor Difference Probe 8
Standard Probe 8
SAMTEC Probe 9
General Functions ................................................................................................................... 10
Initialization .............................................................................................................................. 10
Signal Names 10
POD Threshold Levels and Signal Display 11
Sampling Modes 12
Sampling Mode Configurations 13
Sampling Clock Configuration 14
Analyzer Function ................................................................................................................... 15
Analyzer Control ...................................................................................................................... 16
Basic Trace Control 16
Operation Modes 18
Automatic Trace Control 18
Analyzer Display ...................................................................................................................... 19
Display Commands 19
Search and Compare 24
TRACE32 Directory 450 ©1989-2017 Lauterbach GmbH
Tracking 25
Real-Time Displays 25
Saving Trace Buffers 26
Simple Trigger ......................................................................................................................... 27
Function 27
Trigger Word 28
Trigger Combiner 28
Trigger PreDelay 29
Trigger Filter 29
Trigger Counter 29
Trigger Delay 29
Trigger Outputs 30
Trigger Setting 30
Using the Trigger Delay and Predelay 31
Complex Trigger ...................................................................................................................... 32
Universal Counter ................................................................................................................... 33
Function 33
Signal Selection 34
Setup 35
PowerIntegrator Programming Guide
PowerIntegrator Programming Guide ....................................... (powerintegrator_prog.pdf) 1
PowerIntegrator ....................................................................................................................... 3
Program Structure ................................................................................................................... 4
Sample Trigger Program 5
Declarations ............................................................................................................................. 6
Data Selectors 6
Event Counters 7
Flags 8
Time Counters 8
Global Instructions .................................................................................................................. 9
Local Instructions ................................................................................................................... 10
Output Command table 11
Events ....................................................................................................................................... 12
Counter Events 12
Data Selectors 13
Flags 13
Time Events 13
Other Events 14
TRACE32 Directory 451 ©1989-2017 Lauterbach GmbH
Conditions ................................................................................................................................ 15
Levels ....................................................................................................................................... 17
CONTinue 17
GOTO 17
TRIGGER, BREAK 18
Programming Examples ......................................................................................................... 19
Selective Recording 20
Stopping the PowerIntegrator 22
Stimulating Output Lines 22
Using the Internal Trigger Bus 23
Time and Event Counters 24
Using Flags 25
Switching Trigger Levels 25
Declaration Reference ............................................................................................................ 26
SELECTOR Data selectors 26
EVENTCOUNTER Event counter 27
EXTERNSYNCCOUNTER Synchronous counter 29
FLAGS Flags 30
TIMECOUNTER Time counter 30
Instruction Reference ............................................................................................................. 33
BREAK Trace stop 33
Bus Bus trigger 33
CONTinue Sequential level switching 34
Counter Counter control 35
Flag Flag control 38
GOTO Level switching 38
Out Output control 39
Sample Recording control 40
Trigger Trigger control 42
PowerIntegrator Programming Language Syntax ................................................................ 43
PowerIntegrator Application Notes
PowerIntegrator State Trace Application Note
PowerIntegrator State Trace Application Note ..............(powerintegrator_app_state.pdf) 1
State Recording .................................................................................................................... 2
State recording by use of 250 MHz Mode 3
State recording by use of STATE-MODE 5
State recording by use of STATEPLL-MODE 7
TRACE32 Directory 452 ©1989-2017 Lauterbach GmbH
PowerIntegrator Trace DisConfig Application Note
PowerIntegrator Trace DisConfig Application Note ..........(powerintegrator_app_dc.pdf) 1
General Function .................................................................................................................. 2
<trace>.DisConfig Commands ............................................................................................ 2
How to use Trace.DisConfig ................................................................................................ 3
Signal Group Definition 3
Transient Definition 3
DataCycle Definition 4
AddressCycle Definition 5
Address Calculation 5
Trace Display Definition 5
Example for a MC68332 like Bus 7
Example for a SDRAM bus (MPC8280) 9
PowerIntegrator Setup Application Note
PowerIntegrator Setup Application Note ..................... (powerintegrator_app_setup.pdf) 1
General .................................................................................................................................. 2
Pin / Name Assignment ....................................................................................................... 3
Integrator Hardware Setup .................................................................................................. 4
Threshold Level 4
Type of Recording 6
Filtering ................................................................................................................................. 9
State Mode 9
Transient Detection 9
SupportPackage 10
Selective Trace 10
Analysis ................................................................................................................................. 11
Protocol Analysis 11
Disassembly for Bustrace 12
DigRF Protocol Analyzer
DigRF Protocol Analyzer .............................................................................. (digrf_app.pdf) 1
General Function .................................................................................................................. 2
Probes 4
Target Connector 5
How to use the PROTOanalyzer .......................................................................................... 6
Features 6
TRACE32 Directory 453 ©1989-2017 Lauterbach GmbH
<trace>.List LIST display 7
<trace>.Chart CHART display 9
<trace>.STATistic Statistic visualization 9
<trace>.DRAW Draw I/T Q/T graphs 10
Menu ...................................................................................................................................... 11
FAQ ........................................................................................................................................ 12
Protocol Analyzer
Protocol Analyzer Application Note
Protocol Analyzer Application Note ......................................................... (protocol_app.pdf) 1
Introduction ............................................................................................................................. 2
A short Introduction to DLLs ................................................................................................. 3
Overview .................................................................................................................................. 5
PROTO_Init .............................................................................................................................. 6
Process Callback Functions .................................................................................................. 11
Display Callback Function ...................................................................................................... 15
Draw Callback Function .......................................................................................................... 17
FlexRay Protocol Analyzer
FlexRay Protocol Analyzer ...........................................................................(flexray_app.pdf) 1
General Function ..................................................................................................................... 2
Features 2
How to use the PROTOanalyzer ............................................................................................. 3
<trace>.List LIST display 4
<trace>.Chart CHART display 5
<trace>.STATistic Statistic visualisation 6
Fibex Implementation 6
FAQ ........................................................................................................................................... 8
LIN Bus Protocol Analyzer
LIN Bus Protocol Analyzer ............................................................................(linbus_app.pdf) 1
General Function ..................................................................................................................... 2
Features 3
How to use the PROTOanalyzer ............................................................................................. 4
TRACE32 Directory 454 ©1989-2017 Lauterbach GmbH
<trace>.List List display 5
Explanation of LIST Display 8
<trace>.Chart Chart display 11
<trace>.STATistic Statistic visualization 11
Overview over Restrictions .................................................................................................... 12
Restrictions of Configuration Services 12
Restriction of LDF Parser 13
FAQ ........................................................................................................................................... 14
EPROM/FLASH Simulator
EPROM/FLASH Simulator .......................................................................(eprom_simulator.pdf) 1
Introduction ................................................................................................................................ 4
Basics 4
Warning 4
Configuration .............................................................................................................................. 5
ICD Configuration for ROM Monitor 5
Mapping ....................................................................................................................................... 7
Mapping the EPROM Simulator 7
Mapping the EPROM Simulator for BDM/ROM 8
Mapper Commands 11
Data Access ................................................................................................................................ 12
Break and Exception Control .................................................................................................... 13
Break 13
Count ........................................................................................................................................... 14
Counter 14
Counter Commands 15
eXception .................................................................................................................................... 16
eXception.ICEINTPOL Polarity of ICEINT line 16
eXception.NMIBREAK Break through NMI 17
eXception.NMIDTR Break through DTR line 17
eXception.NMIPOL Polarity selection of NMI signal 17
eXception.NMIRTS Break through RTS line 17
eXception.RESet Default settings 17
eXception.RESetDTR Reset through DTR line 18
eXception.RESetPOL Polarity of RESET signal 18
eXception.RESetRTS Reset through RTS line 18
eXception.view Show exception settings 18
RESET ......................................................................................................................................... 19
TRACE32 Directory 455 ©1989-2017 Lauterbach GmbH
RESet Initialize simulator 19
SYStem Commands ................................................................................................................... 20
SYStem.Down Deactivates simulator 20
SYStem.Mode Selects operation mode 20
SYStem.Up Activates simulator 21
SYStem.state Shows operation mode 21
Store Settings ............................................................................................................................. 22
AutoSTOre Autosave of settings 22
ClipSTOre Store a setting to clipboard 23
STOre Store a setting 23
Adapters ...................................................................................................................................... 25
Adapter Configuration 25
Pinout Adapters 29
ESICON Adapter Function 38
Dimensions 43
Products 43
Order Information 44
Stimuli Generator
Stimuli Generator User's Guide
Stimuli Generator User's Guide ........................................................................(stg_user.pdf) 1
Stimuli-Generator .................................................................................................................... 2
Basics 2
Digital Port Function 3
Digital Commands 6
Analogous Port Functions 6
Analogous Commands 6
State line .................................................................................................................................. 7
Pattern Generator .................................................................................................................... 8
Function 8
System Control 9
Clock Generator 10
Trigger System 10
Pattern Storage 11
Stimuli Generator Reference Guide
Stimuli Generator Reference Guide .....................................................................(stg_ref.pdf) 1
TRACE32 Directory 456 ©1989-2017 Lauterbach GmbH
AutoSTOre ............................................................................................................................... 3
AutoSTOre Store setups automatically 3
Con ........................................................................................................................................... 5
CON Enable connection tester 5
Count ........................................................................................................................................ 6
Level Display 7
Counter Functions 8
Count.AutoInit Automatic counter reset 8
Count.Gate Gate time 9
Count.GO Start measurement 9
Count.Init Reset counter 10
Count.Mode Mode selection 10
Count.OUT Switch counter input signal to BNC 12
Count.PROfile Graphic counter display 12
Count.RESet Reset command 14
Count.Select Select input source 14
Count.state State display 15
Get ............................................................................................................................................ 16
Get Show input levels 16
IN ............................................................................................................................................... 17
IN.Mode Define input mode 17
IN.PROfile Graphic input level display 18
IN.RESet Reset analog input unit 18
IN.view Show analog input values 19
Mode ......................................................................................................................................... 20
Mode Select input/output 20
NAME ........................................................................................................................................ 21
NAME.RESet Remove pod names 21
NAME.Set Define pod names 21
NAME.view Show pod names 22
OUT ........................................................................................................................................... 23
OUT.RESet Reset analog output unit 23
OUT.Set Define output voltage 23
OUT.view Show analog output values 24
Pattern Generator .................................................................................................................... 25
Pattern.Arm Arm analyzer 25
Pattern.CEnable Pattern clock control 25
Pattern.CMode Pattern clock select 26
Pattern.Init Initialization 26
Pattern.OFF Disable pattern generator 27
Pattern.Program Program pattern generator 27
TRACE32 Directory 457 ©1989-2017 Lauterbach GmbH
Pattern.ReProgram Program pattern generator 29
Pattern.RESet Reset patttern generator 29
Pattern.state Display state 30
Pattern.Step Single step function 31
Pattern.TEST Run pattern generator 31
Pattern.TLatch Trigger latch 32
Pattern.TMode Trigger mode 32
Pattern.TSelect Trigger input select 33
PULSE ...................................................................................................................................... 34
PULSE.PERiod Cycle duration 34
PULSE.Pulse Pulse programming 35
PULSE.RESet Reset command 36
PULSE.SELect Select output line 36
PULSE.Single Release single pulse 37
PULSE.view View setup 38
PULSE.Width Pulse width 38
RESet ........................................................................................................................................ 39
Set ............................................................................................................................................. 40
STORE ...................................................................................................................................... 41
STOre Store setups 41
Misc
Error Messages
Error Messages ........................................................................................................ (error.pdf) 1
General Error Messages ......................................................................................................... 2
General Command Parameter Parser .................................................................................... 16
Debugger and In-Circuit Emulator ......................................................................................... 45
Error Messages Related to the Peripheral View (PER) 45
Error Messages Related to FLASH Programming 47
Error Messages Related to Co-Processor Debugging 50
Error Messages Related to HiPerLoad 51
Error Messages Related to FDX 52
Error Messages Related to Terminal Function 53
Error Messages Related to RTOS Support 54
Error Messages Related to Differential Download 55
Error Messages Related to Breakpoints 56
Error Messages Related to Debugging 59
Error Messages Related to Debug Hardware and Software 69
TRACE32 Directory 458 ©1989-2017 Lauterbach GmbH
Error Messages Related to Analyzer/Trace 72
Error Messages Related to MCDS 74
Error Messages Related to Trace Testfocus/Autofocus 75
Error Messages Related to ICE/FIRE Emulators 78
HLL Expression Parser ........................................................................................................... 80
Mapper Unit .............................................................................................................................. 83
Inline Assembler ...................................................................................................................... 96
Analyzer Trigger Unit Programming ...................................................................................... 102
Performance Analyzer ............................................................................................................ 151
Timing Analyzer ....................................................................................................................... 152
Timing Analyzer Trigger Unit Programming ......................................................................... 155
Programmer ............................................................................................................................. 180
Stimuli Generator .................................................................................................................... 183
API for Remote Control and JTAG Access
API for Remote Control and JTAG Access ..................................................(api_remote.pdf) 1
Licensing Terms ...................................................................................................................... 5
Basic Concepts ....................................................................................................................... 6
Release Information 6
Compatibility 6
Introduction 7
Restrictions in Demo Mode 7
Interfaces 8
Operation of API Requests 9
Conventions for Target Memory Access 9
Building an Application with API ........................................................................................... 13
API Files 13
Connecting API and Application 13
Logging the API Calls 14
Communication Setup ............................................................................................................ 15
Preparing TRACE32 Software 15
Configuring the API 15
API Functions .......................................................................................................................... 16
Error Codes 16
Generic API Functions 16
Functions for using the API with Multiple Debuggers 34
ICD/ICE API Functions 37
ICD Direct Access API Functions 103
TRACE32 Directory 459 ©1989-2017 Lauterbach GmbH
API Object Handling ................................................................................................................ 159
Buffer Object 160
Address Object 162
Bundle Object 167
Register Object 169
RegisterSet Object 174
Breakpoint Object 176
Symbol Object 180
Document Revision Information ............................................................................................ 182
Controlling TRACE32 via Python 3
Controlling TRACE32 via Python 3 ............................................................. (app_python.pdf) 1
About this Manual ................................................................................................................... 2
Environment ............................................................................................................................ 2
Related Documents ................................................................................................................. 3
Establish and Release the Communication to the Debug Device ...................................... 4
TRACE32 already Started 4
Start TRACE32 7
Run a PRACTICE Script .......................................................................................................... 9
Result as a Message 9
Result via EVAL Command 12
TRACE32 Functions ................................................................................................................ 13
Monitor a Variable ................................................................................................................... 14
API for Auxiliary Processing Unit
API for Auxiliary Processing Unit ...................................................................... (api_apu.pdf) 1
Introduction ............................................................................................................................. 3
Release Information 3
Features 4
Requirements 4
Files 5
Conventions 5
Programmer’s Guide ............................................................................................................... 6
Basic Concept 6
Callback Functions 7
Access to Main Core Debugger 8
Generic Configuration 8
Output Functions 8
Interface Functions 8
TRACE32 Directory 460 ©1989-2017 Lauterbach GmbH
APU Callback Structures 9
APU Context 9
APU Library .............................................................................................................................. 10
APU API Files 10
Building the Library 10
Loading the Library 11
Writing a new Library 11
Symbol Information 13
APU Commands ...................................................................................................................... 14
APU Library Functions ........................................................................................................... 15
APU Entry Functions 15
Generic Configuration Functions 16
Callback Register Functions 20
Memory and Target Access Functions 27
APU Callback Structures ........................................................................................................ 30
Breakpoint Callback Structure 30
Global Callback Structure 31
Disassembler Callback Structure 32
Assembler Callback Structure 34
GetState Callback Structure 36
Memory Callback Structure 37
Parameter Callback Structure 38
Translate Callback Structure 39
Version Control ....................................................................................................................... 40
Command List
Command List .............................................................................................(commandlist.pdf) 1
Parameters ............................................................................................................................... 3
Operators 3
Arithmetic Rules and Operator Precedence 6
Functions 7
Operation System Commands ............................................................................................... 28
PRACTICE Commands ........................................................................................................... 34
General Emulator/Debugger/Trace Commands ................................................................... 37
A 37
B 40
C 43
D 49
E 53
F 58
TRACE32 Directory 461 ©1989-2017 Lauterbach GmbH
G 63
H 65
I 68
J 74
K 75
L 76
M 82
N 88
O 89
P 92
Q 98
R 98
S 100
T 113
U 126
V 126
W 128
X 128
Y 129
Z 129
PowerProbe ............................................................................................................................. 130
PowerIntegrator ....................................................................................................................... 133
TRACE32 Directory 462 ©1989-2017 Lauterbach GmbH
TRACE32 Training
Debugger Training
Debugger Basics - Training
Debugger Basics - Training .............................................................. (training_debugger.pdf) 1
History ...................................................................................................................................... 4
System Concept ...................................................................................................................... 5
On-chip Debug Interface 6
On-chip Debug Interface plus On-chip Trace Buffer 9
On-chip Debug Interface plus Trace Port 11
NEXUS Interface 12
Starting a TRACE32 PowerView Instance ............................................................................. 13
Basic TRACE32 PowerView Parameters 13
Application Properties (Windows only) 20
Configuration via T32Start (Windows only) 21
About TRACE32 22
Establish your Debug Session ............................................................................................... 24
TRACE32 PowerView .............................................................................................................. 25
TRACE32 PowerView Components 25
Registers .................................................................................................................................. 39
Core Registers 39
Special Function Register 42
Memory Display and Modification ......................................................................................... 48
The Data.dump Window 49
The List Window 66
Breakpoints .............................................................................................................................. 69
Breakpoint Implementations 69
Breakpoint Types 89
Breakpoint Handling ............................................................................................................... 94
Breakpoint Setting at Run-time 94
Real-time Breakpoints vs. Intrusive Breakpoints 95
Break.Set Dialog Box 97
Advanced Breakpoints 117
Display a List of all Set Breakpoints 142
Delete Breakpoints 143
Enable/Disable Breakpoints 143
TRACE32 Directory 463 ©1989-2017 Lauterbach GmbH
Store Breakpoint Settings 144
Debugging ................................................................................................................................ 145
Debugging of Optimized Code 145
Basic Debug Control 148
Sample-based Profiling .......................................................................................................... 160
Program Counter Sampling 160
TASK Sampling 167
Debugger Basics - SMP Training
Debugger Basics - SMP Training ............................................(training_debugger_smp.pdf) 1
History ...................................................................................................................................... 4
System Concept ...................................................................................................................... 5
On-chip Debug Interface 6
On-chip Debug Interface plus On-chip Trace Buffer 10
On-chip Debug Interface plus Trace Port 12
NEXUS Interface 13
Starting a TRACE32 PowerView Instance ............................................................................. 14
Basic TRACE32 PowerView Parameters 14
Application Properties (Windows only) 21
Configuration via T32Start (Windows only) 22
About TRACE32 23
Establish your Debug Session ............................................................................................... 25
TRACE32 PowerView .............................................................................................................. 26
SMP Concept 26
TRACE32 PowerView Components 29
Basic Debugging (SMP) .......................................................................................................... 44
Go/Break 44
Single Stepping on Assembler Level 46
Single Stepping on High-Level Language Level 48
Registers .................................................................................................................................. 50
Core Registers 50
Special Function Register 53
Memory Display and Modification ......................................................................................... 61
The Data.dump Window 63
The List Window 80
Breakpoints .............................................................................................................................. 83
Breakpoint Implementations 83
Breakpoint Types 103
Breakpoint Handling ............................................................................................................... 108
TRACE32 Directory 464 ©1989-2017 Lauterbach GmbH
Breakpoint Setting at Run-time 108
Real-time Breakpoints vs. Intrusive Breakpoints 109
Break.Set Dialog Box 113
Advanced Breakpoints 133
Display a List of all Set Breakpoints 152
Delete Breakpoints 153
Enable/Disable Breakpoints 153
Store Breakpoint Settings 154
Debugging ................................................................................................................................ 155
Debugging of Optimized Code 155
Basic Debug Control 158
Advanced Debugging Topics
Training JTAG Interface
Training JTAG Interface ........................................................................... (training_jtag.pdf) 1
History ................................................................................................................................... 2
Introduction .......................................................................................................................... 2
Related Documents 2
Debugging a JTAG Session 3
JTAG Basics ......................................................................................................................... 5
Main Concept 6
JTAG Implementation .......................................................................................................... 13
Single TAP Controller 13
Multiple TAP Controllers 14
Custom JTAG Access .......................................................................................................... 26
Overview 27
Remote API 29
Command Line Control 39
Training ARM-ETM
ARM-ETM Training
ARM-ETM Training ..............................................................................(training_arm_etm.pdf) 1
ETM Setup ................................................................................................................................ 5
ETM Versions 5
Main Setup Windows 6
ETMv1 8
TRACE32 Directory 465 ©1989-2017 Lauterbach GmbH
ETMv3 15
PTM (aka. PFT) 26
FLOWERROR 37
Displaying the Trace Contents ............................................................................................... 40
Source for the Recorded Trace Information 40
Sources of Information for the Trace Display 42
Influencing Factors on the Trace Information 43
States of the Trace 56
The AutoInit Command 57
Basic Display Commands 58
Display Items 62
Find a Specific Record 69
Belated Trace Analysis 71
Trace-based Debugging (CTS) ............................................................................................... 77
Forward and Backward Debugging 78
CTS Technique 83
Belated Trace-based Debugging 85
HLL Analysis of the Trace Contents 86
Trace Control by Filter and Trigger ....................................................................................... 90
Context 90
Filters and Trigger by Using the Break.Set Dialog 94
OS-Aware Tracing ................................................................................................................... 113
OS (No Dynamic Memory Management) 113
OS+MMU (Dynamic Memory Management) 123
Specific Write Access vs. Context ID Packet 132
Task Statistics 133
Context ID Comparator 135
Function Run-Times Analysis ................................................................................................ 137
Software under Analysis (no OS, OS or OS+MMU) 137
Flat vs. Nesting Analysis 137
Flat Analysis 142
Nesting Analysis 165
Trace-based Code Coverage .................................................................................................. 184
Coverage Types 184
Optimum ETM Configuration (No OS or OS) 185
Optimum ETM Configuration (OS+MMU) 185
Streaming Code Coverage 186
Incremental Code Coverage 192
Documented Code Coverage 198
TRACE32 Directory 466 ©1989-2017 Lauterbach GmbH
Training AURIX
AURIX Trace Training
AURIX Trace Training .................................................................... (training_aurix_trace.pdf) 1
Basic Knowledge ..................................................................................................................... 6
Protocol Description 6
Source for the Recorded Trace Information 13
Trace Configuration within TRACE32 ................................................................................... 20
Onchip Trace Configuration 20
Off-chip Trace Configuration 33
Trace Sources and Their Messages 36
Message Display in TRACE32 42
FIFOFULL 52
Displaying the Trace Contents ............................................................................................... 54
Sources of Information for the Trace Display 54
Influencing Factors on the Trace Information 55
TRACE32 Trace Configuration Window 56
Basic Display Commands 69
Browsing through the Trace Buffer 81
Find a Specific Event 82
Post Mortem Trace Analysis (PowerTrace only) 83
Belated Trace Analysis 85
Trace Control by Filter and Trigger - Overview .................................................................... 92
Marker 93
Filter 93
Trigger 93
Available Resources 93
Filter and Trigger - Single-Core and AMP ............................................................................. 94
WATCH Marker 94
TraceEnable Filter 97
TraceData Filter 111
TraceON/TraceOFF Filter 113
Trace Trigger (Onchip Trace Only) 117
Filter and Trigger - SMP Systems .......................................................................................... 123
WATCH Marker 123
TraceEnable Filter 127
TraceData Filter 143
TraceON/TraceOFF Filter 145
Trace Trigger (Onchip Trace Only) 149
OS-Aware Tracing - Single-Core and AMP ........................................................................... 156
TRACE32 Directory 467 ©1989-2017 Lauterbach GmbH
Activate the TRACE32 OS-Awareness (Supported OS) 156
Exporting the Task Switches 158
Exporting Task Services 162
Exporting ISR2 (OSEK Interrupt Service Routines) 166
Exporting Task Switches and ISR2 170
Exporting Task Switches and all Instructions 172
Belated Trace Analysis (OS) 175
Enable an OS-aware Tracing (Not-Supported OS) 176
OS-Aware Tracing - SMP Systems ........................................................................................ 177
Activate the TRACE32 OS-Awareness (Supported OS) 177
Exporting the Task Switches 179
Exporting Task Services 186
Exporting ISR2 (OSEK Interrupt Service Routines) 192
Exporting Task Switches and ISR2 198
Exporting Task Switches and all Instructions 200
Belated Trace Analysis (OS) 203
Function Run-Time Analysis - Basic Concept ...................................................................... 204
Software under Analysis (no OS or OS) 204
Flat vs. Nesting Analysis 204
Flat Function-Runtime Analysis - Single-Core and AMP ..................................................... 209
Optimum MCDS Configuration (No OS) 209
Optimum MCDS Configuration (OS) 210
Function Timing Diagram (no TASK Information) 211
Function Timing Diagram (TASK information) 212
Numeric Analysis 215
Flat Function-Runtime Analysis for SMP .............................................................................. 217
Optimum MCDS Configuration (OS) 218
Function Timing Diagram (no TASK Information) 219
Function Timing Diagram (TASK Information) 221
Numeric Analysis 223
Nesting Function Run-Time Analysis - Single ...................................................................... 226
Restrictions 226
Optimum MCDS Configuration (No OS) 227
Optimum MCDS Configuration (OS) 228
Numerical Nesting Analysis for all Software 230
More Nesting Analysis Commands 242
Nesting Function Run-Time Analysis for SMP ..................................................................... 247
Optimum MCDS Configuration (OS) 247
Numerical Nesting Analysis for OS 250
More Nesting Analysis Commands 260
Trace-based Code Coverage .................................................................................................. 263
TRACE32 Directory 468 ©1989-2017 Lauterbach GmbH
Coverage Types 263
General SetUp 264
Incremental Code Coverage 266
Streaming Code Coverage 274
Documented Code Coverage 279
Training Hexagon-ETM
Hexagon-ETM Training
Hexagon-ETM Training .............................................................. (training_hexagon_etm.pdf) 1
Introduction Hexagon ETM ..................................................................................................... 4
Off-chip Trace Port 4
On-chip Trace 16
Specifying the Trace Method 23
FLOW ERROR 28
TARGET FIFO OVERFLOW 31
ETM Based Real-Time Breakpoints ....................................................................................... 34
Introduction 34
Breakpoint Usage 36
Saving the Breakpoint Settings as a PRACTICE Script 53
Displaying the Trace Contents ............................................................................................... 54
Fundamentals 54
Display Commands 56
Correlating Different Trace Displays 59
Correlating the Trace Display and the Source Code 60
Default Display Items 61
Additional Display Items 74
Formatting the Trace Display 77
Changing the DEFault Display 79
The AutoInit Option 80
Searching in the Trace 81
Belated Trace Analysis 83
Function Run-Times Analysis ................................................................................................ 89
Flat vs. Nesting Analysis 90
Flat Analysis 93
Nesting Analysis 112
Cycle Statistic .......................................................................................................................... 127
Filtering via the ETM Configuration Window ........................................................................ 130
Hardware Thread Filter 131
TRACE32 Directory 469 ©1989-2017 Lauterbach GmbH
Software Thread Filter 132
ASID Filter 132
Filtering/Triggering with Break.Set ........................................................................................ 133
TraceEnable Filter 135
TraceON/OFF Filter 143
TraceTrigger 147
Filtering/Triggering via the ETM.Set ...................................................................................... 155
The ETM Registers 156
Actions Based on Sequencer Level 158
Actions Based on Sequencer Level and Condition 162
Benchmark Counters .............................................................................................................. 166
Introduction 166
Standard Examples 168
Function Run-time Analysis - Cache Misses/Stalls 179
Summary: Trigger and Filter .................................................................................................. 182
Appendix A .............................................................................................................................. 183
The Calibration of the Recording Tool 183
Calibration Problems 185
Training Intel® x86/x64
Basic Debugging Intel® x86/x64
Basic Debugging Intel® x86/x64 .............................................. (training_debugger_x86.pdf) 1
Debug Configurations ............................................................................................................. 4
CombiProbe MIPI60-C 5
Debug Cable XDP60 8
On-Chip Core Trace 10
Off-Chip System/Core Trace 10
Starting a TRACE32 PowerView Instance ............................................................................. 11
Basic TRACE32 PowerView Parameters 11
Application Properties (Windows only) 16
Configuration via T32Start (Windows only) 17
About TRACE32 18
Establish your Debug Session ............................................................................................... 21
Course of Action 21
Start-Up Script 29
TRACE32 PowerView .............................................................................................................. 32
SMP Concept 32
TRACE32 Directory 470 ©1989-2017 Lauterbach GmbH
TRACE32 PowerView Components 36
Further Documentation 51
Basic Debugging (SMP) .......................................................................................................... 52
Go/Break 52
Single Stepping on Assembler Level 54
Single Stepping on High-Level Language Level 55
Registers .................................................................................................................................. 57
Core Registers 57
Further Register Sets 60
Special Function Register 61
Memory Display and Modification ......................................................................................... 66
The Data.dump Window 68
The List Window 79
Breakpoints .............................................................................................................................. 82
Breakpoint Implementations 82
Onchip Breakpoints for Intel® x86/x64 86
Breakpoint Types 87
Breakpoint Behavior ............................................................................................................... 92
Breakpoint Setting at Run-time 92
Breakpoints after Reset/Power Cycle 93
Onchip Breakpoints Changed by Target Program 95
Breakpoint Handling ............................................................................................................... 96
Real-time Breakpoints vs. Intrusive Breakpoints 96
Break.Set Dialog Box 101
Advanced Breakpoints 116
Display a List of all Set Breakpoints 132
Delete Breakpoints 132
Enable/Disable Breakpoints 133
Store Breakpoint Settings 134
Debugging ................................................................................................................................ 135
Basic Debug Control 135
Debugging of Optimized Code 148
Document your Results .......................................................................................................... 151
Settings 151
Quick Output 154
Advanced Output 156
Training Linux Debugging for Intel® x86/x64
Training Linux Debugging for Intel® x86/x64 ........................ (training_rtos_linux_x86.pdf) 1
Prolog ....................................................................................................................................... 4
TRACE32 Directory 471 ©1989-2017 Lauterbach GmbH
Basic Terms on Embedded Linux .......................................................................................... 5
1.) Linux Components 5
2.) The Linux Awareness 6
3.) Virtual Memory Management in Linux 8
4.) Run-Mode vs. Stop-Mode Debugging 14
5.) Building the Kernel, a Kernel Module and a Simple Demo Application 17
Setting up a Script for Linux-Aware Debugging .................................................................. 19
1.) Capture of Commands for a Script 19
2.) Linux Setup-Steps and -Commands 20
3.) Example Linux Setup-Scripts 33
Debugging the Linux Components by TRACE32 Linux Menu ............................................ 36
1.) Debugging Linux Components 36
2.) Linux specific Windows and Features 54
Troubleshooting ...................................................................................................................... 58
Epilog ....................................................................................................................................... 59
Intel® Processor Trace Training
Intel® Processor Trace Training ........................................................(training_ipt_trace.pdf) 1
Protocol Description ............................................................................................................... 5
Basic Trace Packets 5
OS-Aware Tracing 6
Time Information 7
Trace Configuration ................................................................................................................ 10
Off-chip Trace 10
SDRAM Trace 17
Trace Errors 21
TRACE32 Abstractions 26
Displaying the Trace Contents ............................................................................................... 30
Influencing Factors on the Trace Information 30
Settings in the TRACE32 Trace Configuration Window 31
States of the Trace 42
The AutoInit Command 43
Basic Display Commands 44
Display Items 50
Belated Trace Analysis 59
Trace Control by Filters .......................................................................................................... 67
TraceEnable 68
TraceOFF 70
OS-Aware Tracing ................................................................................................................... 72
Process Switch Packets 72
TRACE32 Directory 472 ©1989-2017 Lauterbach GmbH
Program Flow and Process Switches 74
Process Runtime Analysis 75
Find Process Switches in the Trace 78
OS-aware Filtering 80
Belated Analysis 85
Trace-based Debugging (CTS) ............................................................................................... 87
Setup 87
Get Started 88
Forward and Backward Debugging 91
CTS Technique 92
Function Run-Time Analysis - Basic Concept ...................................................................... 93
Software under Analysis (no OS, OS or OS+MMU) 93
Flat vs. Nesting Analysis 93
Flat Function-Runtime Analysis ............................................................................................ 98
Function Time Chart 98
Function Run-time Statistic 102
Further Commands 103
Nesting Function Analysis OS ............................................................................................... 104
Survey 105
range Column 106
Default Results 109
Net Results 111
Interrupt Details 113
Time in Other Tasks 114
Tree Display 115
Structure your Trace Evaluation ............................................................................................ 116
GROUPs for OS-aware Tracing 116
GROUP Status ENable 117
GROUP Status ENable+Merge 118
GROUP Status Enable+HIDE 119
GROUP Creation 120
Training Nexus
Nexus Training
Nexus Training ........................................................................................ (training_nexus.pdf) 1
History ...................................................................................................................................... 6
Basic Knowledge ..................................................................................................................... 7
NEXUS Characteristics 7
TRACE32 Directory 473 ©1989-2017 Lauterbach GmbH
Limited Bandwidth 8
Multicore Tracing 17
Source for the Recorded Trace Information 19
NEXUS Configuration by TRACE32 ....................................................................................... 21
Configuration of the Trace Interface 21
Configuration of the NEXUS Messages 32
NEXUS Trace Clients 43
Target FIFO Overflow 47
FlowErrors 52
Displaying the Trace Content ................................................................................................. 53
Sources of Information for the Trace Display 53
Settings in the Trace Configuration Window 54
Basic Display Commands 66
Display Items 71
Time Synchronization between TRACE32 Instances (AMP) 76
Find a Specific Record 78
Belated Trace Analysis 79
Trace-based Debugging (CTS) ............................................................................................... 85
Re-Run the Program 85
Re-Run the Program and Watch the Variables 90
CTS Technique 98
Filter and Trigger (Core) Overview ........................................................................................ 100
Resources 101
Filter and Trigger (Core) - Single Core .................................................................................. 105
Examples for TraceEnable on Instructions 105
Example for TraceEnable on Instruction Range 110
Examples for TraceEnable on Read/Write Accesses 113
Example for TraceData 118
Examples for TraceON/TraceOFF 120
Example for TraceTrigger 133
Example for TraceTrigger with a Trigger Delay 136
Example for BusTrigger 139
Example for BusCount (Watchpoint) 141
Filter and Trigger (Core) - SMP Debugging .......................................................................... 145
Examples for TraceEnable on Single Instruction 146
Examples for TraceEnable on Instruction Range 152
Examples for TraceEnable on Read/Write Accesses 155
Example for TraceData 160
Examples for TraceON/TraceOFF 162
Example for TraceTrigger 176
Example for TraceTrigger with a Trigger Delay 179
TRACE32 Directory 474 ©1989-2017 Lauterbach GmbH
Example for BusTrigger 183
Example for BusCount (Watchpoint) 185
Filter and Trigger (Trace Clients) ........................................................................................... 189
Example for TraceEnableClient1 190
OS-Aware Tracing (ORTI File) ................................................................................................ 192
Activate the TRACE32 OS Awareness 192
Exporting Task Information (Overview) 194
OS-Aware Tracing - Single Core ............................................................................................ 195
Exporting all Types of Task Information (OTM) 195
Exporting all Types of Task Information and all Instructions (OTM) 204
Exporting Task Information (Write Access) 207
Exporting Task Switches and all Instructions (Write Access) 219
Belated Trace Analysis (OS) 222
OS-Aware Tracing - SMP Systems ........................................................................................ 223
Exporting all Types of Task Information (OTM) 223
Exporting all Types of Task Information and all Instructions (OTM) 234
Exporting Task Information (Write Access) 237
Exporting Task Switches and all Instructions (Write Access) 256
Belated Trace Analysis (OS) 259
Function Run-Times Analysis (Overview) ............................................................................ 260
Software under Analysis (no OS or OS) 260
Flat vs. Nesting Analysis 260
Function Run-Times Analysis - Single .................................................................................. 265
Flat Analysis 265
Nesting Analysis 275
Third-party Timing Tools 304
Function Run-Times Analysis - SMP Instance ..................................................................... 305
Flat Analysis 305
Nesting Analysis 315
Third-party Timing Tools 333
Structure the Trace Evaluation .............................................................................................. 334
GROUP Creation 334
Working with GROUPs 338
Trace-based Code Coverage .................................................................................................. 342
Code Coverage Types 342
General Setup 343
Data Collection 344
Display the Code Coverage Results 355
Documented Code Coverage 371
TRACE32 Directory 475 ©1989-2017 Lauterbach GmbH
Training HLL Debugging
Training HLL Debugging ................................................................................. (training_hll.pdf) 1
Load the Application Program .................................................................................................. 4
The Symbol Database ................................................................................................................ 21
Structure of the Internal Symbol Database 21
General Information on the Symbol Database 22
Symbol Browser 23
Details about a Selected Symbol 29
Searching in Source Files 31
Display Variables ........................................................................................................................ 33
Watch Window 33
View Window 35
Referenced Variables 36
Local Variables 37
Stack Frame 38
Special Display for Arrays 39
Linked Lists 42
Format Variable .......................................................................................................................... 44
Format a Variable using the Format Dialog Box 44
Format a Variable Using the Command Line 54
General SETUPs 55
Variable Monitoring .................................................................................................................... 56
Basics 56
Preparation 57
Format Option %E 59
Var.PROfile Command 61
Variable Logging ........................................................................................................................ 63
SNOOPer Trace 63
Var.LOG Command 83
Testing of Functions .................................................................................................................. 85
Training Script Language PRACTICE
Training Script Language PRACTICE ....................................................(training_practice.pdf) 1
History ......................................................................................................................................... 4
E-Learning ................................................................................................................................... 4
Ready-to-Run Scripts ................................................................................................................. 4
Introduction to Script Language PRACTICE ........................................................................... 5
TRACE32 Directory 476 ©1989-2017 Lauterbach GmbH
Area of Use 5
Run a Script 6
Create a PRACTICE Script ......................................................................................................... 8
Convert TRACE32 Settings to a Script 8
Command LOG 13
Command History 14
Script Editor PEDIT 15
Syntax Highlighting 17
Debugging of PRACTICE Script ................................................................................................ 19
Debug Environment 20
Display the PRACTICE Stack 24
PRACTICE Language ................................................................................................................. 25
Program Elements 25
PRACTICE Flow Control ............................................................................................................ 27
Conditional Program Execution 27
Subroutine Calls 32
GOTO/JUMPTO 35
Script Calls 38
PRACTICE Macros 39
Script Examples ......................................................................................................................... 52
Run Through Program and Generate a Test Report 52
Check Contents of Addresses 58
Check Contents of Address Range 59
Check the Contents of Variables 62
Record Formatted Variables 63
Record Variable as CSV 64
Test Functions 66
Test Function with Parameter File 67
Parameter Passing ..................................................................................................................... 69
Pass Parameters to a PRACTICE Script or to a Subroutine 69
PARAMETERS/RETURNVALUES vs. ENTRY 77
Operating System Interaction ................................................................................................... 82
Operating System Detection 82
Printing Results 83
Accessing Environment Variables 85
Running a Command 86
File Manipulation 87
Time and Date Functions 91
I/O Commands ............................................................................................................................ 92
Output Command 92
Input Command 92
TRACE32 Directory 477 ©1989-2017 Lauterbach GmbH
I/O via the AREA Window 94
Event Control via PRACTICE 96
Simple Dialogs ............................................................................................................................ 97
Dialog Programming .................................................................................................................. 100
Control Positioning 103
Control Properties 105
File Browsing 113
Icons 115
Dialog Example 116
PRACTICE in a Multi-Core Environment .................................................................................. 121
Communication via Intercom 122
Designing Robust PRACTICE Scripts ...................................................................................... 124
Path Functions and Path Prefixes 125
Host Operating System 127
Debug Hardware 128
Target CPU and Board 129
TRACE32 Version 130
TRACE32 Settings 131
Robust Error Handling 136
Argument Handling 138
Creating a Custom Command 139
Common Pitfalls 140
Training Menu
Training Menu .............................................................................................. (training_menu.pdf) 1
Customizing the TRACE32 PowerView GUI ............................................................................. 2
The Menu Programming Editor 4
Customizing the Main Menubar and the Accelerators 5
Customizing the Main Toolbar 11
Use of Ready-to-Run Menu Files 15
Training RTOS
Training Linux Debugging
Training Linux Debugging ............................................................... (training_rtos_linux.pdf) 1
Prolog ....................................................................................................................................... 4
Basic Terms on Embedded Linux .......................................................................................... 5
TRACE32 Directory 478 ©1989-2017 Lauterbach GmbH
1.) Linux Components 5
2.) The Linux Awareness 6
3.) Virtual Memory Management in Linux 8
4.) Run-Mode vs. Stop-Mode Debugging 14
5.) Building the Kernel, a Kernel Module and a Simple Demo Application 17
Setting up a script for Linux-aware debugging .................................................................... 19
1.) Capture of commands for a script 19
2.) Linux Setup-Steps and -Commands 20
3.) Example Linux Setup-Scripts 34
Debugging the Linux Components by TRACE32 Linux menu ............................................ 37
1.) Debugging Linux Components 37
2.) Linux specific Windows and Features 51
Troubleshooting ...................................................................................................................... 55
Linux Trace .............................................................................................................................. 56
Overview 56
Example 1: Context ID trace for ARM 56
Example 2: Using the LOGGER for task switch trace 57
Epilog ....................................................................................................................................... 61
Training Power Probe
Training Power Probe
Training Power Probe ................................................................................... (training_pp.pdf) 1
Basics ....................................................................................................................................... 2
The PowerProbe Configuration Window 2
The PowerProbe Connector 3
Standard Sampling Mode 4
Arm/Disarm the PowerProbe 5
Signal Names 6
Link the PowerProbe to the Application Debugging 9
Fifo/Stack Mode 9
Measurement Statistics 10
Postprocessing 11
Simple Trigger ......................................................................................................................... 13
Asynchronous Trigger ............................................................................................................ 21
Trigger Outputs ....................................................................................................................... 24
Protocol Analysis .................................................................................................................... 25
TRACE32 Directory 479 ©1989-2017 Lauterbach GmbH
Track Option ............................................................................................................................ 27
Complex Trigger Introduction ................................................................................................ 29
Synchronous Recording ......................................................................................................... 33
Pulse Generator ....................................................................................................................... 36
Pattern Generator .................................................................................................................... 37
Training ICE Emulator
Training ICE Basics
Training ICE Basics .......................................................................................(training_ice.pdf) 1
System Architecture TRACE32-ICE ....................................................................................... 4
Module Structure 4
Block Diagram of TRACE32 Hardware 8
TRACE32 Software 9
Setup the TRACE32 Software ................................................................................................ 10
The Properties Window (Windows only) 10
The Configuration File 12
Starting-up the Emulator ........................................................................................................ 17
System Settings 17
Mapper 21
Download the Program 28
Initialize the Registers 28
Start-Up File 29
TRACE32 GUI ........................................................................................................................... 32
Main Menu Bar and Accelerators 33
Main Tool Bar 34
Window Area 35
Command Line 38
Message Line 42
Softkeys 43
State Line 44
Registers .................................................................................................................................. 45
Display the CPU Registers 45
Modify the Contents of a CPU Register 47
Display the Special Function Registers 48
The PER Definition File 51
Modify a Special Function Register 52
Data and Program Memory ..................................................................................................... 54
TRACE32 Directory 480 ©1989-2017 Lauterbach GmbH
Dualport Access 54
Display a Hex Dump 56
Modify Memory 66
Display a Source Listing 67
Changing Code Lines 69
Breakpoints .............................................................................................................................. 70
Implementation 70
Synchronous/Asynchronous Breakpoints 72
Permanent/Temporary Breakpoints 79
Breakpoint Handling 80
Breakpoint Control .................................................................................................................. 89
Emulator Main Trigger Unit 89
Spot System 93
Debugging ................................................................................................................................ 94
Basic Debug Control 94
Flag Memory ............................................................................................................................ 97
Display Flag Information 98
Code Coverage 99
Checking Variables 100
Stack Depth Analysis 103
Document your Results .......................................................................................................... 104
Print your Results 104
Save your Results to a File 106
Training ICE Analyzer
Training ICE Analyzer .................................................................. (training_ice_analyzer.pdf) 1
Introduction ............................................................................................................................. 4
Functional Units of the Analyzer 4
Probe Connectors 5
The Trace Buffer ..................................................................................................................... 9
States of the Trace 10
Sampling Modes of the Trace 11
Trace Contents 13
Trace Configuration Window 22
Format the Trace Buffer 27
Correlate Trace List to Source 29
Browse through the Trace Buffer 30
Find a Specific Record 31
Additional Commands to Display the Trace Buffer 33
Trigger Programming .............................................................................................................. 36
TRACE32 Directory 481 ©1989-2017 Lauterbach GmbH
The Trigger Programming Window 36
First Examples for a Trigger Program 38
Structure of a Trigger Program 41
Programming 43
The Analyzer Programming Dialog Window ......................................................................... 64
How to Start 64
First Example 65
Sampling of Memory/Variable Accesses 72
Sample a Function 75
Stop the Program Execution 77
Statistic Functions .................................................................................................................. 85
Function Runtime Analysis 86
Statistic Distribution of a Single Event 94
Statistic Distribution between 2 Events 95
The Performance Analyzer ..................................................................................................... 97
Function Performance Analysis 97
Performance Scanning 100
Performance Analyzer Programs 102
Context Tracking System (CTS) ............................................................................................. 103
Trace Based Debugging 104
HLL Analysis of the Trace Contents 107
Background 110
Training FIRE Emulator
Training FIRE Basics
Training FIRE Basics ................................................................................... (training_fire.pdf) 1
System Architecture ............................................................................................................... 5
Host Interfaces 6
Starting-up the TRACE32-FIRE .............................................................................................. 8
System Settings 8
Mapper 15
Initialize the Special Function Registers 22
Download the Program 22
Initialize the Registers 22
Start-Up File 23
TRACE32 GUI ........................................................................................................................... 25
Main Menu Bar and Accelerators 26
Main Tool Bar 27
TRACE32 Directory 482 ©1989-2017 Lauterbach GmbH
Window Area 28
Command Line 31
Message Line 35
Softkeys 36
State Line 37
Registers .................................................................................................................................. 38
Display the CPU Registers 38
Modify the Contents of a CPU Register 40
Display the Special Function Registers 41
The PER Definition File 44
Modify a Special Function Register 45
Data and Program Memory ..................................................................................................... 46
Run-time Memory Access 46
Display a Hex Dump 47
Modify Memory 57
Display a Source Listing 58
Changing Code Lines 60
Breakpoints .............................................................................................................................. 61
Implementation 61
Breakpoint Types 66
Auto Implementation of Breakpoints 69
How to use the different Breakpoint Implementations ........................................................ 70
Software Breakpoints 70
Hardware Breakpoint/Address Selectors 70
On-chip Breakpoints 71
Breakpoint Handling ............................................................................................................... 72
Break.Set Dialog Box 72
Advanced Breakpoints 85
Display a List of all Set Breakpoints 95
Delete Breakpoints 96
Enable/Disable Breakpoints 96
Store Breakpoint Settings 97
Debugging ................................................................................................................................ 98
Basic Debug Control 98
Flag Memory ............................................................................................................................ 101
Read Flag 101
Write Flag 103
Document your Results .......................................................................................................... 105
Print your Results 105
Save your Results to a File 107
TRACE32 Directory 483 ©1989-2017 Lauterbach GmbH
Training FIRE Analyzer
Training FIRE Analyzer ................................................................(training_fire_analyzer.pdf) 1
Introduction ............................................................................................................................. 4
Displaying the Trace ............................................................................................................... 6
Sampling Modes 8
Bus Trace Mode 9
Clock Trace Mode 10
States of the Trace 11
Sampling Modes of the Trace 12
Trace Contents 14
Trace Configuration Window 19
Format the Trace Buffer 22
Correlate Trace Listing to Source 25
Browse through the Trace Buffer 26
Find a Specific Record 27
Additional Commands to Display the Trace Buffer 29
Trigger Programming .............................................................................................................. 33
The Trigger Programming Window 34
First Examples for a Trigger Program 35
Structure of a Trigger Program 38
Programming 40
The Analyzer Programming Dialog Window ......................................................................... 57
How to Start 57
First Example 58
Sampling of Memory/Variable Accesses 65
Sample a Function 68
Stop the Program Execution 70
Statistic Functions .................................................................................................................. 78
Function Runtime Analysis 79
Statistic Distribution of a Single Event 87
Statistic Distribution between 2 Events 88
Performance Analysis ............................................................................................................. 90
Measurement Methods 90
Function Runtime 91
Context Tracking System (CTS) ............................................................................................. 92
Trace Based Debugging 93
HLL Analysis of the Trace Contents 95
Background 98
TRACE32 Directory 484 ©1989-2017 Lauterbach GmbH
Training FIRE PortAnalyzer
Training FIRE PortAnalyzer ................................................................ (training_fire_port.pdf) 1
Basics ....................................................................................................................................... 2
Architecture 2
Inputs 3
Operation ................................................................................................................................. 6
Sampling Modes 6
Input Configuration 14
Display the Contents of the Port Analyzer Trace 18
Trigger System 20
Protocol Support 31
Training Simulator and Demo Software
Training Simulator and Demo Software ................................................................... (demo.pdf) 1
About the Demo .......................................................................................................................... 2
Starting the TRACE32 Simulator .............................................................................................. 2
User Interface - TRACE32 PowerView ...................................................................................... 3
Program Listing and Program Counter 3
TRACE32 Commands 4
TRACE32 Command Line and Softkeys 4
Single Stepping 5
Window Captions - What makes them special in TRACE32 6
Memory ........................................................................................................................................ 7
Displaying Memory 7
Modifying Memory 8
Debugging the Program 9
Displaying the Nesting of Functions 12
Breakpoints ................................................................................................................................. 13
Setting Software Breakpoints 13
Listing all Breakpoints 14
Setting a Program Breakpoint to Any Code Line within a Function 15
Setting a Spot Breakpoint 16
Adding a Watch on a Variable 17
Removing Breakpoints 17
HLL Variables ............................................................................................................................. 18
Displaying HLL Variables 18
Displaying HLL Variables of the Current Program Context 19
Using the Symbol Browser 19
TRACE32 Directory 485 ©1989-2017 Lauterbach GmbH
Formatting HLL Variables 20
Modifying Variables 21
Mini Quiz - Check Your Learning Progress ............................................................................. 21
TRACE32 Directory 486 ©1989-2017 Lauterbach GmbH
TRACE32 Installation
TRACE32 Installation Guide
TRACE32 Installation Guide .............................................................................(installation.pdf) 1
Prerequisites ............................................................................................................................... 6
Basic Concepts .......................................................................................................................... 7
TRACE32-ICD (In-Circuit Debugging) ....................................................................................... 8
Host-based Interfaces 8
Controller-based Interfaces 9
Minimal Manual Setup (no regular installation!) ..................................................................... 10
Prerequisites and Recommendations for the Minimal Manual Setup 11
Copy the Required Files (USB and Ethernet) 12
USB Configuration 13
Ethernet Configuration 16
Identify the Peripheral File 20
TRACE32-ICE (In-Circuit Emulation) ........................................................................................ 22
Legacy Host Interfaces 22
Parallel Interface (TRACE32-PAR) 22
Serial Interface (TRACE32-SER) 22
Fiber Optic (TRACE32-SER, TRACE32-NET) 22
SCSI Interface (TRACE32-SER, TRACE32-NET) 23
Host Interface Cards 23
Hardware Installation (TRACE32-ICE) ...................................................................................... 25
Remove Modules 25
Add Modules 25
System Memory (SCU/PODETH) 26
LEDs on TRACE32 Hardware Modules .................................................................................... 27
PowerTools 27
SCU32 33
SCU32-MPC 34
SCU32-MPC 100MBit 35
PODBUS Ethernet Controller 36
PODBUS Ethernet Controller/100 37
TRACE32-Interfaces ................................................................................................................... 38
TRACE32-USB 38
TRACE32-ETHERNET 40
TRACE32-PAR 42
TRACE32-SER 43
TRACE32 Directory 487 ©1989-2017 Lauterbach GmbH
SASO 46
SYSTEM SOFTWARE ................................................................................................................. 47
Files and Directories 47
File config.t32 52
Software Installation .................................................................................................................. 65
Floating Licenses 66
MS-WINDOWS 67
PC_LINUX 77
SUN/SPARC 82
HP-9000 96
REMOTE Interfaces .................................................................................................................... 107
Example OS/9 together with PC 108
Example VAX/VMS and Workstation 109
InterCom Interface ...................................................................................................................... 110
Troubleshooting ......................................................................................................................... 111
FAQ .............................................................................................................................................. 115
Floating Licenses
Floating Licenses ......................................................................................(floatinglicenses.pdf) 1
Introduction ................................................................................................................................ 3
TRACE32 Floating License Overview 3
Licensing Terms Glossary 5
FAQ .............................................................................................................................................. 5
How To Install Floating Licenses - Overview .......................................................................... 6
How To Upgrade Floating Licenses 6
License Management Server 7
How RLM Floating Licenses work 8
License Server Setup ................................................................................................................. 9
How to get the RLM Host ID 9
How to Configure an RLM Server for Auto-Start 9
Floating License Transfer 12
License Client Setup .................................................................................................................. 13
Multiple RLM servers 16
Supported Operating Systems 17
Floating License Pools .............................................................................................................. 18
Software-Only License Types 19
License Pool Setup 20
TRACE32 Directory 488 ©1989-2017 Lauterbach GmbH
Example Session 22
Caveats 23
LICENSE Function and Commands .......................................................................................... 24
Display a License List 24
Request a License from TRACE32 25
Get License State 26
PRACTICE script example 27
Citrix Application Note
Application Note Citrix
Application Note Citrix .....................................................................................(app_citrix.pdf) 1
Introduction ............................................................................................................................. 2
Intended Audience 2
Related Documents 2
Terms Glossary 3
Contacting Support 4
TRACE32 Configuration Examples ........................................................................................ 5
Standalone USB Configuration 5
Citrix USB Redirection 6
Citrix USB Virtual Channel Driver 7
Citrix Receiver Configuration ................................................................................................. 9
Windows/32bit Registry Settings 9
Windows/64bit Registry Settings 11
ICA Client 9.x - module.ini settings 13
Installer-based Configuration - Algorithm 14
TRACE32 Citrix Virtual Channel Installer (NSIS) 15
Miscellaneous Information ..................................................................................................... 18
TRACE32 Directory 489 ©1989-2017 Lauterbach GmbH
TRACE32 Technical Support
Support Addresses
Support Addresses ................................................................................................ (support.pdf) 1
Addresses of Reps and Subsidaries ........................................................................................ 2
Release History
Release History ....................................................................................................... (release.pdf) 1
General Information ................................................................................................................... 4
Code 4
Release Information ................................................................................................................... 4
Software Release from 01-Sep-2017 5
Software Release from 01-Feb-2017 10
Software Release from 01-Sep-2016 13
Software Release from 01-Feb-2016 16
Software Release from 01-Sep-2015 19
Software Release from 02-Feb-2015 22
Software Release from 01-Sep-2014 25
Software Release from 16-Feb-2014 28
Software Release from 16-Aug-2013 31
Software Release from 16-Feb-2013 33
Software Release from 16-Aug-2012 36
Software Release from 08-Feb-2012 40
Software Release from 04-Jun-2011 43
Software Release from 10-Nov-2010 46
Software Release from 01-Apr-2010 48
Software Release from 01-Dec-2009 52
Software Release from 07-Oct-2008 59
Software Release from 12-Sep-2007 64
Software Release from 11-Jan-2007 69
Software Release from 15-Apr-2006 73
Software Release from 20-Aug-2005 80
Software Release from 12-Feb-2005 83
Software Release from 21-Jul-2004 96
Software Release from 10-Jan-2004 113
Software Release from 14-Jul-2003 126
Sorted by Commands 151
Sorted by Devices 322
TRACE32 Directory 490 ©1989-2017 Lauterbach GmbH
Software Updates
Software Updates ................................................................................................... (updates.pdf) 1
About this Document ................................................................................................................. 2
How to install a software update .............................................................................................. 2
New subdirectory structure ....................................................................................................... 2
Do you have a valid Software License Key? ........................................................................... 3
The LICENSE Command 3
The Temporary License Key 3
Update of the Host Driver Software .......................................................................................... 4
USB Interface 4
PODBUS PARALLEL INTERFACE/ ISA Card 4
WINDOWS Host Based vs. WINDOWS Device Based Updates .............................................. 5
Troubleshooting ......................................................................................................................... 6
Conflicts with Regards to the Software Version 6
Conflicts with Regards to the Version of the Host Driver Software 6
Conflicts with Regards to the Version of the Executable and the other Files 6
Serial Numbers ........................................................................................................................... 7
TRACE32-ICD (Debugger) 8
TRACE32-ICD (ROM Monitors) 9
TRACE32-FIRE 12
TRACE32-ICE 13
TRACE32 Directory 491 ©1989-2017 Lauterbach GmbH
TRACE32 Index
TRACE32 Index .............................................................................................................. (index.pdf) 1
TRACE32 Directory 492 ©1989-2017 Lauterbach GmbH
TRACE32 Directory
TRACE32 Directory ................................................................................................. (directory.pdf) 1
TRACE32 Directory 493 ©1989-2017 Lauterbach GmbH