The 'Ultimate' CMOS Device: A 2003 Perspective ... -...

17
The "Ultimate" CMOS Device: A 2003 Perspective (Implications For Front-End Characterization And Metrology) Howard R. Huff and Peter M. Zeitzoff International SEMATECH 2706 Montopolis Drive Austin, TX 78741 Abstract. The evolution of planar, conventional CMOS to non-classical CMOS devices as described in the International Technology Roadmap for Semiconductors (ITRS) is discussed. The benefits of strained silicon configurations to enhance the channel mobility, silicon-on-insulator (SOI) to enhance the reduction of residual parasitics and non-planar transistor device structures to improve control of the short- channel effects are discussed. The combination of the above enhancements, in conjunction with the current state-of-the art global efforts in high-k gate dielectrics, metal electrodes and elevated source/drain, offers a plethora of opportunities requiring careful assessment of the optimal solution for each organization's portfolio of products and projected market position. Several of these possible solutions for the "ultimate" CMOS device are discussed from today's perspective, with attention to the characterization and metrology for assessing these alternate device structures. INTRODUCTION The pervasiveness of the microelectronics revolution can be traced to Patrick Haggerty and Gordon Moore. Moore's remarkedly prescient assessment of memory component growth in 1965, initially based on bipolar and then MOS memory density, observed that a semilog graph of the number of memory bits in an integrated circuit (1C) versus the date of initial availability was a straight line, representing almost a doubling per year [1,2]. The technology was based on a cell design feature in which the number of transistors per memory cell was reduced from 6 for a static random access memory (SRAM) to 1.5 for a dynamic random access memory (DRAM), based on Bob Dennard's one transistor/one capacitor (IT/1C) DRAM cell in 1968 [3] and the reduction (i.e., scaling) in the design rule. The scaling methodology, introduced by Dennard et al. in 1974 [4] (i.e., reduction in design rules without compromising the current-voltage characteristics), established the paradigm by which enhanced scaling has progressed and facilitated the explosive growth and applications of the metal-oxide semiconductor field-effect transistor (MOSFET) 1C. The original scaling methodology was based on constant electric field scaling principles and was generalized in 1984 to allow the voltage to be scaled less rapidly than the dimensions by increasing the electric field (with its own scaling factor) [5]. Major scaling changes were implemented in the reductions of the gate dielectric thickness, physical gate length and extension junction depth, as discussed by Dennard and colleagues via initially constant electric-field scaling and, subsequently, constant voltage scaling [6]. The concurrent concept of a learning curve, (i.e., the concomitant reduction in the cost of fabrication with the increased volume of production) and the concept of market elasticity was enunciated by Pat Haggerty in the 1960's [7-9]. The number of transistors per chip was subsequently modified by Moore in 1975 to double about every two years around the mid- later 1970s [10]. The technology continued to be based on a reduction in the design rule while the design, per se, was no longer achieved by a reduction in transistors per memory cell. Rather, the design benefits were now derived from improvements in circuit layout. These analyses were enshrined as Moore's law and became the productivity criterion by which the 1C industry grew at a 16% compound annual growth rate (CAGR) during the 30 years from the 1960's- 1980's. The phenomenal growth of the 1C industry, achieved by staying on the "productivity learning CP683, Characterization and Metrology for VLSI Technology: 2003 International Conference, edited by D. G. Seiler, A. C. Diebold, T. J. Shaffner, R. McDonald, S. Zollner, R. P. Khosla, and E. M. Secula © 2003 American Institute of Physics 0-7354-0152-7/03/$20.00 107

Transcript of The 'Ultimate' CMOS Device: A 2003 Perspective ... -...

Page 1: The 'Ultimate' CMOS Device: A 2003 Perspective ... - Springerextras.springer.com/2003/978-0-7354-0152-5/cdr... · A 2003 Perspective (Implications For Front-End Characterization And

The "Ultimate" CMOS Device:A 2003 Perspective

(Implications For Front-End Characterization And Metrology)Howard R. Huff and Peter M. Zeitzoff

International SEMATECH2706 Montopolis Drive

Austin, TX 78741

Abstract. The evolution of planar, conventional CMOS to non-classical CMOS devices as described inthe International Technology Roadmap for Semiconductors (ITRS) is discussed. The benefits of strainedsilicon configurations to enhance the channel mobility, silicon-on-insulator (SOI) to enhance thereduction of residual parasitics and non-planar transistor device structures to improve control of the short-channel effects are discussed. The combination of the above enhancements, in conjunction with thecurrent state-of-the art global efforts in high-k gate dielectrics, metal electrodes and elevatedsource/drain, offers a plethora of opportunities requiring careful assessment of the optimal solution foreach organization's portfolio of products and projected market position. Several of these possiblesolutions for the "ultimate" CMOS device are discussed from today's perspective, with attention to thecharacterization and metrology for assessing these alternate device structures.

INTRODUCTION

The pervasiveness of the microelectronicsrevolution can be traced to Patrick Haggerty andGordon Moore. Moore's remarkedly prescientassessment of memory component growth in1965, initially based on bipolar and then MOSmemory density, observed that a semilog graphof the number of memory bits in an integratedcircuit (1C) versus the date of initial availabilitywas a straight line, representing almost adoubling per year [1,2]. The technology wasbased on a cell design feature in which thenumber of transistors per memory cell wasreduced from 6 for a static random accessmemory (SRAM) to 1.5 for a dynamic randomaccess memory (DRAM), based on BobDennard's one transistor/one capacitor (IT/1C)DRAM cell in 1968 [3] and the reduction (i.e.,scaling) in the design rule. The scalingmethodology, introduced by Dennard et al. in1974 [4] (i.e., reduction in design rules withoutcompromising the current-voltagecharacteristics), established the paradigm bywhich enhanced scaling has progressed andfacilitated the explosive growth and applicationsof the metal-oxide semiconductor field-effecttransistor (MOSFET) 1C. The original scalingmethodology was based on constant electric fieldscaling principles and was generalized in 1984 to

allow the voltage to be scaled less rapidly thanthe dimensions by increasing the electric field(with its own scaling factor) [5]. Major scalingchanges were implemented in the reductions ofthe gate dielectric thickness, physical gate lengthand extension junction depth, as discussed byDennard and colleagues via initially constantelectric-field scaling and, subsequently, constantvoltage scaling [6].

The concurrent concept of a learning curve,(i.e., the concomitant reduction in the cost offabrication with the increased volume ofproduction) and the concept of market elasticitywas enunciated by Pat Haggerty in the 1960's[7-9]. The number of transistors per chip wassubsequently modified by Moore in 1975 todouble about every two years around the mid-later 1970s [10]. The technology continued to bebased on a reduction in the design rule while thedesign, per se, was no longer achieved by areduction in transistors per memory cell. Rather,the design benefits were now derived fromimprovements in circuit layout. These analyseswere enshrined as Moore's law and became theproductivity criterion by which the 1C industrygrew at a 16% compound annual growth rate(CAGR) during the 30 years from the 1960's-1980's.

The phenomenal growth of the 1C industry,achieved by staying on the "productivity learning

CP683, Characterization and Metrology for VLSI Technology: 2003 International Conference,edited by D. G. Seiler, A. C. Diebold, T. J. Shaffner, R. McDonald, S. Zollner, R. P. Khosla, and E. M. Secula

© 2003 American Institute of Physics 0-7354-0152-7/03/$20.00107

Page 2: The 'Ultimate' CMOS Device: A 2003 Perspective ... - Springerextras.springer.com/2003/978-0-7354-0152-5/cdr... · A 2003 Perspective (Implications For Front-End Characterization And

curve," continues to be the gauge by which theindustry is measured [11]. Indeed, Moore's lawcontinues to be the metric by which 1Cperformance is measured in the InternationalTechnology Roadmap for Semiconductors(ITRS) [11]. More than just monitoringproductivity, whether by staying on theproductivity curve or increasing manufacturingeffectiveness, however, is required. Rather,modeling productivity—the identification of newproductivity measures—is now required [12].

Significant front-end-of-the-line scalingcontributions have occurred in reduction of thegate dielectric thickness, physical gate length andextension junction depth [13] (see Figure 1) [14].These scaling advances have resulted in lowerpower dissipation per function, increased speed(intrinsic transistor gate delay) and increasedtransistor and function density [15-17]. Thepotential solutions and approaches for scalinghave been addressed by both material andprocess advances. These include arenas such ashigh-k gate dielectrics [18-23] andcharacterization of the interfaces involving thehigh-k gate dielectric as well as the metal gateelectrodes. In particular, interactions of thehigh-k with either the silicon [24] or the poly-silicon gate electrode [25], including interactionsof the high-k material with both the siliconsubstrate and the polysilicon gate electrode [26]and charge instabilities associated with the highgate dielectric and/or its method of fabrication

[27] have also been considered. Finally, the roleof poly SiGe gate electrodes [28] and dual workfunction metal gate electrodes with differingwork functions [29] or a single, tunable workfunction metal for CMOS optimization [30,31]to reduce the poly depletion effect [32] andboron penetration in PMOSFETs [32] with metalgate electrodes as well as avoiding reactionsbetween the polysilicon and the high-k gatedielectric [24,25] are state-of-the art issues.

During the last few years, however,alternative non-classical device structuralconfigurations have received extensiveassessment to maintain Moore's law [10,33].MOS transistor scaling of the physical gatelength (Lg) to the sub-20 nm regime has beenobserved to exhibit short channel effects (SCE)[32,34,35]. In conjunction with alternativeCMOS device structures (i.e., vertical gateapproaches such as the FinFET [36,37] and othermultiple gate configurations [38]), theopportunity for mainstream utilization of SOIhas significantly broadened [39-45]. We shallfocus on these alternative device structures in thedrive to the "ultimate" CMOS deviceconfiguration [46,47], noting the importance ofcharacterization and metrology in thesealternative device structures.

poly

fits

Si

LJ

Figure 1. Simplified cross-section of a high-k gate dielectric stack with accompanying physicalgate length (Lg) and junction structures. Modified version of drawing in [14].

108

Page 3: The 'Ultimate' CMOS Device: A 2003 Perspective ... - Springerextras.springer.com/2003/978-0-7354-0152-5/cdr... · A 2003 Perspective (Implications For Front-End Characterization And

TRANSISTOR SCALINGISSUES

The increased difficulty in meeting therequired device metrics over the next 15 yearscertainly appears daunting [11]. These deviceparameters include the maximum saturated draincurrent Idsat9 off-current, Ioff, intrinsic transistorgate delay (CV77), switching energy (CV2) andtheir dependence upon Lg, supply voltage (VDD)and oxide equivalent thickness (EOT). Althoughthe challenges are substantial, they appearsoluble with currently understood physicalprinciples [15-17,32]. Similarly, theexponentially increasing limits to scaling such asincreased subthreshold leakage, increased gatedielectric leakage, increased transistor parametervariability and interconnect density andperformance appear to be comprehended [32].Accordingly, silicon MOSFETs may beexpected to scale in an essentially predictablemanner from the present state-of-the-art 90 nmtechnology generation (MPU Lg of 45 nm) to the22 nm technology generation (MPU Lg of 9 nm)[34,35,37], with band-to-band tunnelingconsidered as the limitor at about an Lg of 5 nm.Recent advances towards the extreme scalinglimit for Lg have been described for anelectrically variable shallow junction MOSFET[48] and ultra-thin Si channel MOSFETs [49].

Nevertheless, substantial issues that must beassessed involve control of SCE, impact ofquantum effects in the bulk silicon (andpolysilicon, an especially intricate topic.Additional critical issues include dopantstochastic variations (number and spatial locationin channel) and other device/process fluctuationphenomena [50,51], usefulness for enhancedmobility (beyond the universal mobility curve),impact of high substrate doping, control of seriessource/drain resistance and related contactissues. We shall focus on two members of thenon-classical CMOS device family in addressingthe above issues. These are band-engineeredtransistors, resulting in improved transport andmobility of the relevant charge carriers, andmulti-gate SOI structures, including the verticalFinFET device.

Band Engineered Transistors

The maximum attainable Idsat f°r siliconMOSFET's has been identified to be limited bythe thermal injection of carriers from the source

into the channel [52] with Ge suggested as amore efficient injecting material than Si.

Once the carriers enter the channel, it isadvantageous to enhance their mobility beyondthe classical, universal limit [32,53]. Severaltechniques to enhance the electron carriermobility in the channel include the incorporationof an epitaxial strained silicon film (due to thebiaxial in-plane tensile strain arising as a resultof the lattice mismatch between the Si and theunderlying fixed composition, relaxed Si(i_x)Gexdeposited on a compositionally varied Si(i_y)Gey(where y < x) [54-62]) or slightly differentstrained configurations for p-channel devices[63] as well as strained Ge channels [64,65].Additional methods of introducing strain in thechannel include a tensile (localized) film abovethe channel [66,67]. The role of the source/drainsilicide, inducing a tensile strain in the channel,enhancing both the electron and hole mobility[68] and that of shallow trench isolation (STI)induced stresses [69] have also been noted.

The de-convolution of the mobility[70,71] is still riddled with numerous un-resolved issues. The detailed analysis fromcapacitance-voltage (CV) curves to determinethe effective surface mobility ortransconductance has re-emerged as a criticalissue and is a state-of-the-art issue [72,73]. It iswell accepted, however, that at low electric field,scattering is dominated by unscreened (noinversion layer free carriers) ionized dopantscattering centers in silicon. At high electricfield, furthermore, surface acoustic phonons andsurface microroughness are critical contributors.The latter contribution is generally representedby the product of H x L, where H is the height ofthe surface undulation and L is the undulationcorrelation length [74]. Recently, it has beenpostulated that remote scattering by high-k gatedielectric optical phonons, coupling with thesilicon channel, degrades the surface mobility[75]. Interestingly, the presence of an interfacialoxide modulates the optical phonon coupling tothe silicon channel, at the expense of increasingthe EOT of the dielectric stack.

Additional sources of mobility degradationhave been deduced by detailed experiments,although a theoretical basis for these sourcescontinues under development. These includeinterfacial and high-k gate dielectric bulk traps[27], crystalline inclusions in amorphous high-kgate dielectrics [76], N, Al and other elemental,interface scatterers as well as remote scatteringdue to the gate electrode [77]. Band engineeredMOSFETS such as the surface strained silicon

109

Page 4: The 'Ultimate' CMOS Device: A 2003 Perspective ... - Springerextras.springer.com/2003/978-0-7354-0152-5/cdr... · A 2003 Perspective (Implications For Front-End Characterization And

Figure 2. Surface channel strained silicon band-engineered MOSFET structures for NMOS (left) [57] andPMOS (right) [58], courtesy of Judy Hoyt. Reproduced with the permission of the IEEE.

MOSFET structure have received significantattention (see Figure 2) [57,58] for increasing thecarrier mobility and Idsat- The electron mobilityenhancement (relative to the unstrained case) of1.6 to 1.8 has been reported for a Ge atomiccontent of about 20% (see Figure 3) [78,79],even up to effective surface electric fieldsbeyond 1 MV/cm [80]. Comparable n-channelIdsat enhancements have been reported for long-channel devices [81] whereas the enhancementdegrades to less than 50% in the case of short-channel devices [32]. The hole mobilityenhancement can apparently be as large as 2.5,requiring a Ge content of ~ 35 atomic % [78,82]as seen in Figure 3, which may not be sosurprising considering the increased holemobility of germanium as compared to silicon[83]. Figure 3 schematically illustrates theincreased lattice constant of the strained siliconon a relaxed or strained epitaxial Si(i_x)Gex, thelatter materials straining the subsequentlydeposited silicon (channel layer) in a biaxially

tensile manner [78]. This has the effect ofsplitting the silicon conduction band's six-folddegenerate electron A orbitals, lowering theenergy of the out-of-plane A2 orbitals, relative tothe A4 in plane orbitals by about 200 meV [60](as well as lowering their effective mass) and,thereby, increasing their occupancy. The tensilestrain, therefore, effectively increases theelectron mobility. More importantly, however,the inter-valley scattering is significantlyreduced, also enhancing the electron mobility.Assessment of the residual strain in such filmsafter 1C fabrication is most important.

The hole mobility is more complicated dueto the degeneracy of the light- and heavy-holebands at k=0 as well as the split-of band at k=0.Here also, the tensile strain splits the siliconvalence-band degeneracy, lowering the light-holeenergy band relative to the heavy-hole band byabout 77 meV as well as lowering the effectivemass of both the light-hole and heavy-holebands [56].

110

Page 5: The 'Ultimate' CMOS Device: A 2003 Perspective ... - Springerextras.springer.com/2003/978-0-7354-0152-5/cdr... · A 2003 Perspective (Implications For Front-End Characterization And

Simodified band structure of Si under biaxialtensile strain ==> enhanced mobility

Strained Si on SiGe

need relaxed Sh_xGex with 0.15<x<0.35

Figure 3. Electron [79] and hole enhancement [82] factors (left) and schematic illustration of tensile inducedstrained silicon layer, courtesy of Patricia Mooney [84] (IBM Corporation).

More importantly, however, here also there isreduced intervalley scattering, therebyeffectively increasing the hole mobility. For thehole situation, these observations are observedfor both biaxially tension and compressivestresses [80].

By engineering the controlled growth rate ofthe compositionally varied epitaxial Si(i_y)Geyand, thereby, minimizing dislocation nucleationsuch that the threading dislocations are

5 2< 5 x 10 /cm , each sub-component layer of thestack is relaxed to an intermediate latticeconstant, reducing the misfit dislocation array ateach interface. Nevertheless, the underlyingmisfit dislocation array can affect the localepitaxial growth rate of the subsequentlydeposited strained silicon layer. Accordingly,chemical-mechanical planarization (CMP) isperformed to reduce any residual crosshatchsurface microroughness [84-86], which couldalso affect subsequent photolithographyprocesses. The controlled introduction of misfit

dislocation arrays results in a high qualityrelaxed SiGe substrate for the epitaxialdeposition of the strained silicon layer (typically15-20 nm). An Idsat of about 550 |iA/jim hasrecently been reported for Lg of 100 nm [87].

A variety of additional strained siliconstructures can also be fabricated. These includethe deposition of strained silicon on a fixedcomposition epitaxial Si(i_x)Gex layer on SOI aswell as the deposition of strained silicon directlyon SOI. In these SOI cases, both partiallydepleted (PD) and fully depleted (FD) structurescan be fabricated [88].

Difficult integration issues andmanufacturability, compatibility with silicon-on-insulator (SOI) and cost are mitigating issueswhich will be discussed below.

I l l

Page 6: The 'Ultimate' CMOS Device: A 2003 Perspective ... - Springerextras.springer.com/2003/978-0-7354-0152-5/cdr... · A 2003 Perspective (Implications For Front-End Characterization And

Ultra-Thin SOI/Multi-Gate SOI and(Vertical-Gate) FinFET

The spectrum of innovative deviceconfigurations includes alternative verticaltransistor structural configurations where thecurrent flow is either within the surface of thesilicon as in the FinFET, dependent on thecrystal direction utilized [36,37] or where thecurrent flow is perpendicular to the siliconsurface [38], often in conjunction with an ultra-thin, FD SOI substrate as well as the semi-ballistic transistor [89]. One configuration positsa double gate CMOS device with an undoped,(or lightly doped) ultra-thin FD SOI substrate[90]. The utilization of a double-gateconfiguration for dynamic Vt operation hasalready been discussed for DRAM applications[91]. The benefits of an assy metrical, self-aligned double gate CMOS device compared to asymmetrical double gate structure has also beentheoretically modeled [92]. Other configurationsfor the ultimate CMOS silicon and end-of-the-roadmap devices have also recently beendiscussed [46,47].

FD single and double-gate transistor structure[17,94], with several of the relative benefits anddisadvantages summarized in Table 2.

In that regard, one might consider multiplegate structures [95-97]. The concurrentutilization of multiple gate structures may alsoalleviate, to some extent, the difficulties in thecharacterization and metrology (P/T analysis) ofthe silicon thickness for fully depleted (FD)applications. That is, it appears that the FDthickness for single-gate MOSFETs is requiredto be as small as one-third of Lg, to control SCE[98], requiring severe precision to tolerance(P/T) ratios, as generically discussed in [99] (forLg). The multi-gate structures generally allow arelaxation of this thickness (1/3 Lg) requirement.It is also anticipated that various multi-gateconfigurations will mitigate the SCE [17,94,97].One such embodiment is the FinFET,schematically illustrated in Figure 6 [36,37]. Thefabrication of the fin connecting the source anddrain appears to have the advantage of relativelyconventional processing, largely compatible withcurrent techniques. Nevertheless, significantissues must be addressed such as the surface

Planar Bulk Partially DepletedSOI

Fully DepletedSOI

Figure 4. Schematic illustrations of transistor cross-sections for planar bulk, partially depleted (PD) and fullydepleted (FD) SOI, courtesy of M. Bohr [93] and Intel Corporation. The planar bulk and PD SOIstructures still require shallow extension junctions and halo implants to control SCE but are notillustrated herein for convenience. Reproduced by permission of The Electrochemical Society, Inc.

Planar, bulk polished and epitaxial wafers aswell as PD and even FD SOI silicon materials,present SCE scaling issues. Short channel effects(SCE) become especially significant as Lphysapproaches the 20 nm and, especially, the sub-10nm regime. Figure 4 schematically illustratesthese various material configurations [93] whileTable 1 summarizes several of their advantagesand disadvantages [15,17,43,93]. Figure 5schematically illustrates an ultra-thin silicon film

roughness of the edge of the fin which willdegrade the effective mobility of the chargecarriers in the inversion layer and methodologiesfor appropriate annealing to restore the mobilityis required.

The multi-gate structures generally allow arelaxation of the thickness (1/3 Lg) requirement.For example, Figure 7 illustrates the siliconthickness requirement for a single, conventional

112

Page 7: The 'Ultimate' CMOS Device: A 2003 Perspective ... - Springerextras.springer.com/2003/978-0-7354-0152-5/cdr... · A 2003 Perspective (Implications For Front-End Characterization And

Transistor Type

Planar Bulk

Partially Depleted SOI

Fully Depleted SOI

Advantages

Wafer cost / availability

Lower junction capacitanceBoating body performancemprovement by device and circuitdesign;elimination of "body effect" inplanar bulk20-25% reduced process time andmproved manufacturing "ease"compared to planar bulk25-35% improvement in deviceperformance compared to planar bulkReduction in chip power by 1 .7-3.0xcompared to planar bulkLower soft-error rate compared toplanar bulkRecovery of Moore's law growthprojections

Lower junction capacitance

Operational beyond 300°C

Threshold voltage and leakagecurrent less sensitive than planarbulk

Improved swing leading to lower off-current

No floating body and history effects

Less dependence on device andcircuit design

Multiple-gate structures alleviatesneed for ultra-thin SOI

Lower soft-error rate compared toplanar bulkRecovery of Moore's law growthprojections

Disadvantages

SCE scaling difficultHigh doping effects and statisticalvariationParasitic junction capacitance

SCE scaling difficult

Floating body and history effects

Wafer cost / availability

High doping effects and statisticalvariation

SCE scaling difficultHigh source / drain seriesresistanceSensitivity to silicon thickness(ultra-thin SOI) to ensureacceptable DIBLSource / drain contact requiresthickened silicon, compared toultra-thin body, to decrease seriesresistanceWafer cost / availability

Table 1. Brief comparison of the advantages and disadvantages of various transistor types [15,17,43,93].

113

Page 8: The 'Ultimate' CMOS Device: A 2003 Perspective ... - Springerextras.springer.com/2003/978-0-7354-0152-5/cdr... · A 2003 Perspective (Implications For Front-End Characterization And

Ultra-thin film, single-gate SOI

1Ultra-thinsiliconfilm

Double-gate

Ultra-thinsiliconfilm

Figure 5. Schematic illustrations of transistor cross-sections for fully depleted (FD) SOI with single- anddouble-gate structures (based on [17,94]).

Fully DepletedSOI Transistor

Single Gate

Double Gate

Advantages

Lower junctioncapacitanceReduced channel dopingfor metal gate electrode,fully depleted

Enhanced scalabilityNear ideal subthresholdslope, SLower junctioncapacitanceReduced channel dopingfor metal gate electrode,fully depletedAbout 2x drive currentfor symmetric gateAssymetric gatecapability for dynamic Vt

operation

Disadvantages

SCE scaling difficult

Sensitivity to siliconthickness

Wafer cost / availability

Complex process

Wafer cost / availability

About 2x gate capacitance

Advanced devicestructure, difficult tofabricate at present

Table 2. Brief comparison of the advantages and disadvantages of ultra-thin silicon film, fully depleted single-and double-gate SOI transistors [17,94].

114

Page 9: The 'Ultimate' CMOS Device: A 2003 Perspective ... - Springerextras.springer.com/2003/978-0-7354-0152-5/cdr... · A 2003 Perspective (Implications For Front-End Characterization And

Figure 6. FinFET structure, after T-J. King and C. Hu [36,37].

planar CMOS structure in comparison to a tri-gate structure [97]. In the former case, an Lg of20 nm would require a silicon FD thickness of~7 nm, necessitating a significant P/Trequirement. On the other hand, the tri-gatestructure theoretically relaxes the critical siliconbody thickness to approximately Lg, inasmuch asthe inversion layer is being formed via threesurfaces. In a similar manner, even a double gatestructure offers a P/T relief as the silicon body

thickness is increased to about 2/3 of Lg,although the tri-gate structure offers further P/Trelief, see Figure 8 [97] (note in this case thebody thickness for the double-gate case isrepresented by the symbol Wsi). Other relatedconfigurations such as the omega (Q) gate havealso been discussed [100]. Measurement of thefin dimensions is a significant characterizationissue for such advanced device structures.

115

Page 10: The 'Ultimate' CMOS Device: A 2003 Perspective ... - Springerextras.springer.com/2003/978-0-7354-0152-5/cdr... · A 2003 Perspective (Implications For Front-End Characterization And

si

140 4

m J

9 i

fri-giiii

ctitit

ft t|| I

IS 40

PS¥ ^ Piyil^^^plirliiii

Figure 7. Tri-gate relaxes T$ j requirement of single-gate, courtesy of R. Chau [97] and Intel Corporation.

Figure 8. Tri-gate relaxes W$ j requirement of double-gate, courtesy of R. Chau [97] and Intel Corporation.

116

Page 11: The 'Ultimate' CMOS Device: A 2003 Perspective ... - Springerextras.springer.com/2003/978-0-7354-0152-5/cdr... · A 2003 Perspective (Implications For Front-End Characterization And

In a more far-reaching sense, one mayconsider the fin of the FinFET as a quantum wireMOSFET [101], in conjunction with the front-end fabrication approaches briefly noted earliersuch as high-k gate dielectric, metal gate, lowresistance source and drain in conjunction with aFD SOI substrate material (strained Si may alsobe utilized). Furthermore, there appears theopportunity for multiple fins, with multiple gatesas appropriate, increasing the total source todrain current.

The relative immaturity of SOI materialscompared to bulk and expitaxial silicon,however, will result in significant challenges forthe understanding of SOI specific defects andtheir impact on device performance and yield ina production environment [102-105]. Forexample, gettering methodologies will be asignificant issue for SOI [106,107]. The reducedquality previously seen with the BOX layer onSIMOX, compared to a conventionally formedSiO2 layer, has suggested an enhanced transportof Fe through the SIMOX BOX layer [108]. Asimilar phenomenon has also been observed forCu and Ni in SIMOX wafers [109]. Metrologymethods for many of the SOI defect categoriesrequire destructive chemical etching thatdecorate but do not uniquely distinguish varioustypes of crystal defects [11]. These variousdefects may not all have the same origin, size orimpact on device performance and yield and,therefore, may exhibit different effects ondegrading or killing device characteristics. Non-destructive and fast-turn around methods areneeded for measurement of the electricalproperties and structural defects in SOImaterials. The role of the background interstitialoxygen [110] and carbon [111] in the starting CZmaterial are also issues that will need to becomprehended. Clearly, this is an area that willrequire significant further research, especiallywith the various forms of BOX being explored.

Metrology for SOI wafers is also asignificant challenge. One critical example is theassessment of SOI material properties with anedge exclusion of 2 mm per the ITRS [11]. Theparticle metrology readiness grades for generalpolished and epitaxial wafer characteristics arenot applicable for SOI wafers. Interferenceeffects arising from multiple reflections from theSi and BOX layers fundamentally alter theresponse of optical metrology tools compared topolished and epitaxial wafers, generallydegrading the measurement capability. Forinstance, the current particle size capability forSOI wafers is 120-150 nm as compared to 90 nm

for the 90 nm technology generation in aproduction fab, although 65 nm particles can beroutinely measured on current tools. Theanticipated shift from capacitive to opticalmeasurement of wafer site flatness beyond the90 nm technology generation appears to havebeen resolved for site flatness measurements[11]. Finally, the characterization and metrologyissues for the various strained siliconconfigurations (spatially varying Si:Gecomposition content, threading dislocations andassociated defects as well as unique surfaceroughness issues) will require significantattention [37,84-86].

PROGNOSIS

The drive to service a broad variety ofleading-edge 1C applications such as micro-processors (MPU), servers, smart power, and RFsignal processors [14] has concurrently beenaccomplished utilizing a plethora of SOIfabrication methodologies [43,88] which, inconjunction with high-k gate dielectrics, metalelectrodes, elevated source-drain, strained siliconlayers and eventually, perhaps, FinFETstructures will drive us to the ultimate CMOSdevice structure. The applicability of SOImaterials for these leading-edge 1C applicationsappears warranted in the recovery of the growthexpectations anticipated with Moore's law andthe ITRS, especially with 3-D deviceconfigurations [112-115], resulting in a largenumber of unique device configurations. Asnoted earlier, the assessment of productivitygains, whether by staying on the productivitycurve or increasing manufacturing effectiveness,may have to be expanded. Rather, modelingproductivity—the identification of newproductivity measures—will be required [12].

Finally, it may be appropriate to briefly notethat several alternative novel device structuresbeyond CMOS have been described [11]. In anycase, it seems that these alternatives will need tobe capable of integration with Si-CMOS formaximum leverage, operate at room-temperaturewith the capability of system-on-chip (SOC)applications, including gigabytes of memorystorage and portable (to ensure the opportunityfor a mass market). One such example is amolecular single-electron latching switchbetween gold nano wires, which has beendescribed in conjunction with an SOI MOSFETintegrated system [116]. Significantopportunities for silicon-based ICs will continuefor at least the next 100 years [117], including

117

Page 12: The 'Ultimate' CMOS Device: A 2003 Perspective ... - Springerextras.springer.com/2003/978-0-7354-0152-5/cdr... · A 2003 Perspective (Implications For Front-End Characterization And

combinatorial configurations with alternativenovel devices beyond CMOS.

SUMMARYPlanar MOSFET device scaling has taken

the 1C industry from the realm of physical gatelengths of, for example, 7.5 jum for the 4KDRAM to about 45 nm for the high-performanceMPU for the 90 nm technology generation.Scaling has been the driver in meeting theprojected overall chip performance, density andpower requirements. Different end-userapplications such as a high-performance MPU ora low standby power device will, of courserequire different metrics. Front-end material andprocess solutions will include high-k gatedielectrics, metal gate electrodes, elevatedsource/drain, spike annealing and, eventually,novel source/drain annealing and dopingprocedures as well as strained silicon and,probably, SOI. The ensurance of continuedscaling to the 22 nm technology generation(Lg = 9 nm) or so appears soluble withincurrently understood physical principles[15-17,32]. Effective non-planar solutions mustrectify significant process issues for verticaltransistors in conjunction with multi-gate and FDSOI, in some combinatorial mix. The ultimateCMOS MOSFET with Lg < 10 nm may be alightly doped channel (strained silicon orgermanium), ultra-thin body SOI FinFET (withmultiple fins and, perhaps, multiple gates), inconjunction with the high-k gate dielectric,multi-gate metal electrodes (approximately mid-gap work function), elevated source and drain,etc. Beyond that regime, however, an emphasison alternative novel device structuralconfigurations appears essential [11,116,118].

In reality, however, each 1C manufacturerwill utilize that portion of the potentialmanufacturing process tools and devicestructures available to ensure their optimalparticipation in the particular markets they serve.Perhaps our 1C industry has been best describedby Gordon Moore who has recently noted"...and you are once again reminded that this isno longer just an industry, but an economic andcultural phenomenon, a crucial force at the heartof the modern world" [119]. Indeed, Moore hasnoted that "no exponential is forever: but"forever" can be delayed" [120], which couldaccount for the 1C community's drive tomaintain the pace of Moore's law.

ACKNOWLEDGEMENTS

The authors appreciate discussions and theutilization of a number of figures for both themanuscript (and the oral presentation) fromM. Bohr, D. Buchanan, D. Chapman, R. Chau,J. Chung, R. Cleavelin, J-P Colinge, M. Currie,P. Gargini, E. Gusev, J. Hergenrother, J. Hoyt,C.Hu, J. Hutchby, T-J. King, K. Likharev,G. Lucovsky, V. Misra, T. Mizuno, S. Monfray,P. Mooney, Y. Nishi, C. Osburn, G. Parsons,D. Schlom, T. Skotnicki, B. Wallace, G. Wilk,R. Wise and F-L Yang. The assistance of boththe FEP Division and Fab personnel atInternational SEMATECH and our colleagues atthe FEP-RC, IMEC, ASM and AMAT is alsoappreciated.

REFERENCES

[I] G.E. Moore, The Future of IntegratedElectronics, Fairchild Report (1964)

[2] G.E. Moore, Cramming More Components OntoIntegrated Circuits, Electronics, 38, No. 8,114-117(1965)

[3] R.H. Dennard, Field-Effect Transistor Memory,U.S. Patent No. 3,387,286, Issued 1968

[4] R.H. Dennard, F.H. Gaensslen, H.-N. Yu,V.L. Rideout, E. Bassous and A.R. LeBlanc,Design of Ion-Implanted MOSFET s With VerySmall Physical Dimensions, IEEE J.Solid-StateCircuits, SC-9, 256-268 (1974)

[5] G. Baccarani, M. Wordeman and R.H. Dennard,Generalized Scaling Theory and Its Applicationto a VA Micrometer MOSFET Design, IEEETrans. Electron Dev., ED-31,452-462 (1984)

[6] R.H. Dennard, Scaling Challenges For DRAMand Microprocessors in The 21st Century, VLSIScience and Technology/1997, ECS PV 97-3,519-532(1997)

[7] P.E. Haggerty, Integrated Electronics—APerspective, Proc. IEEE, 52,1400-1405 (1964)

[8] P.E. Haggerty, Integrated Electronics and Changein The Electronics Industry, IEEE Trans. ElectronDev., ED-15, 626-630 (1968)

[9] P.E. Haggerty, Electronics Evolution, ResearchManagement, XII, 317-330 (1999)

[10] G.E. Moore, Progress in Digital IntegratedElectronics, IEDM, 11-13 (1975)

[II] Semiconductor Industry Association (SIA),International Technology Roadmap forSemiconductors (ITRS), 2001 Edition, Austin,TX: International SEMATECH, 2001. (This isavailable for viewing and printing from theInternet, with the following URL:http://public.itrs.neO

118

Page 13: The 'Ultimate' CMOS Device: A 2003 Perspective ... - Springerextras.springer.com/2003/978-0-7354-0152-5/cdr... · A 2003 Perspective (Implications For Front-End Characterization And

[12] R. Goodall, D. Fandel, A. Allan, P. Landler andH.R. Huff, Long-Term ProductivityMechanisms of The Semiconductor Industry,Semiconductor Silicon/2002, ECS PV 2002-1,125-143 (2002)

[13] H.R. Huff, G.A. Brown, L.A. Larson andR.W. Murto, Sub-100 nm Gate Stack/Ultrashallow Junction Integration Challenges,ECS PV 2001-9, 263-296 (2001)

[14] P.M. Zeitzoff, R.W. Murto and H.R. Huff, Future1C Fabrication Rests on Solutions to Circuit andDevice Scaling Issues, Solid-State Technology,July, 71-76 (2002)

[15] P.M. Zeitzoff and J.E. Chung, Weighing in onLogic Scaling Trends, IEEE Circuits and DevicesMagazine, 18, 18-27(2002)

[16] Scaling CMOS to the Limit, IBM J. Research andDevelopment, 46, 119-357 (2002)

[17] P.M. Zeitzoff, J.A. Hutchby and H.R. Huff,MOSFET and Front-End Process Integration:Scaling Trends, Challenges, and PotentialSolutions Through The End of The Roadmap,International Journal of High-Speed Electronicsand Systems, 12, 267-293 (2002)

[18] G.D. Wilk, R.M. Wallace and J.M. Anthony,High-k Gate Dielectrics: Current Status andMaterials Properties Considerations, J. Appl.Phys., 89, 5243-5275 (2001)

[19] H.R. Huff, A. Agarwal, Y. Kirn, L. Perrymore,D. Riley, J. Barnett, C. Sparks, M. Freiler,G. Gebara, B. Bowers, P.J. Chen, P. Lysaght,B. Nguyen, J.E. Lim, S. Lim, G. Bersuker,P. Zeitzoff, G.A. Brown, C. Young, B. Foran,F. Shaapur, A. Hou, C. Lim, H. AlShareef,S. Borthakur, D.J. Derro, R. Bergmann,L.A. Larson, M.I. Gardner, J. Gutt, R.W. Murto,K. Torres and M.D. Jackson, Integration ofHigh-K Gate Stack Systems Into Planar CMOSProcess Flows, International Workshop on GateInsulator (IWGI2001), 2-11 (2001)

[20] Alternative Gate Dielectrics for Microelectronics,MRS Bulletin 27, 186-229 (2002)

[21] H.R. Huff, A. Hou, C. Lim, Y. Kirn, J. Barnett,G. Bersuker, G.A. Brown, C.D. Young,P.M. Zeitzoff, J. Gutt, P. Lysaght, M.I. Gardnerand R.W. Murto, High-K Gate Stacks IntoPlanar, Scaled CMOS Integrated Circuits,(presented at the Conference on Nano and GigaChallenges in Microelectronics (2002), Moscow,September 10-13, 2002, to be published inMicroelectronic Engineering (2003)

[22] M. Caymax, S. De Gendt, W. Vandervorst,M. Heyns, H. Bender, R. Carter, T. Conard,R. Degraeve, G. Groeseneken, S. Kubicek,G. Lujan, I. Pantisano, J. Petry, E. Rohr,S. Van Elshocht, C. Zhao, E. Cartier, J. Chen,V. Cosnier, S.E. Jang, V. Kaushik, A. Kerber,J. Kluth, S. Lin, W. Tsai, E. Young andY. Manabe, Issues, Achievements and ChallengesTowards Integration of High-k Dielectrics,International Journal of High-Speed Electronicsand Systems, 12, 295-304 (2002)

[23] H. Iwai, S. Ohmi, S. Akama, C. Ohshima,A. Kikuchi, I. Kashiwagi, J. Taguchi,H. Yamamoto, J. Tonotani, Y. Kim, I. Ueda,A. Kuriyama and Y. Yoshihara, Advanced GateDielectric Materials for Sub-100 nm CMOS,IEDM, 625-628 (2002)

[24] P.S. Lysaght, B. Foran, G. Bersuker, P.J. Chen,R.W. Murto and H.R.Huff, PhysicochemicalProperties of HfOi in Response to Rapid ThermalAnneal, App. Phys. Letts., 82,1266-1268 (2003)

[25] C. Hobbs, L. Fonseca, V. Dhandapani,S. Samavedam, B. Taylor, J. Grant, L. Tip,D. Triyoso, R. Hegde, D. Gilmer, R. Garcia,D. Roan, L. Lovejoy, R. Rai, L. Hebert,H. Tseng, B. White and P. Tobin, Fermi LevelPinning at The PolySi/Metal Oxide Interface,2003 Symposium on VLSI Technology, Kyoto,Japan, Paper 2.1, June 10-12 (2003)

[26] C.M.Perkins, B.B. Triplett and P. C. Mclntyre,Thermal Stability of Polycrystalline SiliconElectrodes on ZrO2 Gate Dielectrics, Appl. Phys.Letts., 81,1417-1419 (2002)

[27] L. Pantisano, E. Cartier, A. Kerber, R. Degraeve,M. Lorenzini, M. Rosmeulen, G. Groesenekenand H.E. Macs, Dynamics of Threshold VoltageInstability in Stacked High-k Dielectrics: Role ofthe Interfacial Oxide, 2003 Symposium on VLSITechnology,PaperNo. 12A-3 (2003)

[28] Q Lu, H. Takeuchi, X Meng, T-J King, C. Hu,K. Onishi, H-J Cho and J. Lee, ImprovedPerformance of Ultra-Thin HfO2 CMOSFETsUsing Poly-SiGe Gate, 2002 Symposium on VLSITechnology, 86-87 (2002)

[29] I Kim, S.K. Han, W. Kiether, S.J. Lee, C.H. Lee,H.F. Luan, Z. Luo, E. Rying, Z. Wang,D. Wicaksana, W. Zhu, J. Hauser, A. Kingdon,D.L. Kwong, T.P. Ma, J.P. Maria, V. Misra andC.M. Osburn, Device Fabrication and Evaluationof Alternative High-K Dielectrics and GateElectrodes Using a Non-Self Aligned GateProcess, Rapid Thermal and Other Short-TimeProcessing Technologies II, ECS PV 2001-9,211-219(2001)

[30] P. Ramade, Y-K Choi, D. Ha, A. Agarwal, M.Ameen and T-J King, Tunable Work FunctionMolybdenum Gate Technology for FDSOI-CMOS, IEDM, 363-366 (2002)

[31] J Lee, H. Zhong, Y-S Suh, G. Heuss J. Gurganus,B. Chen and V. Misra, Tunable Work FunctionDual Metal Gate Technology for Bulk and Non-Bulk CMOS, IEDM, 359-362 (2002)

[32] Y. Taur and T.H. Ning, Fundamentals of ModernVLSI Devices, Cambridge University Press,Cambridge, United Kingdom (1998)

[33] M.T. Bohr, Nanotechnology Goals andChallenges for Electronic Applications, IEEETrans. on Nanotechnology, 1, 56-62 (2002)

[34] R. Chau, 30 nm and 20 nm Physical Gate LengthCMOS Transistors, 2001 Silicon NanoelectronicsWorkshop, June 10-11, 2-3 (2001)

119

Page 14: The 'Ultimate' CMOS Device: A 2003 Perspective ... - Springerextras.springer.com/2003/978-0-7354-0152-5/cdr... · A 2003 Perspective (Implications For Front-End Characterization And

[35] B. Yu, H. Wang, A. Joshi, Q. Xiang, E. Ibok andM-R Lin, 15 nm Gate Length Planar CMOSTransistor IEDM, 937-939 (2001)

[36] N. Lindert, L. Chang, Y.-K. Choi,E.H. Anderson, W.-C. Lee, T.-J. King, J. Bokerand C. Hu, Sub-60-nm Quasi-Planar FinFETsFabricated Using a Simplified Process, IEEEElectron Dev. Lett., 22,487-489 (2001)

[37] B. Yu, L. Chang, S. Ahmed, H. Wang, S. Bell,C-Y Yang, C. Tabery, C. Ho, Q. Xiang,T-J. King, J. Bokor, C. Hu, M-R Lin andD. Kyser, FinFET Scaling to 10 nm Gate Length,IEDM, 251-254 (2002)

[38] J.M. Hergenrother, S-H Oh, T. Nigam,D. Monroe, P.P. Klemens, A. Kornblit,F.H. Baumann, J.L. Grazul, R.W. Johnson,C.A. King and R.N. Kleiman, The VerticalReplacement-Gate (VRG) MOSFET: A High-Performance Vertical MOSFET WithLithography-Independent Critical Dimensions,ECS PV 2001-9, 381-392 (2001)

[39] S. Cristoloveanu and S.S. Li, ElectricalCharacterization of SOI Materials and Devices,Kluwer, Norwell (1995)

[40] J-P Colinge, SOI Technology: Materials to VLSI(2nd ed.), Kluwer, Boston (1997)

[41] J-P Colinge and R.W. Bower, Silicon-on-Insulator Technology, MRS Bulletin, 23, 13-44(1998)

[42] S. Cristoloveanu, SOI Technology:The FutureWill Not Scale Down, SemiconductorSilicon/2002, ECS PV 2002-1, 328-341 (2002)

[43] G.K. Celler and S. Cristoloveanu, Frontiers ofSilicon-on-Insulator, J. Appl. Phys., 93, 4955-4978 (2003)

[44] J-P Colinge, Basics of Silicon-on-Insulator (SOI)Technology (to be published)

[45] S. Cristoloveanu, Far-Future Trends in SOITechnology: A Guess, International Journal ofHigh-Speed Electronics and Systems, 12, 343-351 (2002)

[46] C.L. Claeys and H.E. Maes, TechnologyChallenges Related to Ultimate CMOS and TheEnd-Of-Roadmap Microelectronics,Microelectronics Technology and DevicesSBMICRO 2002, ECS PV 2002-8, 455-470(2002)

[47] D. Esseni, E. Sangiorgi, M. Mastrapasqua,C. Fiegna, G.K. Celler and L. Selmi, Ultra-ThinSOI Transistors for Ultimate CMOS Technology:Fundamental Properties and ApplicationPerspectives, International Journal of High-SpeedElectronics and Systems, 12, 333-342 (2002)

[48] H. Kawaura and T. Sakamoto, ElectricalProperties of Nanometer-Scale MOSFETs,Semiconductor Silicon/2002, ECS PV 2002-2,943-955 (2002)

[49] B. Doris, M. leong, T. Kanarsky, Y. Zhang,R.A. Roy, O. Dokumaci, Z. Ren, F-F Jamin,L. Shi, W. Natzle, H-J Huang, J. Mezzapelle,A. Mocuta, S. Womack, M. Gribelyuk,B.C. Jones, R.J. Miller, H-S P. Wong andW. Haensch, Extreme Scaling with Ultra-Thin SiChannel MOSFETs, IEDM, 267-270 (2002)

[50] A. Asenov and S. Saini, Trans. Electron Devices,46,1718-1724(1999)

[51] I.D. Mayergoyz and P. Andrei, NumericalAnalysis of Random Dopant-Induced Effects inSemiconductor Devices, International Journal ofHigh-Speed Electronics and Systems, 12,551-562(2002)

[52] M. Lundstrom, Elementary Scattering Theory ofThe Si MOSFET, IEEE Electron Device Lett.,18,361-363(1997)

[53] S.C. Sun and J.D. Plummer, Electron Mobility inInversion and Accumulation Layers onThermally Oxidized Silicon Surfaces, IEEETrans. Electron Devices, ED-27,1497-1508(1980)

[54] E.A. Fitzgerald, Y.-H Xie, M.L. Green,D. Brasen, A.R. Kortan, J. Michel, Y.-J. Mii andB.E. Weir, Totally Relaxed Gex Si^ Layers WithLow Threading Dislocation Densities Grown onSi Substrates, Appl. Phys. Letts., 59, 811-813(1991)

[55] Y.J. Mii, Y.H. Xie, E.A. Fitzgerald, D. Monroe,F.A. Thiel, B.E. Weir and L.C. Feldman,Extremely High Electron Mobility in Si/GexSi!_xStructures Grown by Molecular Beam Epitaxy,Appl. Phys. Lett., 59,1611-1613(1991)

[56] D.K. Nayak, Low-Field Mobility of Strained Sion (100) SiixGex Substrate, Appl. Phys. Lett., 64,2514-2516 (1994)

[57] J. Welser, J. L. Hoyt, S. Takagi and J.F. Gibbons,Strain Dependence of the PerformanceEnhancement in Strained-Si n-MOSFETS,IEDM, 373-376 (1994)

[58] K. Rim, J. Welser, J.L. Hoyt and J.F. Gibbons,Enhanced Hole Mobilities in Surface-channelStrained-Si p-MOSFETS, IEDM, 517-520 (1995)

[59] C.W. Leitz, M.T. Currie, M.L Lee, Z.-Y. Cheng,D.A. Antoniadis, Hole Mobility Enhancements inStrained Si/Sh.yGey P-Type Metal-Oxide-Semiconductor Field-Effect Transistors Grownon Relaxed Sii_xGex (x<y) Virtual Substrates,Appl. Phys. Lett., 79,4246-4248 (2001)

[60] Y.H. Xie, SiGe Field Effect Transistors, Mat. Sci.and Eng., 25, 89-121 (1999)

[61] J.L. Hoyt, H.M. Nayfeh, S. Eguchi, I. Aberg,G. Xia, T. Drake, E.A. Fitzgerald andD.A. Antoniadis, Strained Silicon MOSFETTechnology, IEDM 23-26 (2002)

120

Page 15: The 'Ultimate' CMOS Device: A 2003 Perspective ... - Springerextras.springer.com/2003/978-0-7354-0152-5/cdr... · A 2003 Perspective (Implications For Front-End Characterization And

[62] S. Thompson, N. Anand, M. Armstrong,C. Auth, B. Arcot, M.Alavi, P. Bai, J. Bielefeld,R. Bigwood, J. Brandenburg, M. Buehler, S. Cea,V. Chikarmane,C. Choi, R. Frankovic, T. Ghani,G. Glass, W. Han, T. Hoffmann, M. Hussein,P. Jacob, A. Jain, C. Jan, S. Joshi, C. Kenyon,J. Klaus, S. Klopcic, J. Luce, Z. Ma, B. Mcintyre,K. Mistry, A. Murthy, P. Nguyen, H. Pearson,T. Sandford, R. Schweinfurth, R. Shaheed,S. Sivakumar, M. Taylor, B. Tufts, C. Wallace,P. Wang, C. Weber and M. Bohr, A 90 nm LogicTechnology Featuring 50nm Strained SiliconChannel Transistors, 7 Layers of CuInterconnects, Low k ILD, and 1 jam2 SRAMCell, IEDM, 61-64 (2002)

[63] M. L. Lee, C.W. Leitz, AJ. Piter, T. Langdo,M.T. Currie, G. Taraschi and E.A. Fitzgerald,Strained Ge Channel P-Type Metal-Oxide-Semiconductor Field-effect Transistors Grown onSii-x Gex /Si Virtual Substrates, Appl. Phys. Lett.,79, 3344-3346 (2001)

[64] C.O. Choi, H. Kirn, D. Chi, B.B. Triplett,P.C. Mcintyre and K.C. Saraswat, A Sub-400°CGermanium MOSFET Technology with High-kDielectric and Metal Gate, IEDM 437-440 (2002)

[65] H.Shang, H. Okorn-Schmidt, J. Ott,P. Kozlowski, S. Steen, E.G. Jones,H.-S.P. Wong and W. Hanesch, ElectricalCharacterization of Germanium p-ChannelMOSFETs, IEEE Electron Device Lett., (to bepublished)

[66] A. Shimizu, K. Hachimine, N. Ohki, H. Ohta,M. Koguchi, Y. Nonaka, H. Sato and F. Ootsuka,Local Mechanical-Stress Control (LMC): A NewTechnique for CMOS-PerformanceEnhancement, IEDM, 433-436 (2001)

[67] K. Ota, K. Sugihara, H. Sayama, T. Uchida,H. Oda, T. Eimori, H. Morimoto and Y. Inoue,Novel Locally Strained Channel Technique forHigh Performance 55nm CMOS, IEDM, 27-30(2002)

[68] A. Steegen, Characterization of The MechanicalStress Induced During Silicidation in Sub-0.25 |im MOS Technologies, SemiconductorSilicon/2002, ECS PV 2002-2, 592-607 (2002)

[69] Y.G. Wang, D.B. Scott, J. Wu, J.L. Waller, J. Hu,K. Liu and V. Ukraintsev, Effects of UniaxialMechanical Stress on Drive Current of 0.13 jamMOSFETs, IEEE Trans. Electron Devices, 50,529-531 (2003)

[70] J.R. Hauser, Extraction of Experimental MobilityData for MOS Devices, IEEE Trans. ElectronDevices, 43, 1981-1988 (1996)

[71] J.R. Hauser and K. Ahmed, Characterization ofUltra-Thin Oxides Using Electrical C-V and I-VMeasurements, Characterization and Metrologyfor VLSI Technology: 1998 InternationalConference, 235-239 (1998)

[72] W.J. Zhu, T.P. Ma, T. Tamagawa andW.Y. Wang, Mobility Extraction for MOSFETsMade With Ultra-Thin High-k Dielectrics:Correct Accounting of Channel Carriers, 33rd

IEEE SISC, Paper S7.2 (2002)[73] W.J. Zhu, E. Gusev, J.P. Han, P. Jamison,

S. Callegari and T.P. Ma, Correction of MobilityExtraction Error Introduced by Charge Trappingin MOSFETs With High-k Gate Dielectric Stacks(submitted for publication)

[74] D.S. Jeon and D.E. Burk, MOSFET ElectronInversion Layer Mobilities—A Physically BasedSemi-Empirical Model for a Wide TemperatureRange, IEEE Trans. Electron Devices, 36,1456-1463 (1989)

[75] M.V. Fischetti, D.A. Neumayer and E.A. Cartier,Effective Electron Mobility in Si InversionLayers in Metal-Oxide-Semiconductor SystemsWith a High-k Insulator: The Role of RemotePhonon Scattering, J. Appl. Phys., 90, 4587-4608(2001)

[76] T.Yamaguchi, R.Iijima, T.Ino, A. Nishiyama,H. Satakea nd N. Fukushima, AdditionalScattering Effects for Mobility Degradation inHf-silicate Gate MISFETs, IEDM, 621-624(2002)

[77] G. Timp, A. Agarwal, F.H. Baumann, T. Boone,M.Buonanno, R. Cirelli, V. Donnelly, M. Foad,D. Grant,M. Green, H. Gossmann, S. Hillenius,J. Jackson, D. Jacobson, R. Kleiman, A. Kornblit,F. Klemens, J.T-C Lee, W. Mansfield, S. Moccio,A. Murrell, M. O'Malley, J. Rosamilia,J. Sapjeta, P. Silverman, T. Sorsch, W.W. Tai,D. Tennant, H. Vuong and B.Weir, LowLeakage, Ultra-thin Gate Oxides for ExtremelyHigh Performance sub-100 nm nMOSFETs,IEDM, 930-932 (1997)

[78] P.M. Mooney, Materials for Strained SiliconDevices, International Journal of High-SpeedElectronics and Systems, 12, 305-314 (2002)

[79] S. Takagi, J.L. Hoyt, J.J. Welser andJ.F. Gibbons, Comparative Study of Phonon-Limited Mobility of Two-Dimensional Electronsin Strained and Unstrained Si on Metal-Oxide-Semiconductor Field Effect Transistors, J. Appl.Phys., 80 1567-1577 (1996)

[80] K. Rim, J. Chu, H. Chen, K.A. Jenkins,T. Kanarsky, K. Lee, A. Mocuta, H. Zhu, R. Roy,J. Newbury, J. Ott, K. Petrarca, P. Mooney,D. Lacey, S. Koester, K. Chan, D. Boyd,M. Leong and H.-S. Wong, Characteristics andDevice Design of Sub-100 nm Strained Si N- andPMOSFETs, 2002 Symposium on VLSITechnology, 98-99 (2002)

[81] M. Jurczak, T. Skotnicki, G. Ricci,Y. Campidelli, C. Hernandez, D. Bensahel, Studyon Enhanced Performance in NMOSFETs onStrained Silicon, Proceedings ESSDERC 1999,304-307 (1999)

121

Page 16: The 'Ultimate' CMOS Device: A 2003 Perspective ... - Springerextras.springer.com/2003/978-0-7354-0152-5/cdr... · A 2003 Perspective (Implications For Front-End Characterization And

[82] R. Oberhuber, G. Zandler and P. Vogel, SubbandStructure and Mobility of Two-DimensionalHoles in Strained Si/SiGe MOSFETs, Phys. Rev.,B 58, 9941-9948 (1998)

[83] H.R. Huff, Semiconductors, Elemental—MaterialProperties, Encyclopedia of Applied Physics,(edited by G.L. Trigg), 17,437-475 (1996)

[84] P.M. Mooney, S.H. Christiansen, J.O. Chu andA. Grill, Strain-Relaxed SiGe Buffer Layers WithLow Defect Density and Surface Roughness,APS Meeting, March 3-7, 2003 Paper, P8-2(2003)

[85] M.T. Currie, S.B. Samavedam, T.A. Langdo,C.W. Leitz and E.A. Fitzgerald, ControllingThreading Dislocations Densities in Ge on SiUsing Graded SiGe Layers and Chemical-Mechanical Polishing, Appl. Phys., 72,1718-1720(1998)

[86] K. Sawano, S. Koh, Y. Shiraki, Y. Hirose,T. Hattori and K. Nakagawa, MobilityEnhancement in Strained Si Modulation-DopedStructures by Chemical Mechanical Polishing,Appl. Phys. Letts., 82, 412-414 (2003)

[87] K. A. Jenkins and K. Rim, Measurement of theEffect of Self-Heating in Strained-SiliconMOSFETs, Electron Device Lett., 23, 360-362(2002)

[88] M. Bulsara, G. Celler, H.R. Huff, J. Moreland,D. Myers, B. Standley, N. Weaver, G. Wilsonand R. Wise, Emerging Material Issues forCMOS Enhancement (manuscript in preparation)

[89] G. Timp, F. Baumann, J. Bude, K.K. Bourdelle,M. Green, J. Grazul, A. Gehetti, G. Forsyth,R. Kleiman, F. Klemens, A. Kornblit, J. Lyding,W. Mansfield, D. Muller, T. Sorsch, D. Tennant,W. Timp, R. Tung and J. Yu, The Nano-Transistor, Quantum Confinement VI:Nanostructured Materials and Devices, ECSPV 2001-19, 55-69 (2001)

[90] J.D. Meindl, Q. Chen and J.A. Davis, Limits onSilicon Nanoelectronics for Terascale Integration,Science, 293, 2044-2049 (2001)

[91] J-W. Park, Y-G Kim, II K. Kirn, K-C. Park,H. Yoon, K-C. Lee and T-S. Jung, PerformanceCharacteristics of SOI DRAM for Low-PowerApplication, 7999 IEEE International Solid-StateCircuits Conference, 434-435 (1999)

[92] K. Kim and J.G. Possum, Double-Gate CMOS:Symmetrical- Versus Asymmetrical-GateDevices, IEEE Trans. Electron Devices, 48,294-299 (2001)

[93] M. Bohr, MOS Transistor Scaling Challenges,ECS PV 2001-2, 463-473 (2001)

[94] P.M. Zeitzoff, J.A. Hutchby, G. Bersuker andH.R.Huff, Integrated Circuit Technologies: FromConventional CMOS to The Nanoscale Era,(presented at the Conference on Nano and GigaChallenges in Microelectronics (2002), Moscow,September 10-13, 2002), Nano and GigaElectronics (ed. by J. Greer, A. Korkin andJ. Labanoski), Elsevier, Amsterdam (in press)

[95] J-T Park, J-P Colinge and C.H. Diaz, Pi-Gate SOIMOSFET, IEEE Electron Device Letts., 22,405-406 (2001)

[96] J-T Park and J-P Colinge, Multiple-Gate SOIMOSFETs: Device Design Constraints, IEEETrans. Electron Devices, 49, 2222-2229 (2002)

[97] R. Chau, B. Doyle, J. Kavalieros, D. Barage,A. Murthy, M. Doczy, R. Arghavani andS. Datta, Advanced Depleted-SubstrateTransistors: Single-gate, Double-gate and Tri-gate, Ext. Abstract. ICSSSDM, 68-69 (2002)

[98] ] D. Monroe and J.M. Hergenrother, Evanescent-Mode Analysis of Short-Channel Effects in FullyDepleted SOI and Related MOSFETs, IEEEInternational SOI Conference, 157-158, Stuart,FL, Oct., 1998

[99] P.M. Zeitzoff, Modeling of StatisticalManufacturing Sensitivity and of Process Controland Metrology Requirements for a 0.18-|imNMOSFET in Handbook of SiliconSemiconductor Metrology (edited by AlainDiebold), 117-141 (see especially section V),Marcel Dekker, Inc., (2001)

[100] F-L Yang, H-Y Chen, F-C Chen, C-C Huang,C-Y Chang, H-K Chiu, C-C Lee, C-C Chen,H-T Huang, C-J Chen, H-J Chen, H-J. Tao,Y-C. Yeo, -S Liang and C. Hu, 25 nm CMOSOmega FETs, IEDM, 255-258 (2002)

[101] P. Gargini, Enlightenment Beyond ClassicalCMOShttp://www.intel.com/research/siiicon/PaoIoISSUS0102.pdf

[102] H.J. Hovel, Silicon-on-Insulator Substrates:Status and Prognosis, 1996 IEEE InternationalSOI Conference, 1-3, October, 1996

[103] H.J. Hovel, Status and Prospects for Silicon-on-Insulator Materials, Future Fab International, 1,Issue 2, 225-230 (1997)

[104] W.P. Maszara, R. Dockert, C.F.H. Gondran andP.K. Vasudev, SOI Materials for MainstreamCMOS Technology, Silicon-on-InsulatorTechnology and Devices VIII, ECS PV 97-23,15-26 (1997)

[105] T. Ushiki, H. Ishino and T. Ohmi, Effect ofStarting SOI Material Quality on Low-FrequencyNoise Characteristics in Partially DepletedFloating-Body SOI MOSFETs, IEEE Trans.Electron Devices, 21, 610-612 (2000)

[106] ] K. Beaman, O. Kononchuk, S. Koveshnikov,C.M. Osburn and G.A. Rozgonyi, LateralGettering of Fe on Bulk and Silicon-on-InsulatorWafers, J. Electrochem. Soc., 146, 1925-1928(1999)

[107] I. Rink, A. De Veirman and A.J. Janssen,Relation Between Surface Contamination ofMetals and Defect Formation in Si DuringOxidation of Bulk- and SOI-Wafers, Solid StatePhenomena, 92, 93-96 (2003)

[108] O. Kononchuk, K.G. Korablev, N. Yarykin andG.A. Rozgonyi, Diffusion of Fe in The SiliconDioxide Layer of Silicon-on-Insulator Structures,Appl. Phys. Letts., 73,1206-1208 (1998)

122

Page 17: The 'Ultimate' CMOS Device: A 2003 Perspective ... - Springerextras.springer.com/2003/978-0-7354-0152-5/cdr... · A 2003 Perspective (Implications For Front-End Characterization And

[109] J. Jablonski, Y. Miyamura, M. Imai and H.Tsuya, Gettering of Cu and Ni Impurities inSIMOX Wafers, J. Electrochem. Soc., 142, 2059-2066 (1995)

[110] P. Papakonstantinou, K. Somasundram, X. Caoand W.A. Nevin, Crystal Surface Defects andOxygen Gettering in Thermally Oxidized BondedSOI Wafers, J. Electrochem. Soc., 148, G36-G42(2001)

[111] A.A. Efremov, V.G. Litovchenko,G. Ph. Romanova, A.V. Sarikov and C. Claeys,Carbon Enhancement of SiOi Nucleation inBuried Oxide Synthesis: Computer Simulationsand Secondary Ion Mass Spectroscopy DepthProfiling, J. Electrochem. Soc., 148, F92-F97(2001)

[112] Y. Akasaka, 3-D 1C Technologies and PossibleApplication, IEICE Transactions, E 74, 325-336(1991)

[113] M. Yoshimi, M. Takahashi, S. Kambayashi,M. Kemmochi, H. Hazama, T. Wada, K. Kato,H. Tango and K. Natori, Electrical Properties andTechnological Perspectives of Thin-Film SOIMOSFETS, IEICE Transactions, E 74, 337-351(1991)

[114] D.A. Antoniadis, A. Wei and A. Lochtefeld, SOIDevices and Technology, Proceedings of the 29thEuropean Solid-State Device ResearchConference (ESSDERC 99), Leuven, Belgium,81-87 (1999)

[115] K.W. Guarini, A.W. Topol, M. leong, R. Yu,L. Shi, M.R. Newport, D.J. Frank, D.V. Smith,G.M. Cohen, S.V. Nitta, D.C. Boyd, P.A. O'Neil,S.L. Tempest, H.B. Pogge, S. Purushothaman andW.E. Haensch, Electrical Integrity of State-of-the-Art 0.13 Lim SOI CMOS Devices andCircuits Transferred for Three-Dimensional (3D)Integrated Circuit (1C) Fabrication, IEDM,943-945 (2002)

[116] K. Likharev, Electronics Below 10 nm,(presented at the Conference on Nano and GigaChallenges in Microelectronics (2002), Moscow,September 10-13, 2002, Nano and GigaElectronics, (ed. by J. Greer, A. Korkin andJ. Labanoski), Elsevier, Amsterdam (in press)

[117] H.R. Huff, An Electronics Division Retrospective(1952-2002) and Future Opportunities in theTwenty-First Century, J. Electrochem. Soc., 149,S35-S58 (2002)

[118] F. Kreupl, Single-Electron and NanoscopicDevice Evolution, Semiconductor Silicon/2002,ECS PV 2002-2, 979-991 (2002)

[119] G.E. Moore, introduction to Beyond Imagination:Commemorating 25 years, SIA (2002)

[120] G.E. Moore, ISSCC 2003, Plenary talk 1.1 (2003)

123