TermPaper Multimedia(Roll.no 07,Reg.no 1070070001)

download TermPaper Multimedia(Roll.no 07,Reg.no 1070070001)

of 9

Transcript of TermPaper Multimedia(Roll.no 07,Reg.no 1070070001)

  • 8/9/2019 TermPaper Multimedia(Roll.no 07,Reg.no 1070070001)

    1/9

    Term Paper CSE-410

    Multimedia Communication

    Topic:-Modern Multimedia Processors

    SUBMITTED TO: - SUBMITTEDBY:-

    Mr. MANOJ PATHAK Avinash Singh

    ROLL NO. 07

    Reg.no-1070070001

    Section-B2701

  • 8/9/2019 TermPaper Multimedia(Roll.no 07,Reg.no 1070070001)

    2/9

    Acknowledgements:-First of all I would like to express my sincere gratitude to the almighty for

    encouraging me to complete this term paper.

    The following are some important people in my life who gave me strength and

    valuable suggestions to complete the task.

    First, my parents, friends, whose love and affection give me strength and

    encouragement to face challenges of life.

    Second, my sir Mr. Manoj Pathak, whose inspiration, motivation spiritual

    guidance always keeps me in the right path and keeps my faith in God almighty

    without whose blessings nothing is possible.

    Finally, thanks for the Lovely Professional University which gave me great

    opportunity to make the term paper.

  • 8/9/2019 TermPaper Multimedia(Roll.no 07,Reg.no 1070070001)

    3/9

    Index:-

    1. Abstract

    2. An introduction to media processing platforms

    3. Manufacturers and (multi)media processors

    4. Categories

    5. Multimedia processors functions

    6. RISC vs. CISC

    7. Mobile Multimedia Processors

    8. Conclusion

  • 8/9/2019 TermPaper Multimedia(Roll.no 07,Reg.no 1070070001)

    4/9

    ABSTRACT

    This Term-paper describes recent large-scale-integration programmable processors designed

    for multimedia processing such as real-time compression and decompression of audio and

    video as well as the generation of computer graphics. As the target of these processors is to

    handle audio and video in real time, the processing capability must be increased tenfoldcompared to that of conventional microprocessors, which were designed to handle mainly

    texts, figures, tables, and photographs.

    The paper describes trends in the development of multimedia processor architecture that may

    be predicted on the basis of the availability of an ASIC with 10s of millions of gates.

    Multimedia processing based upon multi granular parallelism for diverse media needs

    supercomputing power for multi threaded, process level execution. Due to the appearance oflarge scale integration (LSI) for what has been termed system on silicon, a new scheme for

    building the multimedia centric processor will be realized. The paper proposes advanced

    implementation technologies for multimedia acceleration employing a reconfigurable

    architecture and using hundreds of processing elements embedded within an ASIC.

    Accelerated functions considered in this proposal include 3D graphic and 3D audio

    rendering, and implementation of video and audio codec. Computational efficiency for

    advanced applications, such as walk through virtual reality (VR), is maximized by sharing the

    results of geometric calculations that are required both for graphics and audio rendering.

    An introduction to media processing platforms

  • 8/9/2019 TermPaper Multimedia(Roll.no 07,Reg.no 1070070001)

    5/9

    Multimedia - It has become an important technological innovation and appears in our daily

    activity, e.g.set-top boxes for digital television, video conferencing systems or mobile

    phones. Especially in the 3G (third generation of mobile phone standards), the speed-up of

    the network operation has proved to stimulate the use of multimedia applications. Multimedia

    is defined as a combination of different forms of information: text, 2D- and 3D-graphics,video and audio. These formats were traditionally presented in an analog form but digital

    representation has some advantages like easier edit ability. Extreme computational

    requirements of new encoding techniques like MPEG4 order H.264 and the enormous size of

    digital information confront the scientific community and the industry with a sizable

    problem.

    Manufacturers and (multi)media processors

    After this introduction to the environment and the tasks and requirements of the media

    processor let us have a look at the market. Nearly every major manufacturer of

    semiconductor produces media- and application processors. Naming a few: AMD, Intel, ATI,

    Texas Instruments, In neon, Free scale, Nvidia , Broadcom,STMicroelectronics, Philips

    semiconductors, Hitachi, Amtel and many more.

    Categories

    We classify multimedia processors into four categories depending on their basic architecture.

    The categories are:-

    1. Reduced instruction set computer (RISC) microprocessors for workstations.

    2. Complex instruction set computer microprocessors for PCs.

    3. Embedded RISCs, low-power digital signal processors (DSPs), which are mainly,

    used for mobile communications devices.

    4. Media processors that support PCs for multimedia applications.

  • 8/9/2019 TermPaper Multimedia(Roll.no 07,Reg.no 1070070001)

    6/9

    Multimedia processors functions

    Multimedia processors require that the following five important functions be included in the

    system architectures.

    1) Bit manipulation function, which parses and selects bit strings in serial bit streams.Variable-length encoding and decoding belong to this category.

    2)Arithmetic operations, which consist of multiplication, add/subtract, and other specific

    arithmetic operations, such as the sum of the absolute difference for motion estimation.

    Different word lengths are also desirable to improve hardware efficiency in handling many

    different media, such as 8-bit video and 20-bit audio data. Parallel processing units are also

    important for efficient IDCT processing, which requires a lot of multiplication due to the

    nature of the two dimensional IDCT algorithms.

    3) Memory access to a large memory space to provide a video frame buffer that usually

    cannot reside in a processor on chip memory. The frequent access to the frame buffer for

    motion compensation requires a high-bandwidth memory interface.

    4) Stream data input/output (I/O) for media streams such as video and audio as well as

    compressed bit streams. For video signals, for example, this may consist of the capture and

    display of the signals, as well as video format conversion (e.g., RGB to YUV). This kind of

    I/O functionality is also needed for compressed bit streams for storage media, such as hard

    disks, compact discs and DVDs, and for the communication networks.

    5) Real-time task switching that supports hard real-time deadlines. This requires a sample-

    by-sample or frame-by-frame time constraint. Switching between different types ofsimultaneous media processing to synchronize video and audio decoding is one example

    RISC vs. CISC

    General-Purpose Microprocessors (RISC and CISC) as todays CISC processors share the

    advanced architectural technologies used in RISC processors, the processors in both

    categories are considered in this section. Todays high-end general-purpose microprocessors

    can issue two to four instructions per cycle by using superscalar control, which enables more

    than one floating-point instruction or several multimedia instructions to be issued at one time.

    This control mechanism has two types of issuing mechanisms. One is the in-order-issue

    control, which issues instructions in the order they are stored in the program memory. The

    other is the out-of-order-issue control, where the issue order depends on the data priority

    rather than the storage order. This is effective for microprocessors that operate above 200

    MHz and have long pipeline latency instructions, where out-of-order control can maximize

    the high-speed pipelined ALU performance.

  • 8/9/2019 TermPaper Multimedia(Roll.no 07,Reg.no 1070070001)

    7/9

    Multimedia processors are Design for next generation handheld devices with integrated

    cellular phone, digital camera, video camcorder and television capability using Mactron's

    family of mobile multimedia processors. Mactron's mobile multimedia processors offer

    high-performance programmable multimedia capability with minimum powerconsumption and are designed to support the convergence of voice, video and data in

    handheld devices. Support for 8 mega pixel camera modules enables a picture quality

    superior to most digital still cameras, while MPEG-4 video capability at VGA resolution

    offers state-of-the-art video technology for tape-less camcorders. In addition, support

    for the H.264 video compression standard enables next-generation cellular phones to

    incorporate DVB-H mobile TV capability.

    Mobile Multimedia Processors

    Part # Description

    MCM5702 High-Performance Mobile Multimedia Processor

    MCM5727 High-Definition 720p Mobile Multimedia Processor

    A device including a multimedia processor that can concurrently support multiple

    applications is described herein. These applications may be for various types of multimedia

    such as graphics, audio, video, camera, games, etc. The multimedia processor comprises

    configurable storage resources to store instructions, data, and state information for the

    applications and assignable processing units to perform various types of processing for the

    applications. The configurable storage resources may include an instruction cache to store

    instructions for the applications, register banks to store data for the applications, context

    registers to store state information for threads of the applications, etc. The processing unitsmay include an arithmetic logic unit (ALU) core, an elementary function core, a logic core, a

  • 8/9/2019 TermPaper Multimedia(Roll.no 07,Reg.no 1070070001)

    8/9

    texture sampler, a load control unit, a flow controller, etc., which may operate as described

    below. The multimedia processor allocates a configurable portion of the storage resources to

    each application and dynamically assigns the processing units to the applications as requested

    by these applications. Each application thus observes an independent virtual processor and

    does not need to be aware of the other applications executing concurrently. The multimedia

    processor may further include an input interface unit to asynchronously receive threads fromthe applications, an output interface unit to asynchronously provide results to the

    applications, and a load control unit to fetch instructions and data for the applications, as

    needed, from a cache memory and/or a main memory.

    CONCLUSION

    In this Term paper, i have examined multimedia processors that realize multimedia

    processing through the use of software. The performance and functional requirements of

    multimedia processing, such as MPEG video decoding, was described. The functional

    requirements include those for bit manipulation, arithmetic operations, memory access,

    stream data I/O, and real-time switching. Then, programmable processors for multimedia

    processing were classified into media-enhanced microprocessors (CISC and RISC),

    embedded microprocessors, DSPs, and media processors.

    The architectures of these multimedia processors were introduced, and the performance for

    the five functional requirements was examined. Especially, the media-enhanced

    microprocessors and media processors were compared with respect to the acceleration of PC

    multimedia. As the most enhanced part of media-enhanced microprocessors, the data path formultimedia processing and the design of multimedia instruction sets were also described. The

  • 8/9/2019 TermPaper Multimedia(Roll.no 07,Reg.no 1070070001)

    9/9

    effect of these media instructions on media-enhanced microprocessors was discussed using

    an MPEG software decoder implementation as an example. The performance improvements

    in media operations and those of software decoder implementations were compared, which

    showed the difference due to other performance factors such as memory access. These

    examinations indicate the requirements for future multimedia processors, especially for high-

    quality multimedia such as HDTV as well as portable multimedia applications, which includeintegration of the DRAM and reconfigurable logic.

    So in the end we can say that the modern multimedia processors have revolutionaries the

    modern gadgets and other devices. The need of today is to improve our research work to

    develop more sophisticated and advanced multimedia processors.

    Reference :-

    http://www.faqs.org/patents/app/20080201716#ixzz0iXekrRuX

    http://portal.acm.org/citation.cfm?id=608742

    http://www.computer.org/portal/web/csdl/doi/10.1109/MC.2009.392

    http://www.google.co.in/search?

    hl=en&source=hp&q=Modern+Multimedia+Processors&btnG=Google+Search&meta=&aq=

    f&aqi=&aql=&oq=&gs_rfai=

    http://www.faqs.org/patents/app/20080201716#ixzz0iXekrRuXhttp://portal.acm.org/citation.cfm?id=608742http://www.computer.org/portal/web/csdl/doi/10.1109/MC.2009.392http://www.google.co.in/search?hl=en&source=hp&q=Modern+Multimedia+Processors&btnG=Google+Search&meta=&aq=f&aqi=&aql=&oq=&gs_rfai=http://www.google.co.in/search?hl=en&source=hp&q=Modern+Multimedia+Processors&btnG=Google+Search&meta=&aq=f&aqi=&aql=&oq=&gs_rfai=http://www.google.co.in/search?hl=en&source=hp&q=Modern+Multimedia+Processors&btnG=Google+Search&meta=&aq=f&aqi=&aql=&oq=&gs_rfai=http://www.faqs.org/patents/app/20080201716#ixzz0iXekrRuXhttp://portal.acm.org/citation.cfm?id=608742http://www.computer.org/portal/web/csdl/doi/10.1109/MC.2009.392http://www.google.co.in/search?hl=en&source=hp&q=Modern+Multimedia+Processors&btnG=Google+Search&meta=&aq=f&aqi=&aql=&oq=&gs_rfai=http://www.google.co.in/search?hl=en&source=hp&q=Modern+Multimedia+Processors&btnG=Google+Search&meta=&aq=f&aqi=&aql=&oq=&gs_rfai=http://www.google.co.in/search?hl=en&source=hp&q=Modern+Multimedia+Processors&btnG=Google+Search&meta=&aq=f&aqi=&aql=&oq=&gs_rfai=