Root filetype

18
A Survey on Optical Technologies and their Impact on Future Datacenter Networks Neelakandan Manihatty Bojan ([email protected]), Jingyun Zhang ([email protected]) Abstract—Future Datacenters requirements demands the de- ployment of optics within the Datacenter. A better understanding of optical technologies will enable better design choices. The aim of the paper is enlighten the reader with the developments in optics and its technology (for short range communication ie., <5 km) and how they can be exploited to meet the demands of future Data center networks (DCN). We start with a general overiew of current DCN architecture, its bottleneck (at chip, board and rack level) and the motivation to move towards optics. Then we shall discuss on the recent developments (up-to January 2015) in optical components and their technologies, comparing the various design choices (through parameters) and their applicability in a datacenter center scale networks. In the later section of the paper we discuss about how the developments in optical components and their technology are changing the design space of optical subsystem used in DCN. Finally we give some insights on how the above developments can be exploited to increase the throughput, lower the latency and power consumption in the Datacenters (addressing in terms of the compute, interconnect and storage networks). I. INTRODUCTION It is no secret that most data centers are seeing explosive growth in traffic as more people are using more applications that are performing more complex activities. But the changes facing the data center extend well beyond mere scale. To meet growing application workload requirements, newer ap- plications are employing scale-out architectures. The result is a growing set of applications that operate in a distributed compute environment. For these multi-tier applications, data exchange between components is frequently more intense than the interaction between the application and the end user. This phenomenon is the driving force behind the rise of east-west traffic in modern data centers. [1] Cisco Global Cloud Index [2] projects that cloud traffic will represent more than three-fourth’s of global Datacenter traffic by 2018. The report forecasts that by 2018: Approximately 17 percent of data center traffic will be fueled by end users (north-south traffic) accessing clouds. 8.5 percent of data center traffic will be gener- ated between data centers (data replication and soft- ware/system updates). Remaining 74.5 percent of data center traffic will stay within the data center (east-west traffic) and will be largely generated by storage, production and development data in a virtualized environment. To understand how the aggressive bandwidth demands are going to impact datacenters, we need to first have an understanding of current data center architectures and why they are built that way. II. DATA CENTER OVERVIEW In today’s data center there are millions of machines that needs to be interconnected. There are many different ways to interconnect machines, but different interconnection topologies have different performance characteristics. The following are some of the important metrics that needs to be considered while building a interconnection network: Bisection bandwidth Network diameter Path diversity Ideal throughput Average Distance and Latency Scalability Based on the above performance metrics, we can either use a Direct topology or an Indirect topology. Direct topologies provide better performance and latency compared to Indirect toplogies but they have scalability issues. As data centers follows the scale-out [3] architecture, scalabity is one of their prime requirements. Hence Indirect toplogies are most preve- lant in datacenters. Amongst the Indirect topologies, Fattree is the most preferred in data centers. Fig. 1. Network architecture of a Datacenter Figure 1 represents the network architecture of a datacenter that has a Fattree topology. Datacenters comprises of a group of pods [4]. Pods are practical units of machines comprising large number of servers, an interconnection networks and a cooling solution. Each pod has a number of racks, and each rack has Top Of Rack (TOR) switch which connects to all the servers in that rack. All the TOR’s are interconnected with each other through multiple layers of switching to provide the interconnection network between different servers. The additional layers of switching (the interconnnection network) includes aggregation and core switches that are predominantly made up of electronic switches.

description

Test it

Transcript of Root filetype

  • A Survey on Optical Technologies and their Impact on FutureDatacenter Networks

    Neelakandan Manihatty Bojan ([email protected]), Jingyun Zhang ([email protected])

    AbstractFuture Datacenters requirements demands the de-ployment of optics within the Datacenter. A better understandingof optical technologies will enable better design choices. The aimof the paper is enlighten the reader with the developments inoptics and its technology (for short range communication ie.,

  • Fig. 2. Diagram showing the electrical bottlenecks at board level

    Some of the fundamental problems in current interconnectnetworks are:

    Inability of the electronic switches to cope with thefuture bandwidth requirements.

    Electronic switches are very expensive in terms ofcost.

    Switches are one of the important hurdles in achievingenergy proportional data center. They consume a lotof power because they are optimized for higher linkutilization [5] and not energy efficiency.

    Network latency has also been traded for higherbandwidth and network utilization [6]. As the dat-center scales, we have to add additional switchesand switching layers to the interconnect infrastructure.This significantly increases the latency experienced bythe end hosts.

    Adding to the above issues is the unpredictable traffic pro-files of the data centers. Datacenter have a slightly more variedtraffic patterns compared to the supercomputer traffic that havevery high locality. There has been a lot of investigation aboutthe type of traffic in a data center [7],[8],[9]. The trace analyisindicates that data center exhibit ON/OFF behavior and areheavily tailed [10].

    New applications of the future will push the Datacentersto its limits. This raises the following questions:

    Are the current Datacenter design and network archi-tecture future proof?

    Are there other techniques and technologies can beused to solve the issues in data center? If so what willbe their implications on the data center architectures?

    Future data center needs to sustain the increasing band-width requirements, reduce the power consumption and de-crease the overall latency. The above requirements require usto do a critical evaluation of the limits of current data centerinfrastructure and explore new technologies and architecturesto meet the future data center requirements.

    Fig. 3. Current Datacenter networks based on electronic technologies

    III. WHERE IS THE BOTTLENECK ?

    Every four years we have the performance requirementis growing by ten times. The gap between processor and IOperformances is also widening (Needs to cite).

    This is resulting in increasing bandwidth density require-ments across the network. Electronics based interconnectsis a mature and dominant technology that has wide spreadapplications. Inspite of being a mature technology, it has somebottlenecks as shown in the Figure 2. Below we discuss thereasons for such limitations.

    Chip IO bandwidth limitation :The processors have been increasing its density at therate of Moores law, but the I/O pins are not increasingat the same rate. This means that the bandwidthdensity per port is increasing for every generation ofthe processor. There is a fundamental limit on howfast the electrical IO can be driven, this imposes thebandwidth bottleneck that can be achieved at chip IOinterface.

    Growing gap between processor and memory speeds:The continuous growing gap between CPU and mem-ory speeds is an important drawback in the overallcomputer performance.

    Increasing electronic link loss as we go for higherfrequencies:In electronics, the signal is the carrier. So we chargeand discharge the link to transmit data. So when wewant to change the frequency of the data, link needsto be changed. So as we go for higher frequencies,the signal integrity degrades due to Electro-MagneticInterference (EMI) resulting in the shorter reach forsignals transmitted.For longer distances we can regenerate the electricalsignal through regenerators and equalizers, but this notviable as it increases the power and occupies morespace in the PCB. There are some exotic materialsthat can increase the reach (by as small margin) butthey are costly and are not future proof.

    Figure 3 shows the block diagram of a current datacenternetwork (DCN) that is build based mostly on the electronic

  • technologies. Current connectivity options based on copper andmultimode fibers at the edges may not be capable of withstand-ing the growing bandwdith needs and latency requirements.Below are the reasons:

    Data rate vs distance tradeoff for copper:Electrical links have a Bandwidth-Length (BL) prod-uct of 100 MHz km. This means that when we aimfor higher data rates (by increasing frequencies) wewill be limited in distance due to the increasing loss ofelectrical interconnects.The electrical links have a lossof around 1dB/m. So electrical interconnects requiresrepeaters for maintaining the signal quality. Multi-mode fibers can help in increasing the bandwidth, butthey too are inherently limited to shorter distance andlower bandwidth compared to single mode fibers.

    Increase the number of channels:When we increase the number of channels in electron-ics, this will result in electrical signals travelling adja-cent to each other resulting in higher ElectromagneticInterference (EMI). Higher EMI results in degradationof signal integrity. Unlike Single Mode Fibers (SMF),Multimode fibers cannot exploit wavelength divisionmulitplexing (WDM) technology due to modal disper-sion effects that can result in signal interference.

    Electronics based interconnects also consume a lot ofpower and occupy larger footprint resulting in poorenergy savings. This is one of the fundamental limitsin realizing energy proportional data centers [11].

    Table I gives the comparison between the electronics andoptical technology for different parameters.

    TABLE I. COMPARISON BETWEEN ELECTRONICS AND PHOTONICS

    Parameters Electronics Optics/PhotonicsBandwidth density 7 3

    Distance 7 3Latency 7 3

    Power efficiency 7 3Space footprint 7 3

    Cost 3 7Robustness to damage 3 7

    Mature Technology 3 7

    Research efforts in optics and photonics for long rangetransmission have propelled great advancement towards highbandwidth, low latency and power efficient optical network.Some of the latter features are of great interest in the Data-center environment. This makes optical networks an interestingcandidate for deployment in Datacenters and computer systems[12]. This has also propelled a lot of research activities for ex-ploiting optics for datacom applications. As a result of all theseefforts, last few years have seen the gradual proliferation ofthe optical technologies from the long distance communicationnetworks to the shortest possible communication network. Bybringing optics closer to the processor, we are able to overcomethe IO bottleneck and also avoid the loss incurred due to theelectrical transmissions.

    Despite the advantages provided by optics, there are alsosome challenges that also need to be addressed. Some of themare mentioned below.

    Need for massive efforts towards integration and as-sembly of discrete optical components

    Reliability and resilience of optics based solution Effort towards accepting optics as a credible solution

    by computer architects

    Cost Difficulty in building an optical transistor [13]. Absence of optical memories forces us to ex-

    plore novel scheduling techniques for optical packetswitched networks [14].

    Thanks to the growing research interest in optics andcollaborative research efforts many of the above challengesare being solved.

    IV. OPTICAL COMPONENTS

    In this section we will provide a brief description on thedevelopment of optical components, their technologies andhow they perform against the current technologies throughvarious design parameters.

    A. Optical Source

    Lasers are used as light source in optical systems. Lasing(the process of generating light) involves continuous reflectionof the light between mirrors (one of them is partially reflecting)in an optical cavity. The optical cavity contains a gain medium,that amplifies light of a specific wavelength through stimulatedemission there by generating a coherent beam of light.

    Developments in the field of laser science over the past fewdecades have resulted in more compact and efficient opticalsources. Vertical-cavity surface-emitting lasers (VCSELs) andSemiconductor laser based on heterogeneous integration of III-V materials (acting as gain medium) on Silicon (providingthe optical cavity) are the two most promising optical sourcesfor optical communication. The growing requirement for high-capacity short-reach data-communication links has spurreda lot of research activity towards exploiting VCSELs andsemiconductor laser for short range optical communication.

    The speed of a semiconductor laser can, in principle, beincreased by scaling down its volume so that a higher internalphoton density (and thus a higher resonance frequency) isachieved [15]. Following the above, VCSELs operating atup to 40Gb/s have been achieved in different wavelengths(980nm [16] and 1.1m [17]) but they have a very high currentdensity. Lasers increased current density reduces its reliability[18]. This resulted in more focussed research efforts towardsthe 850 nm wavelength range which had a lower currentdensity. Authors in [19] reported a directly modulated 850nmVCSEL-based optical link operating error free (BER

  • TABLE II. WAYS TO INCREASE THE BANDWIDTH

    Techniques Benefits Challenges

    Advanced Multi level Modulation (Image tbd)Increase the per channel capacityno need for specialized optical components,more specialized electronics (faster market time)

    Complex modulation formats results inreceiver complexity and higher power consumption,need linear electronic components,should also be made cost and power effective,tighter loss budget.

    Wavelength Division Multiplexing (WDM) (Image tbd) Increasing the parallelization for higher bandwidthMUX/DEMUX are passive components, scalableNumber of channels are limited (160)WDM lasers are not commodity yet (might not be cost efficient)

    Space Division Multiplexing (SDM) (Image tbd)Increasing bandwidth by having multiple adjacent cores,Multicore fibers are cheaper than fiber bundles,no special active components are necessary

    Still in research,need to make multi-core fibers more affordable and couplers cheap

    Mode Division Multiplexing (MDM) (Image tbd) Multiplexing data in different modes Only possible in multi mode fibers and limited to short distances

    achievable with the best VCSEL sources available today withthe ones provided by means of Silicon Photonics (SiP) tech-nology in order to achieve 50-Gb/s and beyond transmissionper channel, taking into account also the energy-saving pointof view.

    Table III shows the comparison of various optical sources(that are considered for short range optical communication fordata center scale networks) against standard parameters.

    Silicon photonics with an external laser can be used forlong distances. These are more appropriate for chip to chipinterconnects as it needs to be CMOS compatible.

    III-V on SOI Laser:

    The main goal of using III-V on SOI Laser is to makecheaper and power efficient lasers in 1.3 and 1.55 microns.There are three different approaches:

    Hybrid III-V/Silicon Evanescent Lasers:There is no oxide layer between III-V on Silicon.The gain of the hybrid modes can be engineered bychanging the width properties of the active materials.The authors in [22] have created Fabry-Perot laser,mode locked laser, DFB laser and racetrack laser usingthe above approach.

    Hybrid III-V/SOI Lasers based on adiabatic evanes-cent coupling:Authors in [23] demonstrate SOI Lasers based onadiabatic evanescent coupling. There is good laseremission and maximum power inside silicon waveg-uide material. As the refractive index is same so thereis good optical coupling. In the InP layer they havehigher refractive index and they use tapers to confinethe modes and in the Silicon layer they do the reverse.

    III-V Nano/Micro lasers evanescently coupled to SOIwaveguides:In [24] authors demonstrate microdisk laser coupled toSOI through evanescent coupling. These have very lowfootprint and low power consumption. By varying theopto-geometric parameters of photonic crystals (lowq/v) they observe better intensity due to light matterinteraction that are analogous to atomic lattices.

    B. Modulator

    A modulator is a device that is used to change the prop-erties of the carrier signal that is used for the transmissionof the data. An optical modulator is a device that is usedfor manipulating the light beam from source. Based on the

    property of the light that is being modified, we can haveamplitude, phase or polarization modulators.

    In general, there are two types of modulation, DirectModulation and External Modulation.

    Direct modulation:Direct modulation involves changing the intensity ofthe light beam by modulating the current that isdriving the light source. This technique is limitedby the bandwidth chirping effect when applyingand removing current to laser diodes having narrowlinewidth. This technique is ideal when the data ratesare in the low gigabit range (

  • TABLE III. COMPARISON OF VARIOUS OPTICAL SOURCES AGAINST STANDARD PARAMETERS

    Parameters VCSEL [25] First Hybrid Laser [26] SiP [27]Modulation Direct Modulation tbd Electro Absorbtion Modulation

    Datarate per channel 40 Gbps (SM)/ 56 Gbps (MM) tbd 25 Gbps-30 GbpsOperation in Optical bands 850 nm 1560 nm 1320 nm - 1337 nm

    Typical Distance upto 100m tbd Upto 2 KmThreshold Current 0.99 mA 65 mA tbd (lowered Ith [28])

    Bias Current 12 mA 20mA - 40 mASide Mode Supression Ratio tbd >40 dB

    Output Power 7 mW 1.8 mW 30 mW [[29]]Cost reduced (can build VCSEL arrays) tbd become lower as technology matures

    Wavelength Stability with temperature variance Upto 40G for temperature upto 85 C better wavelength stability [29]

    sorption spectrum which in turn changes the bandgapenergy. EAM can operate at higher speeds (in tens ofGHz) and use lower voltage compared to electro-opticmodulators. Higher extinction ratio can be obtainedthrough the exploitation of Quantum Confined StarkEffect phenomenon in Quantum wells instead of usingthe waveguide structure with electrodes in EAM.EAM can be easily integrated with photonic integratedcircuits.An acousto-optic modulator (AOM) is a device whichcan be used for controlling the power, frequency orspatial direction of a laser beam with an electricaldrive signal. It is based on the acousto-optic effect,i.e. the modification of the refractive index by theoscillating mechanical pressure of a sound wave.Multi-Level Modulation (MLM) allows to increase thebandwidth without the need to increase the number ofoptical components but it trades off against the OSNRat the receiver. This has sparked the discussion aboutForward Error Correction (FEC) in datacom. MLMhas the potential to reduce the number of components,cost and the footprint of optical components. Manyadvanced modulation formats like PAM-4, DP-PAM-4, NRZ and ENRZ are exploited to meet the demandsof high speed transmissions [30].

    C. Receiver

    Matured technologies of Receivers are mainly based on III-V material due to their high sensitivity within interested opticalspectra window. Available candidates are Silicon(Si), Ger-manium(Ge), Indium(In), Gallium(Ga), Arsenide(As) Phos-phide(P), Aluminum(Al). The utilization of Silicon is of greatinterest for photonics/electronics integration. However, thisidea is suffering from the indirect energy gap defect [31].In order to overcome the indirect energy gap dependence,doped material are introduced such as InGaAsP, InGaAs.The interest of Silicon photodiode still remains within sightwhere binary elements SiGe, with its thermal sensitive indirectband gap, is currently reported as one of the solutions for Sibased photodiode [32][33][34][35]. A very high responsivityof 0.84 A/W at 1550 nm is reported. Recently, the researchof Graphene introduces progress in merging with photonicstechnology where the fabricated photo response does notdegrade for optical intensity modulations up to 40 GHz, andfurther analysis suggests that the intrinsic bandwidth mayexceed 500 GHz[36]. Some researches were done by [37]where Graphene/Si-heterostructure waveguide photodetector isproposed, introducing high responsibility even up to 2.75 um.A summary of some typical material based photodiodes arelisted in Table IV [38] [39] [40] in which Silicon, Germanium,

    InGaAsP and InGaAs has already been commercialized ormanufactured while the other two still remains experimental.

    The packaging of the commercial receiver will offer bothP-I-N photodiode and Avalanche Photodiode (APD) optionaccording to the user requirement. SiGe and SiGraphenereceivers related researches report APD as their experimentaltest prototype since they do not offer good quantum efficiency.The material induced the trade-off is difficult to evaluate sincethe receiver requires to be packaged as a certain structure tobe functional. The structure of photodiode usually involve twomajor options: P-I-N photodiode and Avalanche Photodiode(APD). A PIN structure contains an intrinsic region betweenp- and n- doped material. An APD is usually operating with ahigh reversed voltage in order to conduct strong electrical fieldwhich means second carrier will be generated during operationthat efficiently amplifies photocurrent. In some designs, het-erostructure is implemented to achieve better O-E efficiency.

    Commercially available PIN photodiode are generallymade of silicon, hence the operation window will be limited.As one of the solution, other material such as InGaAs is usedwhile it is still considerably expensive. APD generally havebetter noise performance but narrower bandwidth compareto PIN, its high wavelength solution also depends on theutilization of InGaAs which again suffers from high expenses.

    Booming material physics research as well as photonicsengineering offers great opportunity and potentials in opticaltelecommunication in both data center and on-chip perspec-tive. The development of receiver is towards high bandwidthin order to meet high speed communication system in thefuture, meanwhile the silicon compatibility is also the trendof photodiode research.

    D. Amplification

    The researches of SOAs date back to 1960s[41], it was thenreceived a wider research interest in 1980s, largely resultingfrom their potential as in-line amplifiers. However, due tothe invention of erbium doped fiber amplifier (EDFA), thedevelopment of SOA suffered a dip. In the last decades,SOAs have been considered again owing to their fast gaindynamics. This has enabled SOAs to be used for high speedall-optical switching. SOAs can be categorized owing to thedimensionality of the electronic system of their active region.Currently available architectures such as:

    Bulk SOAs, the carrier motion is not restricted whichis known as a three dimensional structure.

    Quantum Well (QW) SOA or Multi-Quantum-well(MQW) where the carrier motion is limited to a layer

  • TABLE IV. III-V MATERIAL BASED PHOTODIODE SUMMARY

    Si Ge InGaAsP InGaAs SiGe SiGrapheneOperation Window (nm) 400-1000 900-1600 1000-1300 900-1700 1300-1500 1310-1650

    Bandwidth (GHz) 10-20 51 22 30 10-25 402Noise Performance low high low low low lowQuantum Efficiency low low high high moderate moderate

    price low low high high NA NA

    Fig. 4. Density of States vs energy for SOAs[42]

    of certain thickness. In other words, it is restricted totwo dimensions.

    Quantum Wire or Quantum Dash (QDash) SOA, thecarrier motion is restricted to one dimension (wire).

    Quantum Dot (QD) SOA, the carrier is fully restrictedto zero dimension.

    The Density of States (DoS) versus energy is illustrated inFigure. Bulk SOAs [43] as well as quantum devices basedSOAs [44][45] have been realised. The reduced dimensionalitycontributes to better performance of SOAs. For example, AQD based SOA is reported to have a ultra-fast gain response,a large gain bandwidth, low temperature dependence of thegain and low chirp even under saturation regime [46][47].Inthis project, however, the physics of SOAs will be based onBulk SOAs and then move on to MQW SOAs as the elementsfor architecture evaluation.

    E. Multiplexer and Demultiplexer

    A multiplexer is a passive device that combines the dif-ferent wavelengths in its input port to a common outputport. The demultiplexer does the reverse. Photonics providesthe opportunity to do multiplexing along time, wavelength,mode and space. Multiplexer have been extensively used toincrease the bandwidth of a transmitter by adding multiplechannels operating at different wavelengths. Multiplexers anddemultiplexers can be combined to form Wavelength crossconnects (WXCs).

    The Mux/Demux assembled through micro-optics or hy-brid PLC technology are a bit complex. So there has beengrowing interest towards simpler and more effecient structures(flatter response and low temperature dependence) like ArrayedWaveguide Grating (AWG) [48], Silicon Photonics [49], ringresonator [50], Echelle grating [51] based solutions for multi-plexing and demultiplexing.

    Table II gives the various ways to increase the bandwidthof a channel, their advantages and challenges.

    There are different types of multiplexing as mentionedbelow. Suitable multiplexing technology can be used basedon the application requirements and available technology.

    Wavelength Division Multiplexing (WDM): WDM isthe widely used multiplexing technique to increase thedata rates. Here multiple wavelengths (each modulatedat the same/different data rates) are multiplexed to-gether and send to the destination wherein the receiversub-stem demultiplex them retrieves the data. Thereare two types of WDM: CWDM (Coarse WDM) andDWDM (Dense WDM). Table V gives the differencebetween CWDM and DWDM [52]. Authors in [53]discuss some of the opportunities and challenges inusing WDM for increasing the optical interconnectionbandwidth.

    TABLE V. COMPARISON BETWEEN CWDM AND DWDM [52]

    CWDM DWDM8 active wavelengths per fiberDefined by wavelengths Defined by frequenciesShort-range communications Long-haul transmissionsUses wide-range frequencies Narrow frequenciesWavelengths spread far apart Tightly packed wavelengthsWavelength drift is possible Needs precision lasers to avoid driftingBreaks the spectrum into big chunks Dices the spectrum into small piecesLight signal is not amplified Signal amplification maybe used

    Space Division Multiplexing (SDM):SDM using multi-core fibers (MCFs) has been recog-nized as a crucial key technology to extend the phys-ical limit of transmission capacity of optical fibers.Increasing attention has been paid to SDM and MCFsas the data rate of conventional fiber transmission isreaching the estimated limit of around 100 Tb/s [[54]],[[55]], and unlikely to be able to accommodate theexpected rise in the traffic demand of fiber opticsnetworks in the coming decades. Recent research arelooking at how to exploit Multi-Core Fibers (MCF)for higher bandwidth [56] [57].

    Table II gives the different types of multiplexing, theiradvantages and challenges.

    F. Optical Switch

    The network interconnect are usually categorized as:Broadcast Network, Point-to-Point Network and SwitchedNetwork. Considering the random data distribution nature ofdatacenter [8] [9], the Switched Network is considered as oneof candidates for topologies elements due to its flexibility anduniversality.

    In order to merging with the long haul optics, Traditionalswitching apply Opto-Electro-Opto (O/E/O) Swithces that con-verts optical signal to electrical. Signal Processing can then

  • be conducted under matured domain. However, the increasingbandwidth demand has driving the interest towards transparentoptical (O/O/O) switches which have low latency and widebandwidth. The optical switches are superior in terms of [58]:

    Switching Speed:One of the most interesting parameters that indicating howfast the switch can switch from one port to another. SwitchFabrics with fast reconfiguration time are particular interestedin photonic based interconnects.

    Insertion Loss or gain:The insertion loss is the signal power loss due to the switch,it various depending on the switching technology that isapplied, and for some switches such as Semiconductor OpticalAmplifiers (SOAs) will amplifier the signal to compensatethe loss hence would even produce gain. The insertion lossis preferred to be small, but in system design perspective, itis more preferred to be controlled in similar value at eachNetwork hierarchy.

    Crosstalk:In some switching devices, non-switched inputs will alsocontributes to the output signal of switched inputs which leadcrosstalk noises. The Crosstalk defines as the the ratio of thepower of a specific output from the desired input to the powerfor all other inputs. It is desired to be as small as possible.

    Extinction ratio:This is the ratio of the power of specific output signal when itis enabled to the power of this output signal when it is disabled.It is considered to be as large as possible. Optical-based deviceusually offer very good extinction ratio, i.e. if you switch offthe light, it goes dark.

    Polarization dependent loss (PDL):If the switch do not offer equal losses for different input signalpolarization states, it is considered to introduce polarizationdependent loss. It is desired to be low.

    Scalability:This is a very application related property that refers to thecapability of the switch of building with large port counts.It is an interesting parameter to exploit since novel opticalswitch usually do not have enough scalability that is capableto replace with the conventional electrical switches.

    input power dynamic range (IPDR):This parameter refers to the input power range for a certaindevice that can offer error free switching [59]. Error freestandard various from different system requirement, a BERtarget of 1e-9 is commonly used.

    Typical available Optical switches will be discussed such as[60][61]:

    Micro electromechanical System Switches (MEMS):Switching is achieved through adjusting its micro-scale mirrorsmechanically by electrostatic, magnetic, piezoelectric, andthermal expansion methods. This lead to slow switching timetypically milliseconds to microseconds. MEMS introduce lowinsertion loss, crosstalk and PDL and its scalability is very high(> 1000) with low power consumption. However, reliabilityreduced due to moving parts [62].

    Electro-Optic Switches:It changes the refractive index by applying an electric field.

    Electro-Optic switches have advantages in low power and highswitching speed (nanosecond region), but the insertion loss ishigh and large switch size is demonstrated.

    Thermo-Optic Switches:In order to achieving switching, this device changes thewaveguide refractive index with thermal effects. Thermo-Opticswitches have disadvantages in high power consumption andlarge scalability. However, the low crosstalk and PDL isdemonstrated [63].

    Acousto-Optic Switches:Acousto-Optic switches exploit the change in reflective indexin response to acoustic waves. It has a moderate switchingtime (hundreds of nanoseconds) and small size. However, highinsertion loss and cross talk is demonstrated [64].

    Liquid Crystal Optical Switches:Liquid crystal switches rely on the birefringence andanisotropic properties of liquid crystal materials for opticalswitching. It produces high switching time while the insertionloss and crosstalk is moderate. The main advantage is lowpower consumption.[65]

    Semiconductor Optical Amplifiers (SOA):Switching in SOA based devices operates using injection ofelectrical current into the SOA active region, resulting inrefractive index and optical gain changes in its medium. SOAprovides a fast switching time with low crosstalk and PDL.Moreover, it produces gain rather than insertion loss, but thiswould contribute noise.[66]

    citation required here

    Meanwhile some novel researches have been done recentlyin which one of the interesting perspective is SOI (silicon-on-insulator) optical switch. Using this technology, we cancontrol the propagation of light by light. Initial efforts involvedchanging the refractive index of the medium through eitherexternal light pumping or thermal effect. The pumping lightaborbed by the material changes the carrier concentration andhence the refractive index.

    Switching speed is one of the most important factor fora switch. The switching speed is highly dependent on carrierrecovery time (typically in ns). When drilling holes, due tosurface inconsistencies there can be carrier recombinations atthe edges which needs to be avoided. Some reasearch groupshave worked on the clearing of the carriers along the edges andobserved the carrier recovery time of about 30 pico secondsand the switching power in the order of femto joules.

    The ultrafast switching group at LPM,Nancy reduced theswitching speed further with the use of surface recombinationof charges on quantum wells. They did a pump probe exper-iment and observed switching speed in the order of 15 picoseconds and switching power in the order of 10 femto joules.Next moved on with a system level experiment.

    G. Photonic Network on chip

    Photonic network on chip [67] are possible only through3D integration technology. This is already been done for elec-tronics, need to squeeze in photonic layer. Fundamentally, itinvolves stacking of different layers (chips) one over the otherthereby providing additional functionality and reducing energy

  • Fig. 5. a) physical structure of Micro Ring Resonator b) TransmissionSpectrum of Micro Ring Resonator[68]

    requirements. [cite university of colarado]. In such a photonicsnetwork on chip, different layers communicate to each otherthrough silicon via called TSV [cite Mirage project]. Thephotonic components (transmitter and receiver) are flip-chipbonded to a photonic layer (that acts as a waveguide). Throughan interposer layer, all the active electronic components canbe bonded to the bottom side. The electronic componentscommunicate with the optical components through the TSV.There is also optical grating coupler, that is used for couplinglight from transmitter into the photonic layer and out to thephotodiode.

    1) Photonic nano technology: Similar to semiconductormanufacture, nano technology is also been merged into pho-tonic devices which indicates the possibility of hybrid elec-tronic/photonic physical layer design. Compare to conventionalelectronic semiconductor, photonic devices have potential ofovercoming the problem caused by thermal generation, limitedbandwidth, electromagnetic interference,interconnect, quantumeffect and so on. Researchers have shown great interest in thisarea where nano photonics devices such as waveguide, mod-ulators were developed. The Photonic Micro Ring Resonatorpresents as a nano ring structure. The linear waveguide3 iscoupled with ring waveguide and light with certain wavelengthis coupled into the ring waveguide when passing through thecrossing junction. The wavelength spectrum shows in Figure5 which shows dependence on biased electrical field. In thisway, electrical field can be used to shift the spectrum henceswitching for a certain wavelength. The ring can be applied asmodulators, injectors, detectors and so on.

    Other than switches, Optical Router applications were alsoreported in [69] that MOTOR (InP based 8x8 Monolithic

    3The coupled wavelength is related to the refractive index differencebetween linear waveguide and ring waveguide and the size of ring radius.

    Fig. 6. a) Off-resonance modulator: A ring resonator with off-resonancestate which allows a wavelength pass through the crossing. b) On-resonancemodulator: A ring resonator with on-resonance state which couples a wave-length into the ring waveguide.c) Injector: A resonant wavelength in thebottom linear waveguide is coupled into the ring and then injects into the topwavelength.d) Detector: Silicon-germanium is coupled into the ring with aresonant wavelength coupled into the ring waveguide.

    Fig. 7. (a) Schematic of overall MOTOR chip. (b) Expanded view of asingle-input wavelength converter showing several key device elements.[69]

    Tunable Optical Router) is introduced to operate at 40 Gb/sper port with BERs below 1e-9 and the power penalty as lowas 4.5 dB.

    2) Photonic Architecture on-chip: On-chip interconnectis one of factors that contributes to the performance of amany-core system. Conventional electrical interconnect haslimitations due to the nature electrons. The idea of opti-cal interconnect was proposed [70] in 1984, while by thattime, on-chip optical interconnect. The development of nanotechnology and photonic technology enable the fabricationof photonic modulators and small bending radius waveguidewith micron size or even smaller which is capable to fit in achip. On-chip photonic projects are booming recently. One ofthe interesting research done by Vantrease etc. whose teamproposed Corona architecture (IV-G2) where it discussed a256-many-core architecture with hybrid electrical and opticalinterconnect [71]. Corona is proposed to accelerate memory-intensive workloads for 2 to 6 times. Meanwhile Dragonflyarchitecture is introduced focusing on a trade-off betweenreduced-cost and considerable performance [72]. Further re-search has been done in Northwestern University where Firefly[73] and FlexiShare [74] were born. Photonics technologytrends to be an interesting perspective of future NoC.

    H. Memories

    Memories are necessary but it is very challenging anddistant. Memory wall, speed of the CPU the are increasing 3

  • Fig. 8. A Corona structure based 64 cores topology

    times that of the speed of development of memory circuits.Develop an optical memory in the system on chip and itcommunicates with WDM to the rest of the circuits. Demon-stration of single optical memory cell (Flipflop) by using twocoupled MZI integrated on a single chip. Proposed opticalRAM architectures and supported through simulation.

    III-V on SOI Memory:

    It is a far reaching goal to make a memory with photon.Need to exploit bistable systems, which for a single inputpower, we have two possible output powers. In optics we needa resonator with a non linear material. Two types of nonlin-earity (change refractive index, change gain or adsrorbtion).

    Bistable operation of microdisk lasers (cite Ghent) Initiallyin the microdisk lasers, the modes are moving in both direc-tions. But giving a pulse, it changes the property of the materialand makes it to unidirectional operation. Switching speed is inthe order of few pico seconds and energy in the order of femtojoules. photonic crystal laser, based on injection locking of thelasers. There is a signal laser and an injection laser. When theintensity of the injection laser is increased, it increases thestimulated emission, there by depleting the signal. In order torecover from this condition, we need to lower the power ofthe injection laser.

    I. Optical waveguide medium

    Optical waveguide is a structure that is use to guide thelight generated by the optical source using the phenomenon oftotal internal reflection. Based on the number of modes thatcan propagate through the optic fiber (waveguide medium), itcan be either a Single Mode Fiber (SMF) or a MultimodeFiber (MMF). Table VI represents the various parameters

    that differentiates optical waveguide medium. The telecom-munication networks, long and ultra long-haul networks wereheavily dependent on the SMF for most of their data and voicetransmission because of its high bandwidth capabilities. MMFwere primarily used for solutions wherein bandwidth can betraded off for lower cost. The data center networks have adifferent set of requirements that can exploit the benefits ofboth SMF and MMF. Choosing a right waveguide mediumrequires a careful understanding on the performance require-ments of the deployed infrastructure, upfront capital investmentand long term scaling requirements. Exploring novel opticalwaveguides is currently a hot research area. Polymer basedwaveguides have received significant interest amongst researchgroups trying to over the limits of electronic PCB. Authorsin [75] give an interesting approach to use Silicon photonicsbased optical link instead of VCSEL based multimode fibers.

    Industries have shown a lot of interest in Active OpticalCables (AOC) for short range high data rate transmissions.It is called active because all the opto-electronic componentsrequired for transmission and reception are assembled insidethe package of the connectors. Current 10G AOCs are moreprominent but there are research efforts towards developing aTerabit/second AOC by exploiting WDM, PAM4 modulation,spacial multiplexing using multi core fibers.

    AOC cables has electrical interfaces, this increases itsrobustness while handling them in the field. These can alsobe brought based on our requirements. There are differenttypes of receptacles for AOC. Most commonly used in thedatacenters are the SFP, QSFP (4 Tx and Rx), CXP (12 Tx andRx), CDFP (16 Tx and Rx, targeted towards 400G standard).CDFP based AOC have been demonstrated by Te Connectivitybased on VCSEL 850nm. A complementary solution based onSi photonics was demonstrated by Molex (previously Luxtera)on 1550nm.

    Challenges in AOC:

    electrical signal integrity at higher rates: Requiresmore equalization, more components, more power andcost. So industry is looking at alternative approacheslike MBO (Mid Board Optics), but this is challengingbecause of its feasibility (what if it fails). SNAP-12module, Avago MicroPOD, Holey optochip.

    front panel density (upto 11 CDFP, handle upto 4.4TBwhich is 20 percent more than QSFP)

    V. MATERIALS AND FABRICATION TECHNOLOGY

    Photonics can overcome the drawbacks of electronics inthe interconnection networks [76] if the following challengesare met:

    Develop the necessary active and passive functionali-ties

    Perform low power consumption (femto joules) at highspeed

    Small footprint for high density Integration with Silicon photonics and CMOS com-

    patibility

  • TABLE VI. COMPARISON OF VARIOUS WAVEGUIDE MEDIUM

    Parameters Polymer MMF SMFDriving light source VCSELs VCSELs (850 nm) FP laser, SiP laser (1310 nm)Distance (for 100 GbE) Very short distance 50 Gb/sAssembly Tolerance stringent relaxed relaxedCost costly economical economicalTypes OM1,OM2,OM3,OM4Application areas Optical PCB short distance DCI Long distance DCI

    pros and cons Low cost (Trades bandwidth for cost),has speed and bandwidth constraintsUnlimited bandwidth enables betternetwork design due to reduced constraints

    Fig. 9. Process flow for heterogeneous integration of III-V semiconductorson silicon. SOI: Silicon-on-insulator. InP: Indium phosphide.[78]

    Along with the above requirements, good materials andbetter fabrication technology will enable the production ofoptical components at low cost and be competitive againstelectronics. There are currently two main options:

    A. Si based

    Silicon photonics [77] is the ideal candidate as it is CMOScompatible. But there is still no Si based Laser.

    B. III-V on SOI

    This is a very interesting fabrication technology that en-compasses two complementary technologies

    Silicon photonics is compatible with microelectronics.Many dense circuitry is possible with SOI ( as oxidelayer helps in creating a high index contrast). SOIis mainly beneficial for building passive devices likeAWG based filters, Mux/Demux, low loss waveguidesand they are also used to make modulators.

    Compound semiconductor materials like InP, GaAsused to make Lasers because of high quantum effi-ciency and for high non-linearity compared to othermaterials.InP can be used to make good opticalsources (in 1.3 to 1.55 microns) for Silicon photonicsbased on quantum wells.

    Current techniques to integrate these two technologiesinvolve flip chip bonding of the InP based components onSOI. But this becomes cumbersome when a lot of componentsneed to be added to the SOI. Since the lattice structures ofthe III-V is very different from the Silicon, it is very difficult

    to grow III-V on Silicon (at high temperature). This opens upnew venues of research like:

    Growing nano structures on Silicon. Using metamorphic oxide structures on Silicon. Wafer bonding (polymer based materials acting as

    glue) of III-V on Silicon (cite LETI)

    Plasmonics for datacom, as they reduce the size of opto-chips. Plasmonics can confine light to much smaller dimen-sions that optics cannot do as it is diffraction limited. Ini-tial results were obtained in EU-Platone project. The projectinvolved using Plasmonics with Si photonics. The followingwere the observations:

    Appropriate for interfacing photonics and electronics allows for thermo-optic induced switching phe-

    nomenon

    low switching power consumption but high propagation losses

    VI. ASSEMBLY AND PACKAGING

    Packaging is a core technology to leverage the functionsand the performances of micro and nano structures in asystem, in order to bring them to application, the gap betweencomponent and environment has to be bridged by providingreliable interfaces.

    This is the most important factor that can reduce thecost of optical solutions. The assembly and packaging shouldsupport high density, cost effectiveness, low power, thermaland mechanical stability.

    VII. PHOTONIC DESIGN TOOLS

    Design of photonic integrated circuits is going to becomplex and this requires design tools that are similar toits electronics counterpart. With such design tools the designprocess can be made simpler and effective from the designstage till the tape out phase.

    There has also been the rise of companies like Lucedaphotonics [79] that provide a complete design framework(component design and simulation, circuit definition and lay-out, till tape out and testing) for photonic integrated circuits.

    Phoenix software [80] Bright photonics [81]

  • VIII. DATACENTER PERSPECTIVE

    The data center hosts a lot of technical infrasturctureranging from HVAC devices (to provide power and coolingsolutions) to network devices. In this section we will providesome insights on how the optical technologies described in theprevious section fits in the datacenter environment. Inorderto provide a better understanding, we divide the datacenterinfrastructure into three aspects:

    Compute network: This is primarily focussed on theindividual servers, their clusters (ie, rack) that do mostof the computation work in a data center and thiercabling infrastructrue.

    Interconnection network: This refers to the in-terconnect infrastructure mainly provided by theswitches(TOR switch, aggregation swtiches and coreswitches) and their cabling.

    Storage network: The storage network refers to adedicated block level data storage provided by a lotof enhanced storage devices, disk arrays and theirassociated cabling.

    For each of the above network we do an individual analysison how the optical technologies will enable high bandwidth,low latency and energy efficiency.

    IX. COMPUTE NETWORK

    A. High bandwidth

    There is fundamental limitation in the bandwidth scalingof electonic based systems due to Chip-IO bottleneck (dueto poor scaling of the pins in the processor package againstMoores law), electronic PCB limitations at high frequenciesand rack level bottlenecks ( power and form factor require-ments resulting in lower port densities). Optics has tried toexplore newer venues to overcome chip level, board level andrack level bottlenecks. Some of them are mentioned below:

    Optical interposers:Chip level bandwidth limitations can be overcomeusing optical interposers. Optical interposer is the con-vergence of photonic and electronic system throughSilicon photonic technology. These have been primar-ily used to achieve high bandwidth inter chip com-munication but they can also be used to achieve highbandwidth communication between interposer and on-board memory. Authors in [82] demonstrated a highdensity (3.5 Tbps/sqarecm) inter-chip optical intercon-nect based on Silicon photonics. Further improvementin transmission density (6.6 Tbps/squarecm) have beenachieved for the above system using better opticalcomponents and a 1x4 optical splitter [83].

    Optics for on-board chip to chip communication:To connect all the components, there is the necessityfor the optical interconnection network on the board.This has motivated the work towards an all opticalPCB. Projects like Phoxtrot and Firefly are working onoptical PCB. Physical layer constraints of the opticalcomponents will impact the interconnect topologyon the board. The main aim will be to optimize

    Fig. 10. Diagram showing an Optical PCB at board level

    Fig. 11. Diagram showing the penetration of optics closer to the ASIC (willbe updated)

    the layout of the Optical PCB to connect maximumnumber of optical interposers (compute cores) to theoptical router chip (also called photonic network-on-chip). Figure 10 shows how a speculative board leveldiagram of optics based PCB.One of the most important things that should betaken into consideration for achieving high bandwidthand low loss transmission is the placement of thetransceiver. Figure 11 shows the gradual penetrationof the optics closer to the ASIC (processor or switch).Initially the transceivers were at the board edges.Then there were efforts to move transceivers on theboard (termed as mid-board optics) and companieshave commercial products in this area [84]. The mid-board optics based solution requires optical cablingbetween chips. For a more scalable and efficient so-lution, we need to avoid massive optical cabling. Thismotivates the requirement to build hybrid electrical-optical PCB. There have also been approaches tointegrate optical transceivers on the silicon chip [85].Initially people are using polymer waveguides to re-alize the optical PCB. This is a very challenging taskas it involves understanding how the following factorswill impact the Optical PCB:

    channel interference and dispersion compensa-tion.

    impact of material, fabrication and packagingof photonic components

    employment of high bandwidth on chip routing(WDM or advance modulation formats)

    Optical backplane for board to board communication:Optical technologies have received large interest inrecent years for use in board-level interconnects. Poly-mer multi-mode waveguides in particular, constitute apromising technology for high-capacity optical back-planes as they can be cost-effectively integrated ontoconventional printed circuit boards (PCBs). Authors in

  • Fig. 12. Future Datacenter networks based on optical technologies

    [86] a four-channel optical backplane demonstrator isdesigned based on a regenerative optical architectureand PCB-integrated polymer waveguides, and fabri-cated with low-cost commercially available electronicand photonic components.Light can be coupled from board to board throughvertical coupling structures. Figure 12 shows theblock diagram of a datacenter network (DCN) thatexploits optical technologies to provide higher band-width, lower latency and better energy efficiency.

    Optics based rack to rack communicationCurrent datacenter that were using MMF based inter-connects are slowly migrating towards SMF and AOCbased solutions to achieve higher bandwidth scalingand cost efficiency. Developments in the technolo-gies based on VCSELs and Silicon photonics haveenabled AOC to provide high bandwidth rack to rackcommunication through short reach interconnects. Thedevelopments in high speed electronics and packagingare playing a vital role in achieving the performance,power, cost, reliability for datacenter interconnects.Most of the activities are propelled by industries likeTE connectivity and Mellanox.

    B. Low latency

    The largest contribution of the latency at the computenetwork is the end host latency. In this section we shall lookat the constituents of the end host latency, impact of optics onthis latency and how it can be reduced.

    Our context of the end host latency considers the time takenfrom the arrival of the data (as optical signal) to the receiverinterface (in a NIC card) to the time it has been received andprocessed by the application in the host operating system.Hence the end host latency is a combintaion of delays ofthe transceiver circuitry, NIC processing, buffering, operatingsystems (containing the protocol stacks) and the applicationsrunning on it.

    The advancements in optics has lead to better and fasterreceivers with high bandwidth reception capabilites leading tolow latency high bandwidth optical transceivers. If the above

    trends continue, there will be a need to reconsider the systemdesign current generation NICs and the Server to match thespeeds/latency of the transceivers. There are a lot of challengesin meeting these aggresive bandwidth requirements with thecurrent electronic systems [87]. There have been promisingsolutions like the Silicon Interposer on Optical PCBs but theyrequire a lot more time and effort to achieve the economies ofscale to become commodity products. Optics can significantlylower the latency on the hardware areas but this alone isnot sufficient. There needs to be significant work done inthe software (protocols,operating systems and the applicationsrunning on it) to achieve significant gain in overall latencyreduction.

    Article [6] gives some detail on how to achieve low latencyfrom an operating systems perspective.

    TABLE VII. FACTORS THAT CONTRIBUTE TO LATENCY IN ADATACENTER [6]

    Component Delay Round-TripNetwork Switch 10-30 s 100-300 s

    Network Interface Card 2.5-32 s 10-128 sOS Network Stack 15 s 60 s

    Speed of light (in Fiber) 5ns/m 0.6-1.2 s

    Some of the techniques can be used to achieve lowerlatency:

    Keeping application in the cache Having fast hw (CPU, NICs) Have small number of instructions, and executing

    them fast using dedicated cores

    Kernel Bypass (avoid using interrupts as they arelatency)

    Caching is also important for throughput (fetchingfrom memory is costly)

    Using DDIO for higher throughput Decide which transmit protocol to use TCP, UDP,

    capnproto , JSON, BISON

    If TCP change the default window size (to greater 64k)

    C. Energy efficiency

    At higher data rates, electrical interconnect systems canconsume a lot of power due to their equalization circuits(that are used for overcoming signal losses). With increasingbandwidth requirements, distance and multiple processor on asingle board, optical interconnect appears to be the promisingsolution. Authors in [88] provide a detailed comparison onthe electrical and optical IO for chip to chip interconnect.They also mention that optical interconnects will be initiallyintroduced as optical package to package IO using MCMsingle package technology. But it will eventually move towardsmonolithic integration of optical components to achieve energyconsumption less that 1 pJ/bit for Tbps interconnects.

    Reducing the energy consumption per compute unit:Reducing the energy consumption at the granularityof the compute unit requires the use of energy effi-cient components, processing technology and careful

  • integration. By exploiting the passive nature of theoptical components and technologies descibed abovein the datacenter, a significant reduction in the powerconsumption can be achieved.

    Intelligent and dynamic resource provisioning: Variousstudies show that datacenter rarely operate at theirfull utilization [3]. This can result in increased energyconsumption due a large number of tiny jobs (notmachines specific updates) running on multiple serverseventhough the all the jobs can be exectuted by a sin-gle server. This forces us to explore techiques throughwhich multiple small jobs running on individual ma-chines can be aggregated and run on a single machine.Virtualization is a technique to increase the utilizationof servers through the deployment of muliple virtualmachines (that can run multiple tasks). Hence throughintelligent and dynamic resource provisioning we canimprove the overall efficiency and reduce power con-sumption in datacenters.

    Optical transceivers consumes a large proportion of thepower from the compute network infrastructure. The majorreason for this is due to the following :

    Transceiver electronics at high speed are MCMLbased which consume more power than their CMOScounterparts.

    Transceivers are designed to be Always ON tomaintain continuous synchronization resulting in aexcessive power wastage

    In Context, Ya et al proposes a possible solution to over-come this problem by proposing a physical layer burst modeprotocol and by clock gating at MAC layer. By exploitingclock gating, high power consuming MCML components canbe disabled during periods of idle activity.

    X. INTERCONNECT NETWORK

    The interconnect network acts as like the central nervoussystem in the human body. It provides the vital link for con-necting the computing nodes in one rack with the others and forconnecting the computer infrastructure to the storage network.The main components in the interconnect network are thecabling infrastructure (containing a mixture of copper cables,MMF and SMF) and the switching infrastructure (consistingof the TOR switch, aggregation switch and the core switches).

    Ever increasing demand for high performance interconnectsin data centers is putting a lot of strain on the currentelectrical interconnects. Higher throughput can be achievedby increasing the data-rate per channel and by increasing thenumber of channels.

    Since computing application requires higher performanceie, ability to carry a large amount of data with lower penalty.Due to the various reasons described in introduction (citehere), electrical links are not able to handle this performancerequirements. This has resulted in considering other candidateslike optical links that are seen an a potential replacement fortheir elecrical counterparts.

    A. High bandwidth

    Increasing bandwidth in point to point networks involvesimporoving the fiber infrastructure or using advance multi-levelmodulation schemes. But interconnect networks do not havededicated point to point links connecting two nodes insteadthey are connected through switches (for scalability and costreasons). Hence there are two important factors that needs tobe considered for increasing the bandwidth of the interconnectnetworks: the first is scaling up the bandwidth of the cablinginfrastructure to push higher data rates, and the second is theneed for faster processing of the data at the switches.

    Scaling up the bandwidth of cabling infrastructure:The servers at the edge of the datacenters are con-nected to the top of rack switch through 1G links,but with growing traffic demands most of the data-center operators are replacing the 1G fibers with 10Gfibers that connect to the TOR switch. Increasing thebandwidth in the edges requires sufficient bandwidthoverprovisioning at the aggregation and core levels.From the aspect of increasing the bandwidth of thecabling infrastructure within the interconnect networkthe following trends are observed:

    Increased deployment of SMF in DCN Adoption of Multi level Modulation Exploiting WDM technology Usage of active optical cable

    Faster processing at the switches:We need to have faster processing at the switchesto handle the increasing data rates provided by theservers through the cabling infrastructure. There aremany techniques to achieve this, some of them are:exploring high speed switching architectures, scal-able and programmable switching fabric [89], highradix switches [90], using hybrid switching techniques[4][91], building novel optical switching subsystems[92], novel scheduling mechanism [93], efforts to-wards all optical swiching [94].Most of the solution till date tries to use Optical packetswitching (OPS) to deal with shorter flows and Opticalcircuit switching (OCS) for handling longer flows.Some of the implmentation challenges include rapidlydetermining the flow type and fast scheduling.

    B. Low latency

    Latency and jitter are dependent on the network topologyand traffic conditions. Understanding the latency profile of theDC network is very challenging, partly due to the variety ofapplications running in the datacenter.But knowledge of net-work latency can be very useful to do latency optimization andlatency engineering in datacenters. This section will discussabout the various components that constitute the latency in theinterconnect network and how the deployment of optics willaffect the latency.

    Latency is introduced in the network is due to buffering,propagation, switching, queuing and processing delays. Thesources of latency in interconnect networks are:

    network interface delays: This mainly includes se-rialization delays like signal modulation and data

  • Fig. 13. Latency split-up across two nodes in a Data center

    framing (packetization). The interface delay dependson the size of transmitted packets and varies withlink bandwidth: it is equal to the size of the data-unitdivided by bandwidth. There has been some researchin exploring architectures of physical hardware andcircuits (like Serdes) for 100 Gbps systems [95]. Low-ering the network interface delays for higher bit ratescan be very challenging because of the requirementsof physical layer hardware and circuits (like Serdes).

    signal propagation delays: This is the time taken forthe signals to travel through the physical cables. Eventhough optical fibers have enabled high bandwidthtransmission, they have compromised in latency due totheir lower propogation speed (31 percentage slower)in silicon based glass fibers compared to vaccum. Re-searchers in [96] experimentally demonstrated a fibre-based wavelength division multiplexed data transmis-sion at close to (99.7 percent) the speed of light invacuum. These results are a promising step towardsthe realization of low latency optical communication(at the speed of light) for future data centers.

    router and switching delays: It is the time taken toswitch the packets from ingress ports to egress ports.This delay includes the time taken for serializationat interfaces, look-up and forwarding. The delay ishighly dependent on the switching architecture andtheir implementation. Below we discuss two of thepopular types of electrial switches:

    Store and forward: These types of switcheswaits for the entire packet to arrive beforeswitching the data to the next node towardsits destination. These types of switches havehigher latency but they support larger portcounts.

    Cut-through switches: Cut-through switches donot wait for the completion of the packet, theystart switching the bits as soon as they knowthe destination. These are low latency switchesbut they have lower port count.

    Due to implementation complexities, current electicalswitches use complicated input queuing structures(like Virtual Output Queue (VOQ)) and multistage ar-bitration mechanism. The above architecuture cannot

    scale for higher bit rate communications. Authors in[97] demonstrate an Arrayed waveguide grating router(AWGR) switching fabric that overcomes the limita-tion of the electrical switches exploiting wavelengthparallelism. This allows optical wavelengths to crossover and propagate in parallel.

    queuing delays: Queuing delay occurs in electricalswitches and routers when packets from differentingress ports are heading to the same egress portconcurrently. Since only one packet can be transmittedat a time from the egress port, the other packets mustbe queued for sequential transmission. This resourcecontention is called head-of-line blocking and thequeuing can lead to substantial latency.Absence of optical memories forces us to ex-plore novel scheduling techniques for optical packetswitched networks [14]. Hybrid switching techniques[4][91] is another alternative but this uses electricalswitches (for packet switching) along with opticalswitches (for circuit switching).

    For a intra-data center environment, assuming short dis-tance optical cables are used, the most dominant cause ofnetwork latency are the router and switching delays, queuingdelays and the serialization delays.

    C. Energy efficiency

    Fig. 14. Power consumption split-up across two nodes in a Data center

    XI. STORAGE NETWORK

    The storage network is an important part of the data centerinfrastructure as it hosts most of the user data. With thegrowing user base of the internet, the access rate, bandwidthand the utilization of these storage network is increasingtremendously.

    A. High bandwidth

    The following are the biggest driver for cheap high band-width optical interconnects.

    To handle the increasing data rates in electronic inter-connects, the copper traces needs to be densely packedand also increase the number of traces. The maximumbandwidth that can be achieved by densely packing

  • Fig. 15. Optical storage unit (source Xyratek [98])

    copper traces is limited by the effects of electro-magnetic interference between adjacent copper traces,resistive loss (due to skin effect) and dielectric loss ofthe medium. This in turn increases the cost and lowersscalability of the storage network based on electronicinterconnnects.

    The data center is also migrating from being a modularand over provisioned system to newer architecturesbased on disaggregation of datacenter resources. Thisrequires a dense network interconnecting differentresources.

    There is also growing interest and research activities inthe development of optics enabled data storage systems usingembedded optical interconnects for data centers [98].

    Figure 15 shows the block diagram of an futuristic opticalstorage unit that uses optical backplanes (active and passive),optical mid-board transceiver and optical edge transceiversfor high bandwidth communication. They also have passivecopper interconnects for low speed communication at lowerpower consumption. Authors in [99] developed and success-fully demonstrated an active pluggable optical PCB connectorsolution, which will allow peripheral devices to plug into andunplug from an electro-optical midplane with embedded MMpolymer waveguides. Industry expects that embedded photonicinterconnect will be adopted in data centers by 2016.

    The optics based storage unit can have the followingbenefits:

    send data further higher density higher link bandwidth (WDM, QAM) advance passive and active structures (SoC, MZI,

    MMI)

    No RFI/EMI from waveguides lower power consumption small form factor (better footprint, reduced pcb mate-

    rials)

    Beyond the changing of the Optically enabled data centerstorage: Along with the replacement of the copper with opticaltransceiver and fibers, there is a need for fundamental changesto the storage protocols (Optical SAS). One of the biggestchallenges in protocol challenges will be the transition fromOut Of Band (OOB) to optical OOB signalling in SASprotocol.

    Developed an optically interconnected system, but tryingto validate them in a commercial environment. Performancemetric of the data storage system is the number of errorfree reads and writes as we vary the block sizes. Where canoptics makes a difference? expander/controller to disk spaceis increased (room size), data rates are high (6G) then coppercannot handle it.

    B. Low latency

    Optics provides certain latency advantages but this can begreatly improved in the storage networks with the use of rightstorage medium. Flash based memory is one of the promisingsolution that can provide the lowest latencies when integratedwith optics. IDC study [100] [101] provides detailed insightsinto the rapidly growing market for enterprise storage systemsthat leverage flash storage media.

    All-flash data centers can provide a lot of advantages [102]

    Low latency (hence faster I/O workarounds and re-sponse times)

    No need for traditional layer of overprovisioning andcaching

    Consumes lower power compared to SSD based solu-tions.

    Occupies lower floor space compared to SSD basedsolution.

    New sources of revenue Elimination of slow I/O workarounds Improved application response times Simplified operations Lower capital costs

    C. Energy efficiency

    Replacing active edge board transceivers will passive com-ponents, there by reducing the density.

    XII. OTHER APPLICATIONS

    REFERENCES

    [1] Plexxi, Key consideration for selecting data center fiber cabling,2013, http://bit.ly/1DcqW3i.

    [2] C. G. C. I. . . 2018), Cisco global cloud index: Forecast andmethodology, 20132018, 2014, http://www.cisco.com/c/en/us/solutions/collateral/service-provider/global-cloud-index-gci/CloudIndex White Paper.html.

    [3] A. Vahdat, M. Al-Fares, N. Farrington, R. Mysore, G. Porter, andS. Radhakrishnan, Scale-out networking in the data center, Micro,IEEE, vol. 30, no. 4, pp. 2941, July 2010.

  • [4] N. Farrington, G. Porter, S. Radhakrishnan, H. H. Bazzaz,V. Subramanya, Y. Fainman, G. Papen, and A. Vahdat, Helios: Ahybrid electrical/optical switch architecture for modular data centers,in Proceedings of the ACM SIGCOMM 2010 Conference, ser.SIGCOMM 10. New York, NY, USA: ACM, 2010, pp. 339350.[Online]. Available: http://doi.acm.org/10.1145/1851182.1851223

    [5] D. Lee, Network evolution from a web company point of view, inSummer Topical Meeting, 2009. LEOSST 09. IEEE/LEOS, 2009, pp.133134.

    [6] S. M. Rumble, D. Ongaro, R. Stutsman, M. Rosenblum, andJ. K. Ousterhout, Its time for low latency, in Proceedingsof the 13th USENIX Conference on Hot Topics in OperatingSystems, ser. HotOS13. Berkeley, CA, USA: USENIX Association,2011, pp. 1111. [Online]. Available: http://dl.acm.org/citation.cfm?id=1991596.1991611

    [7] S. Kandula, S. Sengupta, A. Greenberg, P. Patel, and R. Chaiken, Thenature of data center traffic: Measurements & analysis, in Proceedingsof the 9th ACM SIGCOMM Conference on Internet MeasurementConference, ser. IMC 09. New York, NY, USA: ACM, 2009, pp. 202208. [Online]. Available: http://doi.acm.org/10.1145/1644893.1644918

    [8] T. Benson, A. Anand, A. Akella, and M. Zhang, Understandingdata center traffic characteristics, SIGCOMM Comput. Commun.Rev., vol. 40, no. 1, pp. 9299, Jan. 2010. [Online]. Available:http://doi.acm.org/10.1145/1672308.1672325

    [9] T. Benson, A. Akella, and D. A. Maltz, Network traffic characteristicsof data centers in the wild, in Proceedings of the 10th ACMSIGCOMM Conference on Internet Measurement, ser. IMC 10.New York, NY, USA: ACM, 2010, pp. 267280. [Online]. Available:http://doi.acm.org/10.1145/1879141.1879175

    [10] J. Dean and L. A. Barroso, The tail at scale, Commun.ACM, vol. 56, no. 2, pp. 7480, Feb. 2013. [Online]. Available:http://doi.acm.org/10.1145/2408776.2408794

    [11] D. Abts, M. R. Marty, P. M. Wells, P. Klausler, and H. Liu, Energyproportional datacenter networks, in Proceedings of the 37th AnnualInternational Symposium on Computer Architecture, ser. ISCA 10.New York, NY, USA: ACM, 2010, pp. 338347. [Online]. Available:http://doi.acm.org/10.1145/1815961.1816004

    [12] A. Krishnamoorthy, R. Ho, X. Zheng, H. Schwetman, J. Lexau,P. Koka, G. Li, I. Shubin, and J. Cunningham, Computer systemsbased on silicon photonic interconnects, Proceedings of the IEEE,vol. 97, no. 7, pp. 13371361, July 2009.

    [13] D. Monroe, Still seeking the optical transistor, Commun. ACM,vol. 57, no. 10, pp. 1315, Sep. 2014. [Online]. Available:http://doi.acm.org/10.1145/2659760

    [14] M. Glick, Optical interconnects in next generation data centers: Anend to end view, in High Performance Interconnects, 2008. HOTI 08.16th IEEE Symposium on, 2008, pp. 178181.

    [15] J. Gustavsson, sa Haglund, P. Westbergh, K. Szczerba, B. Kgel, andA. Larsson, High-speed lasers for future optical interconnects, spienewsroom, 2010, http://spie.org/x39544.xml#B1.

    [16] Y.-C. Chang, C. Wang, and L. Coldren, High-efficiency, high-speedvcsels with 35 gbit=s error-free operation, Electronics Letters, vol. 43,no. 19, pp. 10221023, September 2007.

    [17] T. Anan, N. Suzuki, K. Yashiki, K. Fukatsu, H. Hatakeyama, T. Ak-agawa, K. Tokutome, and M. Tsuji, High-speed 1.1- um-rangeingaas vcsels, in Optical Fiber communication/National Fiber OpticEngineers Conference, 2008. OFC/NFOEC 2008. Conference on, Feb2008, pp. 13.

    [18] B. Hawkins, I. Hawthorne, R.A., J. Guenter, J. Tatum, and J. Biard,Reliability of various size oxide aperture vcsels, in ElectronicComponents and Technology Conference, 2002. Proceedings. 52nd,2002, pp. 540550.

    [19] D. Kuchta, A. Rylyakov, C. Schow, J. Proesel, C. Baks, P. Westbergh,J. Gustavsson, and A. Larsson, 64gb/s transmission over 57m mmfusing an nrz modulated 850nm vcsel, in Optical Fiber Communica-tions Conference and Exhibition (OFC), 2014, March 2014, pp. 13.

    [20] F. Koyama, Recent advances of vcsel photonics, Lightwave Technol-ogy, Journal of, vol. 24, no. 12, pp. 45024513, Dec 2006.

    [21] A. Boletti, P. Boffi, P. Martelli, M. Ferrario, and M. Martinelli,Performance analysis of communication links based on vcsel

    and silicon photonics technology for high-capacity data-intensivescenario, Opt. Express, vol. 23, no. 2, pp. 18061815, Jan 2015.[Online]. Available: http://www.opticsexpress.org/abstract.cfm?URI=oe-23-2-1806

    [22] H. Park, A. Fang, S. Kodama, and J. Bowers, Hybrid siliconevanescent laser fabricated with a silicon waveguide and iii-v offsetquantum wells, Opt. Express, vol. 13, no. 23, pp. 94609464, Nov2005. [Online]. Available: http://www.opticsexpress.org/abstract.cfm?URI=oe-13-23-9460

    [23] M. Lamponi, S. Keyvaninia, C. Jany, F. Poingt, F. Lelarge, G. de Vali-court, G. Roelkens, D. Van Thourhout, S. Messaoudene, J.-M. Fedeli,and G.-H. Duan, Low-threshold heterogeneously integrated inp/soilasers with a double adiabatic taper coupler, Photonics TechnologyLetters, IEEE, vol. 24, no. 1, pp. 7678, Jan 2012.

    [24] J. V. Campenhout, P. R. Romeo, P. Regreny, C. Seassal, D. V.Thourhout, S. Verstuyft, L. D. Cioccio, J.-M. Fedeli, C. Lagahe,and R. Baets, Electrically pumped inp-based microdisk lasersintegrated with a nanophotonic silicon-on-insulator waveguidecircuit, Opt. Express, vol. 15, no. 11, pp. 67446749, May 2007.[Online]. Available: http://www.opticsexpress.org/abstract.cfm?URI=oe-15-11-6744

    [25] D. Kuchta, A. Rylyakov, C. Schow, J. Proesel, F. Doany, C. W. Baks,B. Hamel-Bissell, C. Kocot, L. Graham, R. Johnson, G. Landry,E. Shaw, A. MacInnes, and J. Tatum, A 56.1gb/s nrz modulated850nm vcsel-based optical link, in Optical Fiber CommunicationConference/National Fiber Optic Engineers Conference 2013. OpticalSociety of America, 2013, p. OW1B.5. [Online]. Available:http://www.opticsinfobase.org/abstract.cfm?URI=OFC-2013-OW1B.5

    [26] A. W. Fang, H. Park, O. Cohen, R. Jones, M. J. Paniccia, andJ. E. Bowers, Electrically pumped hybrid algainas-silicon evanescentlaser, Opt. Express, vol. 14, no. 20, pp. 92039210, Oct 2006.[Online]. Available: http://www.opticsexpress.org/abstract.cfm?URI=oe-14-20-9203

    [27] G. Fish and D. Sparacin, Enabling flexible datacenter interconnectnetworks with wdm silicon photonics, in Custom Integrated CircuitsConference (CICC), 2014 IEEE Proceedings of the, Sept 2014, pp.16.

    [28] C. Zhang, S. Srinivasan, Y. Tang, M. J. R. Heck, M. L.Davenport, and J. E. Bowers, Low threshold and high speed shortcavity distributed feedback hybrid silicon lasers, Opt. Express,vol. 22, no. 9, pp. 10 20210 209, May 2014. [Online]. Available:http://www.opticsexpress.org/abstract.cfm?URI=oe-22-9-10202

    [29] B. Koch, E. Norberg, B. Kim, J. Hutchinson, J.-H. Shin, G. Fish, andA. Fang, Integrated silicon photonic laser sources for telecom anddatacom, in Optical Fiber Communication Conference and Expositionand the National Fiber Optic Engineers Conference (OFC/NFOEC),2013, March 2013, pp. 13.

    [30] M. Rowe, The next generations modulation: Pam-4, nrz, or enrz?2014, http://www.edn.com/design/test-and-measurement/4429498/The-next-generation-s-modulation--PAM-4--NRZ--or-ENRZ-.

    [31] W. Bludau, A. Onton, and W. Heinke, Temperature dependence ofthe band gap of silicon, Journal of Applied Physics, vol. 45, no. 4,pp. 18461848, 1974.

    [32] F. Y. Huang and K. L. Wang, Normalincidence epitaxial sigecphotodetector near 1.3 m wavelength grown on si substrate,Applied Physics Letters, vol. 69, no. 16, pp. 23302332, 1996.[Online]. Available: http://scitation.aip.org/content/aip/journal/apl/69/16/10.1063/1.117514

    [33] G. Masini, L. Colace, G. Assanto, H.-C. Luan, K. Wada, and L. Kimer-ling, High responsitivity near infrared ge photodetectors integrated onsi, Electronics Letters, vol. 35, no. 17, pp. 14671468, 1999.

    [34] G. Masini, L. Colace, G. Assanto, H. Luan, and L. Kimerling, Ger-manium on silicon pin photodiodes for the near infrared, ElectronicsLetters, vol. 36, no. 25, pp. 20952096, 2000.

    [35] P. Chaisakul, D. Marris-Morini, G. Isella, D. Chrastina, X. Le Roux,S. Edmond, E. Cassan, J.-R. Coudevylle, and L. Vivien, Ge/sigemultiple quantum well photodiode with 30 ghz bandwidth, AppliedPhysics Letters, vol. 98, no. 13, pp. 131 112131 1123, Mar 2011.

    [36] F. Xia, T. Mueller, Y.-m. Lin, A. Valdes-Garcia, and P. Avouris,Ultrafast graphene photodetector, Nature nanotechnology, vol. 4,no. 12, pp. 839843, 2009.

  • [37] X. Wang, Z. Cheng, K. Xu, H. K. Tsang, and J.-B. Xu, High-responsivity graphene/silicon-heterostructure waveguide photodetec-tors, Nature Photonics, 2013.

    [38] L. Components, Germanium Detectors and Position Sensors, ISO9001.

    [39] O. Optoelectronics, High Speed Silicon Photodiodes.[40] M. Geis, S. Spector, M. Grein, R. Schulein, J. Yoon, D. Lennon,

    S. Deneault, F. Gan, F. Kaertner, and T. Lyszczarz, Cmos-compatibleall-si high-speed waveguide photodiodes with high responsivity innear-infrared communication band, Photonics Technology Letters,IEEE, vol. 19, no. 3, pp. 152154, Feb 2007.

    [41] M. J. Connelly, Semiconductor optical amplifiers. Springer, 2002.[42] R. Bonk, Linear and Nonlinear Semiconductor Optical Amplifier for

    Next-Generation Optical Networks, ser. Karlsruhe Series in Photonicsand Communications / Karlsruhe Institute of Technology. KITScientific Publishing, April 2013, vol. 8.

    [43] B. Mersali, G. Gelly, A. Accard, J.-L. Lafragette, P. Doussiere,M. Lambert, and B. Fernier, 1.55 mu m high-gain polarisation-insensitive semiconductor travelling wave amplifier with low drivingcurrent, Electronics Letters, vol. 26, no. 2, pp. 124125, Jan 1990.

    [44] K. Magari, M. Okamoto, H. Yasaka, K. Sato, Y. Noguchi, andO. Mikami, Polarization insensitive traveling wave type amplifierusing strained multiple quantum well structure, Photonics TechnologyLetters, IEEE, vol. 2, no. 8, pp. 556558, Aug 1990.

    [45] T. Akiyama, M. Sugawara, and Y. Arakawa, Quantum-dot semicon-ductor optical amplifiers, Proceedings of the IEEE, vol. 95, no. 9, pp.17571766, Sept 2007.

    [46] H. Wang, E. T. Aw, M. Xia, M. G. Thompson, R. V. Penty, and I. H.White, Temperature independent optical amplification in uncooledquantum dot optical amplifiers, in Optical Fiber CommunicationConference. Optical Society of America, 2008, p. OTuC2.

    [47] R. Brenot, M. Manzanedo, J.-G. Provost, O. Legouezigou, F. Pom-mereau, F. Poingt, L. Legouezigou, E. Derouin, O. Drisse, B. Rousseauet al., Chirp reduction in quantum dot-like semiconductor opticalamplifiers, ECOC 2007, 2007.

    [48] S. Pathak, M. Vanslembrouck, P. Dumon, D. Van Thourhout, andW. Bogaerts, Optimized silicon awg with flattened spectral responseusing an mmi aperture, Lightwave Technology, Journal of, vol. 31,no. 1, pp. 8793, Jan 2013.

    [49] A. Liu, L. Liao, Y. Chetrit, J. Basak, H. Nguyen, D. Rubin, andM. Paniccia, Wavelength division multiplexing based photonic in-tegrated circuits on silicon-on-insulator platform, Selected Topics inQuantum Electronics, IEEE Journal of, vol. 16, no. 1, pp. 2332, Jan2010.

    [50] S. Park, K.-J. Kim, I.-G. Kim, and G. Kim, Si micro-ring mux/demuxwdm filters, Opt. Express, vol. 19, no. 14, pp. 13 53113 539, Jul2011. [Online]. Available: http://www.opticsexpress.org/abstract.cfm?URI=oe-19-14-13531

    [51] S. Janz, A. Balakrishnan, S. Charbonneau, P. Cheben, M. Cloutier,A. Delage, K. Dossou, L. Erickson, M. Gao, P. Krug, B. Lamontagne,M. Packirisamy, M. Pearson, and D.-X. Xu, Planar waveguide echellegratings in silica-on-silicon, Photonics Technology Letters, IEEE,vol. 16, no. 2, pp. 503505, Feb 2004.

    [52] Ciena, Dwdm vs cwdm, 2010, http://www.ciena.com/technology/dwdm-vs-cwdm/.

    [53] H. Liu, C. Lam, and C. Johnson, Scaling optical interconnectsin datacenter networks opportunities and challenges for wdm, inHigh Performance Interconnects (HOTI), 2010 IEEE 18th AnnualSymposium on, Aug 2010, pp. 113116.

    [54] D. Qian, M.-F. Huang, E. Ip, Y.-K. Huang, Y. Shao, J. Hu, andT. Wang, High capacity/spectral efficiency 101.7-tb/s wdm trans-mission using pdm-128qam-ofdm over 165-km ssmf within c- andl-bands, Lightwave Technology, Journal of, vol. 30, no. 10, pp. 15401548, May 2012.

    [55] A. Sano, T. Kobayashi, S. Yamanaka, A. Matsuura, H. Kawakami,Y. Miyamoto, K. Ishihara, and H. Masuda, 102.3-tb/s (224 x 548-gb/s) c- and extended l-band all-raman transmission over 240 km usingpdm-64qam single carrier fdm with digital pilot tone, in Optical FiberCommunication Conference and Exposition (OFC/NFOEC), 2012 andthe National Fiber Optic Engineers Conference, March 2012, pp. 13.

    [56] J. Sakaguchi, B. Puttnam, W. Klaus, Y. Awaji, N. Wada, A. Kanno,T. Kawanishi, K. Imamura, H. Inaba, K. Mukasa, R. Sugizaki,T. Kobayashi, and M. Watanabe, 305 tb/s space division multiplexedtransmission using homogeneous 19-core fiber, Lightwave Technol-ogy, Journal of, vol. 31, no. 4, pp. 554562, Feb 2013.

    [57] R.-J. Essiambre, R. Ryf, N. Fontaine, and S. Randel, Breakthroughsin photonics 2012: Space-division multiplexing in multimode andmulticore fibers for high-capacity optical communication, PhotonicsJournal, IEEE, vol. 5, no. 2, pp. 0 701 3070 701 307, April 2013.

    [58] R. Ramaswami, K. Sivarajan, and G. Sasaki, Optical networks: apractical perspective. Morgan Kaufmann, 2009.

    [59] R. Bonk, T. Vallaitis, J. Guetlein, C. Meuer, H. Schmeckebier, D. Bim-berg, C. Koos, W. Freude, and J. Leuthold, The input power dynamicrange of a semiconductor optical amplifier and its relevance for accessnetwork applications, Photonics Journal, IEEE, vol. 3, no. 6, pp.10391053, 2011.

    [60] A. Dugan, L. Lightworks, and J. Chiao, The optical switching spec-trum: A primer on wavelength switching technologies, Telecommun.Mag, no. 5, 2001.

    [61] T. E. Stern and K. Bala, Multiwavelength optical networks, Addison-Wesley, EUA, 1999.

    [62] P. B. Chu, C. Lee, and S. Park, Mems: the path to large opticalcrossconnects, Communications Magazine, IEEE, vol. 40, no. 3, pp.8087, 2002.

    [63] K. Sakuma, H. Ogawa, D. Fujita, and H. Hosoya, Polymer y-branching thermo-optic switch for optical fiber communication sys-tems, in The 8th Microoptics Conf.(MOC01), Osaka, Japan, 2001.

    [64] J. Sapriel, D. Charissoux, V. Voloshinov, and V. Molchanov, Tunableacoustooptic filters and equalizers for wdm applications, Journal oflightwave technology, vol. 20, no. 5, p. 864, 2002.

    [65] G. I. Papadimitriou, C. Papazoglou, A. S. Pomportsis et al., Opticalswitching: switch fabrics, techniques, and architectures, Journal oflightwave technology, vol. 21, no. 2, p. 384, 2003.

    [66] S. Bregni, G. Guerra, and A. Pattavina, State of the art of opti-cal switching technology for all-optical networks, CommunicationsWorld, 2001.

    [67] M. Petracca, B. Lee, K. Bergman, and L. Carloni, Photonic nocs:System-level design exploration, Micro, IEEE, vol. PP, no. 99, pp.11, 2009.

    [68] Q. Xu and M. Lipson, All-optical logic based on silicon micro-ringresonators, Optics Express, vol. 15, no. 3, pp. 924929, 2007.

    [69] S. C. Nicholes, M. L. Masanovic, B. Jevremovic, E. Lively, L. A.Coldren, and D. J. Blumenthal, An 8 8 inp monolithic tunable opticalrouter (motor) packet forwarding chip, Lightwave Technology, Journalof, vol. 28, no. 4, pp. 641650, 2010.

    [70] A. Husain, Optical interconnect of digital integrated circuits andsystems, in 1984 Los Angeles Techincal Symposium. InternationalSociety for Optics and Photonics, 1984, pp. 1020.

    [71] D. Vantrease, R. Schreiber, M. Monchiero, M. McLaren, N. Jouppi,M. Fiorentino, A. Davis, N. Binkert, R. Beausoleil, and J. Ahn,Corona: System implications of emerging nanophotonic technology,in Computer Architecture, 2008. ISCA 08. 35th International Sympo-sium on, June 2008, pp. 153164.

    [72] J. Kim, W. Dally, S. Scott, and D. Abts, Technology-driven, highly-scalable dragonfly topology, in Computer Architecture, 2008. ISCA08. 35th International Symposium on, June 2008, pp. 7788.

    [73] Y. Pan, P. Kumar, J. Kim, G. Memik, Y. Zhang, and A. Choudhary,Firefly: illuminating future network-on-chip with nanophotonics,ACM SIGARCH Computer Architecture News, vol. 37, no. 3, pp. 429440, 2009.

    [74] Y. Pan, J. Kim, and G. Memik, Flexishare: Channel sharing for anenergy-efficient nanophotonic crossbar, in High Performance Com-puter Architecture (HPCA), 2010 IEEE 16th International Symposiumon, Jan 2010, pp. 112.

    [75] N. Dupuis, B. Lee, J. Proesel, A. Rylyakov, R. Rimolo-Donadio,C. Baks, C. Schow, A. Ramaswamy, J. Roth, R. Guzzon, B. Koch,D. Sparacin, and G. Fish, 30gbps optical link utilizing heteroge-neously integrated iii-v/si photonics and cmos circuits, in Opti-cal Fiber Communications Conference and Exhibition (OFC), 2014,March 2014, pp. 13.

  • [76] D. Miller, Device requirements for optical interconnects to siliconchips, Proceedings of the IEEE, vol. 97, no. 7, pp. 11661185, July2009.

    [77] R. Soref, Silicon photonics technology: past, present, and future, pp.1928, 2005. [Online]. Available: http://dx.doi.org/10.1117/12.585284

    [78] G. H. Duan, C. Jany, A. L. Liepvre, A. A. P. Kaspar, A. Shen,P. Charbonnier, F. Mallecot, F. Lelarge, J.-L. Gentner, S. Olivier,S. Malhouitre, and C. Kopp, Hybrid wavelength-tunable iii-v laserson silicon, spie newsroom, 2014, http://bit.ly/1D8uT9e.

    [79] The ipkiss design framework, 2014, http://www.lucedaphotonics.com.

    [80] Optodesigner 5, 2014, http://www.phoenixbv.com/product.php?submenu=dfa&subsubmenu=3&prdgrpID=3.

    [81] Photonic ic design, 2014, http://www.brightphotonics.eu/.[82] Y. Urino, T. Shimizu, M. Okano, N. Hatori, M. Ishizaka, T. Yamamoto,

    T. Baba, T. Akagawa, S. Akiyama, T. Usuki, D. Okamoto,M. Miura, M. Noguchi, J. Fujikata, D. Shimura, H. Okayama,T. Tsuchizawa, T. Watanabe, K. Yamada, S. Itabashi, E. Saito,T. Nakamura, and Y. Arakawa, First demonstration of high densityoptical interconnects integrated with lasers, optical modulators,and photodetectors on single silicon substrate, Opt. Express,vol. 19, no. 26, pp. B159B165, Dec 2011. [Online]. Available:http://www.opticsexpress.org/abstract.cfm?URI=oe-19-26-B159

    [83] Y. Urino, Y. Noguchi, M. Noguchi, M. Imai, M. Yamagishi,S. Saitou, N. Hirayama, M. Takahashi, H. Takahashi, E. Saito,M. Okano, T. Shimizu, N. Hatori, M. Ishizaka, T. Yamamoto,T. Baba, T. Akagawa, S. Akiyama, T. Usuki, D. Okamoto,M. Miura, J. Fujikata, D. Shimura, H. Okayama, H. Yaegashi,T. Tsuchizawa, K. Yamada, M. Mori, T. Horikawa, T. Nakamura,and Y. Arakawa, Demonstration of 12.5-gbps optical interconnectsintegrated with lasers, optical splitters, optical modulators andphotodetectors on a single silicon substrate, Opt. Express, vol. 20,no. 26, pp. B256B263, Dec 2012. [Online]. Available: http://www.opticsexpress.org/abstract.cfm?URI=oe-20-26-B256

    [84] R. Hult, Mid-board optical transceivers light up, 2014, http://www.connectorsupplier.com/mid-board-optical-transceivers-light-up/.

    [85] C. EOS, icphotonics, 2014, http://compass-eos.com/product/overview-2/.

    [86] N. Bamiedakis, A. Hashim, R. Penty, and I. White, A 40 gb/s opticalbus for optical backplane interconnections, Lightwave Technology,Journal of, vol. 32, no. 8, pp. 15261537, April 2014.

    [87] P. Zabinski, B. Gilbert, and E. Daniel, Coming challenges withterabit-per-second data communication, Circuits and Systems Mag-azine, IEEE, vol. 13, no. 3, pp. 1020, thirdquarter 2013.

    [88] I. Young, E. Mohammed, J. Liao, A. Kern, S. Palermo, B. Block,M. Reshotko, and P. Chang, Optical technology for energy effi-cient i/o in high performance computing, Communications Magazine,IEEE, vol. 48, no. 10, pp. 184191, October 2010.

    [89] Z. Zhu, S. Zhong, L. Chen, and K. Chen, Fully programmableand scalable optical switching fabric for petabyte data center, Opt.Express, vol. 23, no. 3, pp. 35633580, Feb 2015. [Online]. Available:http://www.opticsexpress.org/abstract.cfm?URI=oe-23-3-3563

    [90] N. Binkert, A. Davis, N. Jouppi, M. McLaren, N. Muralimanohar,R. Schreiber, and J. H. Ahn, The role of optics in future high radixswitch design, in Computer Architecture (ISCA), 2011 38th AnnualInternational Symposium on, June 2011, pp. 437447.

    [91] G. Wang, D. G. Andersen, M. Kaminsky, K. Papagiannaki,T. E. Ng, M. Kozuch, and M. Ryan, c-through: part-timeoptics in data centers, SIGCOMM Comput. Commun. Rev.,vol. 41, no. 4, pp. , Aug. 2010. [Online]. Available: http://dl.acm.org/citation.cfm?id=2043164.1851222

    [92] Y. J. Liu, P. X. Gao, B. Wong, and S. Keshav, Quartz: Anew design element for low-latency dcns, in Proceedings of the2014 ACM Conference on SIGCOMM, ser. SIGCOMM 14. NewYork, NY, USA: ACM, 2014, pp. 283294. [Online]. Available:http://doi.acm.org/10.1145/2619239.2626332

    [93] S. Liu, Q. Cheng, M. R. Madarbux, A. Wonfor, R. V. Penty,I. H. White, and P. M. Watts, Low latency optical switch forhigh performance computing with minimized processor energy load(invited), J. Opt. Commun. Netw., vol. 7, no. 3, pp. A498A510,

    Mar 2015. [Online]. Available: http://jocn.osa.org/abstract.cfm?URI=jocn-7-3-A498

    [94] Q. Cheng, A. Wonfor, J. Wei, R. V. Penty, and I. White, Modularhybrid dilated mach-zehnder switch with integrated soas for largeport count switches, in Optical Fiber Communication Conference.Optical Society of America, 2014, p. W4C.6. [Online]. Available:http://www.opticsinfobase.org/abstract.cfm?URI=OFC-2014-W4C.6

    [95] C. Hermsmeyer, H. Song, R. Schlenk, R. Gemelli, and S. Bunse,Towards 100g packet processing: Challenges and technologies, BellLabs Technical Journal, vol. 14, no. 2, pp. 5779, 2009. [Online].Available: http://dx.doi.org/10.1002/bltj.20373

    [96] F. Poletti, N. Wheeler, M. Petrovich, N. Baddela, E. N. Fokoua,J. Hayes, D. Gray, Z. Li, R. Slavk, and D. Richardson, Towards high-capacity fibre-optic communications at the speed of light in vacuum,Nature Photonics, vol. 7, no. 4, pp. 279284, 2013.

    [97] Y. Yin, R. Proietti, X. Ye, C. Nitta, V. Akella, and S. Yoo, Lions: Anawgr-based low-latency optical switch for high-performance comput-ing and data centers, Selected Topics in Quantum Electronics, IEEEJournal of, vol. 19, no. 2, pp. 3 600 4093 600 409, March 2013.

    [98] R. Pitwon, Migration of embedded optical interconnect into data cen-tre systems, 2013, http://www.ecoc2013.org/docs/richard-pitwon.pdf.

    [99] R. Pitwon, K. Wang, J. Graham-Jones, I. Papakonstantinou, H. Bagh-siahi, B. Offrein, R. Dangel, D. Milward, and D. Selviah, Firstlight:Pluggable optical interconnect technologies for polymeric electro-optical printed circuit boards in data centers, Lightwave Technology,Journal of, vol. 30, no. 21, pp. 33163329, Nov 2012.

    [100] E. Burgener, I. Feng, J. Janukowicz, E. Sheppard, andN. Yezhkova, Worldwide all-flash array and hybridflash array 2014-2018 forecast and 1h14 vendor shares,2014, https://www.emc.com/collateral/analyst-reports/worldwide-all-flash-hybrid-flash-array-forcast-vendor-shares.pdf.

    [101] R. Villars and E. Burgener, Building data centers for todays data-driven economy: The role of flash, 2014, http://www.sandisk.com/assets/docs/flash-in-the-data-center-idc.pdf.

    [102] Violin, Why implement an all flash data center? 2014, http://www.violin-memory.com/blog/implement-flash-data-center/.

    INTRODUCTIONData center overviewWhere is the bottleneck ?Optical ComponentsOptical SourceModulatorReceiverAmplificationMultiplexer and DemultiplexerOptical SwitchPhotonic Network on chipPhotonic nano technologyPhotonic Architecture on-chip

    MemoriesOptical waveguide medium

    Materials and Fabrication TechnologySi basedIII-V on SOI