Osnovi digitalne elektronike - tnt.etf.bg.ac.rstnt.etf.bg.ac.rs/~of2ode/prezentacija.pdf · ETF,...

download Osnovi digitalne elektronike - tnt.etf.bg.ac.rstnt.etf.bg.ac.rs/~of2ode/prezentacija.pdf · ETF, Katedra za elektroniku Uvodno predavanje 3 13E042OD Digitalna elektronika Digitalna

If you can't read please download the document

Transcript of Osnovi digitalne elektronike - tnt.etf.bg.ac.rstnt.etf.bg.ac.rs/~of2ode/prezentacija.pdf · ETF,...

  • ETF, Katedra za elektroniku 13E042ODUvodno predavanje 1

    Osnovi digitalne elektronike

    Elektrotehniki fakultet, Univerzitet u Beogradu

  • ETF, Katedra za elektroniku 13E042ODUvodno predavanje 2

    13E042OD (3+1+1)

    Sajt predmeta: http://tnt.etf.bg.ac.rs/~of2ode

    Profesor: Jelena Popovi-Boovi ([email protected]), soba 103 konsultacije posle predavanja

    Asistent: Vladimir Petrovi ([email protected]), vebe na tabli, soba 20 Paviljon Raovi

  • ETF, Katedra za elektroniku 13E042ODUvodno predavanje 3

    Digitalna elektronika

    Digitalna kola su napravljena od istih poluprovodnikih elemenata kao analogna, ali su za analizu njihovog rada bitne samo odreene karakteristike Postoje ogranienja pri korienju digitalnih kola i

    za korisnika je vano da bude upoznat sa tim

    Digitalni sistemi su jednostavniji za projektovanje u odnosu na analogne sa priblino istim brojem elemenata Ali je u savremenim digitalnim sistemima broj

    elemenata veoma veliki i sve ih je tee projektovati

    Postoje softverski alati za projektovanje digitalnih sistema razliitog nivoa sloenosti

  • ETF, Katedra za elektroniku 13E042ODUvodno predavanje 4

    Razvoj tehnologije je omoguio da se kompleksni elektronski sistemi mogu projektovati na (jednom) ipu

    Specifikacije Projektovanje

    ?Fabrikacija ipa

    Savremeni digitalni sistemi

  • ETF, Katedra za elektroniku 13E042ODUvodno predavanje 5

    VHDL

    VHDL kod za 16-to bitni sabira ema sa logikim kolima za 16-to bitni sabira

    entity adder4 is port (signal a,b: in std_logic_vector (15 downto 0);signal cin: in std_logic;signal sum: out std_logic_vector(15 downto 0);signal cout: out std_logic);

    end adder4;architecture behavior of adder16 is

    signal c: std_logic_vector(16 downto 0);beginprocess (a,b,cin,c)

    beginc(0)

  • ETF, Katedra za elektroniku 13E042ODUvodno predavanje 6

    Imaju veoma velike mogunosti (mogu da sadre kompletna procesorska jezgra), lako se reprogramiraju, a cena im je relativno pristupana

    Embedded memories

    Hardwired multipliers

    Embedded PowerPc

    Programabilni ipovi: FPGA, CPLD

  • ETF, Katedra za elektroniku 13E042ODUvodno predavanje 7

    Brojni sistemi i kodovi Prekidaka algebra Sinhrona maina stanja Osnove HDL- Digitalni sklopovi Logika kola Pregled osnovnih impulsnih kola Kombinaciona kola Memorije (ROM, PROM, EPROM, EEPROM, FLASH, RAM elije i

    sistemi) /D i D/ konvertori Digitalni sistemi Celularna kola i kompleksne logike strukture FPGA, CPLD

    Program predmeta

  • ETF, Katedra za elektroniku 13E042ODUvodno predavanje 8

    Potrebno predznanje?

    Brojni sistemi i aritmetika

    Elementarno znanje analogneelektronike Poznavanje osnovnih karakteristika

    poluprovodnikih komponenata (dioda, BPT, MOSFET)

  • ETF, Katedra za elektroniku 13E042ODUvodno predavanje 9

    Ciljevi predmeta

    Sticanje osnovnih znanja o savremenim digitalnim elektronskim kolima i sistemima, sposobnost primene sa korisnikog aspekta

    Uvod u analizu i projektovanje osnovnihdigitalnih kola

    Upoznavanje programabilne digitalne logike i metodologije projektovanja koja se bazira na HDL jeziku

  • ETF, Katedra za elektroniku 13E042ODUvodno predavanje 10

    Predispitne obaveze i ispit

    Laboratorijske vebe koje su uslov za izlazak na ispit Uspeno odradjene vebe vae sve dok se ne poloi ispit Priznaju se studentima koji su ih odradili ranije

    1. Dekadni broja sa indikacijom brojanja laboratorija 39

    Cilj je da se studenti upoznaju sa osnovnimelementima jednog digitalnog sistema u praksi.

    Ova veba e moda biti promenjena u kolskoj 2016/17.

    2. Projektovanje hardvera na programa-bilnim komponentama korienjem VHDL jezika laboratorija 18 (paviljon Raovi)

    Cilj je da se u VHDL-u opie jednostavan sistem, sintetie hardver za FPGA ip i dizajn testira na razvojnoj ploi DE1-SoC.

  • ETF, Katedra za elektroniku 13E042ODUvodno predavanje 11

    Predispitne obaveze i ispit

    Polaganje ispita:

    1) Kolokvijum + Parcijalni ispit uslov je poloen kolokvijum

    2) Integralni ispit

    Zadaci i teorija (odnos 70:30 ili vie u korist zadataka)

    Osnovi digitalne elektronike 13E042OD (3+1+1) Digitalna elektronika Savremeni digitalni sistemiVHDLProgramabilni ipovi: FPGA, CPLD Program predmetaPotrebno predznanje?Ciljevi predmetaPredispitne obaveze i ispitPredispitne obaveze i ispit