Monolithic Silicon Photonics

22
Monolithic Silicon Photonics Vladimir Stojanović, Judy Hoyt, Rajeev Ram, Franz Kaertner, Karl Berggren, Martin Schmidt, Henry Smith, Erich Ippen, and Krste Asanović* Massachusetts Institute of Technology *University of California at Berkeley IAA Interconnection Network Workshop, July 22, 2008

Transcript of Monolithic Silicon Photonics

Page 1: Monolithic Silicon Photonics

Monolithic Silicon Photonics

Vladimir Stojanović, Judy Hoyt, Rajeev Ram,Franz Kaertner, Karl Berggren, Martin Schmidt,Henry Smith, Erich Ippen, and Krste Asanović*

Massachusetts Institute of Technology*University of California at Berkeley

IAA Interconnection Network Workshop, July 22, 2008

Page 2: Monolithic Silicon Photonics

Outline Monolithic silicon photonic technology

Waveguides, modulators, and detectors in standardbulk CMOS flow. (Compatible with commodity logictechnology and possibly DRAM technology).

Dense Wavelength-Division Multiplexing (DWDM)gives extremely high bandwidth density

Manycore Processor-DRAM interconnectarchitecture based on opto-electronic crossbar High-bandwidth, low-energy access to shared memory

system within processor module Around 10x improvement in bandwidth over optimistic

electrical system for power-constrained system

Page 3: Monolithic Silicon Photonics

Basic DWDM Photonic Link Path

Page 4: Monolithic Silicon Photonics

Waveguide technology

Standard bulk CMOS processing followed by under-etch ofwaveguide areas through sacrificial vias (<10dB/cm @1550nm) Need around 5um gap to provide effective cladding Also provides thermal isolation for ring resonators

Alternative approaches: cladding built with deep buried oxide (thermal issues) extra waveguide layers on top (process issues)

[ 65nm bulkCMOS test chip ]

Page 5: Monolithic Silicon Photonics

MIT Photonic Test Chip

• 65nm bulk CMOS• 114 devices• 21 cm of waveguide

Page 6: Monolithic Silicon Photonics

Filters/Modulators Double-ring resonant filter

Resonant racetrack modulator

Page 7: Monolithic Silicon Photonics

Measured filter results

Extrapolating from these first results, expect to improve to64 wavelengths in each direction

Page 8: Monolithic Silicon Photonics

Photodetectors

Embedded SiGe used to create photodetectors Simulation results show good capacitance (<1 fF/um)

and dark current (<10 fA/um) Sub-100 fJ/bit energy cost required for the receiver

Photodiode cross-section

Page 9: Monolithic Silicon Photonics

9

Photonic Link Energy Budget

Outlook More energy-efficient

< 250 fJ/bit Better data-rate density

100+ wavelengths(1Tb/s) per waveguide

10 fF (2.5 fJ/bit)

60 fF (15 fJ/bit)

40 fF (20 fJ/bit)

40 fJ/bit

10 fF (2.5 fJ/bit)

Local clock16 fF (8 fJ/bit)

Global clock (load + source) / link4 fF (2 fJ/bit) optical40 fF (20 fJ/bit) PLL

5-10 GHz

20 fJ/bit

20 fJ/bit (6 bit DC dac)

frequency

Forward Backward

60 GHz

30 GHz

Challenges Device/process technology Circuit Design Network Design

Page 10: Monolithic Silicon Photonics

10

Manycore interconnect bottlenecks

Shared memory systempower and performancebottlenecksCore-core connectivity viashared outer-level cachebanks

Outer cache to off-chipDRAM

Manycore systems improve performance and power Multiple simpler cores exploit thread-level and data-level parallelism

Communication through single multi-banked shared memory Simplifies programming and improves performance

CPU

L1$

L2$bank

DR

AM

Mod

ule

CPU

L1$

CPU

L1$

Manycore Microprocessor

256-1K cores

16-256 banks

L2$bank

DR

AM

Mod

ule

L2$bank

DR

AM

Mod

ule

Page 11: Monolithic Silicon Photonics

Electrical system architecture

Two on-chip electrical mesh networks Request network for sending requests to the appropriate memory controller Response network for sending responses back to the appropriate core

Memory controllers distributed across chip Flip-chip I/O and standard PCB traces to communicate with DRAM modules Package pin limit and electrical signaling limits memory bandwidth Limited chip power budget also limits memory bandwidth

MemoryRequest

MeshNetwork

MemoryResponse

MeshNetwork

Physical View Logical View

Electrical PCB TracesD

RAM

Core Router

Memory ControllerD

RAM

DR

AMD

RAM

(L2 cache ignored for now, direct core-to-DRAM network shown)

Page 12: Monolithic Silicon Photonics

Power-constrained design exploration Analytical model of on-chip wires, routers, and off-chip I/O

256 cores at 2.5GHz in predicted 22nm technology Energy constrained to 8nJ/cycle (20W) Uniform random traffic pattern

Vary bitwidth of channels between on-chip mesh routers Wider channels improve on-chip bandwidth but require more energy

thereby reducing off-chip I/O bandwidth

Page 13: Monolithic Silicon Photonics

Photonic system architecture

Memory request message path Electrical mesh to reach appropriate photonic access point Core waveguide to photonic switch matrix Statically routed through photonic switch matrix Memory waveguide and optic fiber to reach hub chip Routed through hub chip to correct DRAM chip (Separate photonic/mesh networks carry responses back to core)

Removes I/O pin/energy bottleneck, but on-chip electrical meshnow becomes energy bottleneck

Req.MeshNet

Resp.MeshNet

Physical View Logical View

HubReq.Net

HubResp.Net

HubReq.Net

HubResp.Net

HubReq.Net

HubResp.Net

HubReq.Net

HubResp.Net

DR

AM

MemoryWaveguides

CoreWaveguides

Optical AccessPoint

Hub chip withmemory controllers

Fiber to memorymodule

Page 14: Monolithic Silicon Photonics

Photonic multi-group system architecture

Divide cores into groups, each with local mesh (no global mesh) Each group still has one AP for each DIMM and thus can access all of memory Since there are more APs, each AP is narrower (uses less λs) Hub chip now include a crossbar network and arbitration for DRAMs Uses photonic network as a very efficient global crossbar

Grp0Req.MeshNet

Physical View Logical View

HubReqNet

HubReqNet

HubReqNet

HubReqNet

Grp1Req.MeshNet

Grp0Resp.MeshNet

Grp1Resp.MeshNet

HubRespNet

HubRespNet

HubRespNet

HubRespNetGroup 1

Group 0

PhotonicNetwork

Seamless cross-chip/off-chip photonic networkalleviates interconnect energy bottleneck

Page 15: Monolithic Silicon Photonics

Analytical Analysis of GroupsMesh bandwidth = IO bandwidth

(no overprovisioning)Mesh bandwidth = 2xIO bandwidth

(overprovisioning factor of 2)

Significant benefitat low I/O energy

Little effect withhigh I/O energy

Page 16: Monolithic Silicon Photonics

Detailed Simulation EvaluationBest Electrical Best Photonic

Best photonic is approximately 9x better bandwidth thanbest electrical at same energy constraint, with lower latency

Simulation Parameters 256 cores, 16 DRAM modules Mesh routers 2 cycles Mesh channels 1 cycle Global crossbar 8 cycles DRAM access 100 cycles (40ns)

Model includes pipeline latencies,router contention, messagefragmentation, credit-based flowcontrol, and serialization overheads

Uniform random addresses

Page 17: Monolithic Silicon Photonics

Full System (Conventional DRAM)

Page 18: Monolithic Silicon Photonics

Last-Level Cache at DRAM switch

LL CacheBanks DRAM

ChannelControllerCoherence

Directory

Drop,O-E convert,

Queue,Arbiter

DR

AM

LL CacheBanks DRAM

ChannelControllerCoherence

Directory

Drop,O-E convert,

Queue,Arbiter

DR

AM

Can connect waveguidesfrom multiple chips to providecoherent flat memory across

multi-socket system

Parallel Address-InterleavedLL cache slices improve

bandwidth

Page 19: Monolithic Silicon Photonics

Improving DRAM organization for high throughput

Photonics-to-DRAM offers very high throughputs 1-2 Tb/s/fiber 5-10 Tb/s fits within DRAM I/O power budget But, need to organize banks and switching to support this high throughput

Page 20: Monolithic Silicon Photonics

MCM

2Gb DRAM parts2.56 Tb/s read, 2.56Tb/s writeA<130 mm2, Ps=1W, PI/O=1W

Si-Photonic links in 45nm DRAM process

Bank 0 Bank 1

Bank 2 Bank 3

DRAM 0

31

0

Bank 0 Bank 1

Bank 2 Bank 3

DRAM 7

Laser

source

64-core processor4x4 electrical mesh, 4 cores per router

Optically-banked DRAM

Silicon-photonic linksEnergy-efficiency < 500 fJ/bit

DWDM, 10Gb/s/λ, 128 λ /waveguide

Monolithic, in 45nm CMOS process

SM fiber ribbon

Page 21: Monolithic Silicon Photonics

Network

Si-Photonic Interconnect in Embedded Aps

Interesting packaging options foroptics with vertical coupling and TSVs

Electrical vs. Optical interconnectTrade-offs at DRAM side

Current DRAMs cannot handle more than1 Tb/s/chip

Electrical vs. Optical interconnectTrade-offs at Processor side

Current Processors have less than 2 Tb/sthroughput

Page 22: Monolithic Silicon Photonics

[ For more details see our HotInterconnects 2008 paper ]

22

Acknowledgements Students

Christopher Batten Michael Georgas Charles Holzwarth Ajay Joshi Anatoly Khilo Daniel Killibrew Jin Kwon Jonathan Leu Hanqing Li Benjamin Moss Jason Orcutt Miloš Popović Imran Shamim Jie Sun

Support Texas Instruments DARPA MTO