Metrology at the Nanoscale

30
National Institute of Standards and Technology – Manufacturing Engineering Lab Metrology at the Nanoscale What are the Grand Challenges? Research Challenges for Nanomanufacturing Systems February 11 - 12, 2008 National Science Foundation Arlington, VA Kevin W. Lyons Manufacturing Engineering Laboratory National Institute of Standards and Technology [email protected]

Transcript of Metrology at the Nanoscale

National Institute of S

tandards and Technology –M

anufacturing Engineering Lab

Metrology at the NanoscaleWhat are the Grand Challenges?

Research Challenges for Nanomanufacturing SystemsFebruary 11 - 12, 2008

National Science FoundationArlington, VA

Kevin W. LyonsManufacturing Engineering Laboratory

National Institute of Standards and [email protected]

National Institute of S

tandards and Technology –M

anufacturing Engineering Lab

Presentation agenda

• Remarks and guidance materials• Outputs from conference

National Institute of S

tandards and Technology –M

anufacturing Engineering Lab

NNI Interagency Workshop Instrumentation and Metrology for

Nanotechnology Grand Challenge WorkshopJanuary 27-29, 2004

National Institute of Standards and Technology, Gaithersburg, MD

Green Auditorium, Administration Building

http://www.nano.gov/NNI_Instrumentation_Metrology_rpt.pdf

National Institute of S

tandards and Technology –M

anufacturing Engineering Lab

All manufacturing activities that collectively support practicalapproaches to design, produce, control, modify, manipulate, assemble, and measure nanometer scale elements or features for the purpose of realizing products or systems that exploit properties seen at the nanoscale.

250nm x 250nm field of view. Feature dimensions are 10nm.

• 100 nm (design)

• 180 nm

• 350 nm

NanomanufacturingA systems level perspective

National Institute of S

tandards and Technology –M

anufacturing Engineering Lab

The notion that nano-enabled materials, structures, devices, and systems can be manufactured reliably in a cost-effective manner;- at different times;- in different places;- by different people;- using different equipment/processes;

With documented conformance to product specifications

Key to achieving uniformity in output is traceability against common standards

NanomanufacturingGoal: Simply stated yet challenging

National Institute of S

tandards and Technology –M

anufacturing Engineering Lab

Nanomanufacturing in the future will require the development of new instrumentation, metrology, and standards designed specifically for high value and mass production applications.

Industry will rely on fast in-line metrology tools for process control, backed up by slower, more accurate tools off the manufacturing floor. Tools will be cost-effective, fast, occupy minimal floor space, not require ultra-high vacuum or stringent vibration isolation, and support appropriate work volumes. Real-time data will provide fast analysis and control of manufacturing processes.

NanomanufacturingVision: Instrumentation and Metrology

National Institute of S

tandards and Technology –M

anufacturing Engineering Lab

Manufacturing AttributesProduct through-put: The ability to make appropriate number and types of measurements to certify conformance of product to specifications.Safety: The ability of the instrument to make measurements without compromising the safety of the operator during final and work-in-process operations. Foot-print: The amount of production floor-space that the instrumentation and supporting systems take up.Tool accessibility to product: The ability of instrument to position the sensing tool precisely to make critical production measurements. The same instrument technology used for current metrology tools could be used although the tool might have a different form factor.Sample preparation: Support minimal time differences between the time a product is produced to the time a sample is prepared for determining conformance.Stage loading and presentation: Capability to quickly load and position stage for measurement of sample.Vibration isolation: To conduct production measurements without or with minimal vibration isolationVacuum: To conduct production measurements without vacuumThermal isolation or temperature control: To conduct production measurements without thermal isolation or temperature controlSetup: Minimize number of set-ups or provide for quicker set-upsMaintenance: In production mode, the instrumentation should not result in more frequent servicing than the product production equipmentWorking volume: Larger working volumes translate to fewer set-up and registration operations and higher confidence in measurements.Operator ease-of-use: The instrumentation can be set-up, calibrated, and run by trained personnel. Instrumentation should provide alarm for servicing (contaminated probes, etc)Flexibility: The instrumentation can be adapted or reconfigured to other uses with minimal effortProcess Flow: The metrology operation does not interrupt the flow of product and preferably no off-line measurements are required. Measurements and analysis are done real-time enabling rapid response to production conditions.

Metrology and Instrumentation for the Plant FloorInstruments must be designed to exist in a production environment and be capability of

measuring product and process attributes required for conformance testing.

Product through-putSafetySetup timeCycle timeWorking volumeFlexibility

Measurement technologies that provide production personnel with the necessary information to maintain control of the manufacturing process ensuring that the products produced will conform to desired specifications. This will require that control information be provided in the timeframes required by production and in formats suitable for production personnel.

NanomanufacturingA systems level perspective – Production hardened

National Institute of S

tandards and Technology –M

anufacturing Engineering Lab

To support U.S. industry in moving nanomanufacturing technologies into production by concurrently developing the scientific and engineering foundations necessary to support measurements and standards required to achieve effective and validated nanoscale product and process performance.

NanomanufacturingGoal: Research and Development

National Institute of S

tandards and Technology –M

anufacturing Engineering Lab

o Conduct development activities to extend/enhance existing measurement systems, fabrication processes, and manufacturing system architectures that support nanomanufacturing. Identify and work key areas to accelerate progress to production harden.

o Conduct development activities that accelerate new technologies that lead to the development of new nanomanufacturing systems, support tools, and new fabrication processes.

NanomanufacturingInstrumentation, Metrology, and Standards

National Institute of S

tandards and Technology –M

anufacturing Engineering Lab

o Support interoperability of manufacturing / measurement systems to allow the collection, transfer, and analysis of data between and among those systems that will be integral to the manufacture of products at the nanoscale

o Provide industry with critical methods and technologies that support nanoscale traceability to basic and derived units of measure, including length, mass and force.

NanomanufacturingInstrumentation, Metrology, and Standards

National Institute of S

tandards and Technology –M

anufacturing Engineering Lab

Fabrication of reference standards• Customization of existing measurement systems to

encompass stringent control and accuracy requirements• Rigorous and robust methods for fabrication

Calibration methods and approaches• Rapid, accurate reference against a known standard• New approaches to increasing the availability and

accessibility of the reference standard• New approaches to transfer trust to another local reference

NanomanufacturingInstrumentation, Metrology, and Standards

National Institute of S

tandards and Technology –M

anufacturing Engineering Lab

Performance Evaluation of Nanomanufacturing Tools• Standardized parameters and associated testing procedures

to specify, describe, and verify the performance of key tools and processes for nanoscale manufacturing

Information Technology (IT) standards in Integration, Interoperability, and Information Management

• Within single systems and with clusters of various types of production and measurement systems

• Mix of in-process and off-line processes• Optimize the exchange and sharing of critical information

NanomanufacturingInstrumentation, Metrology, and Standards

National Institute of S

tandards and Technology –M

anufacturing Engineering Lab

Integration technologies are key enablers for solution providerswho design and produce production and measurement systems for manufacturersSystem-level models and descriptions integrating nanomanufacturing components, sensors, actuators, and control units can provide invaluable information for real-time control and decision support

• balance of hardware/software design to achieve specific measurement functionality and performance• algorithms and models that describe material properties, material analysis results, tool-measurement probe motion planning, forward-looking control approaches, process flows, and other relevant phenomenon. • knowledge/information exchange across multiple associated models

Hybrid production systems that combine functionality of two or more tool technologies for measurement and deposition/removal of materials will require novel integration approaches

• coordination of measurements with control of production-level operations

NanomanufacturingInstrumentation, Metrology, and Standards - INTEGRATION

National Institute of S

tandards and Technology –M

anufacturing Engineering Lab

Interoperability ensures that different metrology instrumentation (types and manufacturers) can exchange data and information in a useful and meaningful way in support of a system that works together effectively and in a predictable manner, without prior physical staging or communication• provide needed support for metrology sub-systems that are incorporated into production systems. Interoperability challenges will increase in complexity as systems are defined which are interwoven with existing engineering, manufacturing, and business software.

• support the mix of in-process and off-line measurements that will be required for production operations and actions.

• establish measures and standards that determine an implementation's level of interoperability (e.g., maturity matrix)

NanomanufacturingInstrumentation, Metrology, and Standards - INTEROPERABILITY

National Institute of S

tandards and Technology –M

anufacturing Engineering Lab

Information management is simply the handling of information acquired by one or many disparate sources in a way that optimizes access by all who have a share in that information or a right to that information*• supports a structured approach to formatting, storing, transferring, and presenting information while maintaining security and data integrity requirements.

• includes focus areas supporting measurement technologies which can be extensions to research areas such as database design and architectures, data mining, and data representations.

* Wikimedia Foundation, Inc., http://en.wikipedia.org/wiki/Information_management

NanomanufacturingInstrumentation, Metrology, and Standards – INFOR. MANAGEMENT

National Institute of S

tandards and Technology –M

anufacturing Engineering Lab

Develop the ability to determine the elemental composition, location, and chemical state of all atoms in a nanostructure in three dimensions with atomic accuracy, and the ability to understand and predict the resulting properties of the nanostructure.

This requires the development of new metrology instrumentation and infrastructure for both laboratory research and nanomanufacturing

Instrumentation and MetrologyWorkshop Central Theme

Nanotechnology Grand Challenge WorkshopJanuary 27-29, 2004

National Institute of S

tandards and Technology –M

anufacturing Engineering Lab

• Develop a national (or international) technology roadmap for nanotechnology for instrumentation and metrologysimilar to the current International Technology Roadmap for Semiconductors to guide technology development and assist instrument manufacturers in providing measurement tools within a reasonable lead time.

• Develop strong educational programs and leverage Federal laboratories that address the development of measurement infrastructure and advanced measurement instrumentation

• Coordinate funding of educational programs with agenciesto provide effective support for program areas of joint interest.

Instrumentation and MetrologyRecommendations

Nanotechnology Grand Challenge WorkshopJanuary 27-29, 2004

National Institute of S

tandards and Technology –M

anufacturing Engineering Lab

• Leverage national laboratories’ user facilities to foster the development of new measurement techniques and development of a national user facility for nanometrology.

• Foster the development of consortia co-funded by government and industry tasked to bridge the gap for the development of sector-specific instrumentation for nanometrology for nanomanufacturing.

• Invest in integrated computational methods to develop predictive and assessment tools for nanometrology and nanomanufacturing.

Instrumentation and MetrologyRecommendations

Nanotechnology Grand Challenge WorkshopJanuary 27-29, 2004

National Institute of S

tandards and Technology –M

anufacturing Engineering Lab

Instrumentation and Metrology for:• Nano-Characterization: measurement of physical and

chemical properties such as dimension/size, force, composition, surface area, and shape of nanoscale materials and devices; includes imaging of the three-dimensional (3D) relationships of complex nanoscale components.

• Nano-Mechanics: measurement of the mechanical properties such as friction, hardness, elasticity, adhesion, durability, and performance of nanostructured materials in devices and systems; includes nano-identation and nanotribilogy as applied to the mechanics of constrained volume materials.

Instrumentation and MetrologyGrand Challenge – Themes

Nanotechnology Grand Challenge WorkshopJanuary 27-29, 2004

National Institute of S

tandards and Technology –M

anufacturing Engineering Lab

Instrumentation and Metrology for:• Nano-Electronics, -Photonics, and –Magnetics: reproducible

measurement of electronic, photonic, or magnetic properties (surface or embedded) such as resistance, refractive index, emissivity, of nanoscale devices and materials as needed to successfully incorporate devices into commercial products

• Nano-Fabrication: metrology to support fabrication of device-like structures with features having dimensions as small as a single atom; includes manipulation and placement of individual atoms and molecules, and external instrumentation to interact with structures and devices

Instrumentation and MetrologyGrand Challenge - Themes

Nanotechnology Grand Challenge WorkshopJanuary 27-29, 2004

National Institute of S

tandards and Technology –M

anufacturing Engineering Lab

Instrumentation and Metrology for:• Nano-Manufacturing: measurement methods to support the

mass manufacture of nanotechnology-based products; includes the ability to measure, control, and predict the nanoscale structure, performance, and properties of materials and devices, over millimeter scales reliably, reproducibly, and on the production floor.

Instrumentation and MetrologyGrand Challenge - Themes

Nanotechnology Grand Challenge WorkshopJanuary 27-29, 2004

National Institute of S

tandards and Technology –M

anufacturing Engineering Lab

• Development of new instrumentation that has the ability to measure three-dimensional structures over large areas such as wafers used in the semiconductor industry

• Development of new instrumentation that has the ability to characterize dense quantities of nanoelements under manufacturing conditions and in manufacturing-relevant time spans

• Development of new software applications and sensor sets that have the ability to acquire and analyze large amounts of manufacturing data and make timely decisions to maintain manufacturing process control.

Instrumentation and MetrologyNanomanufacturing session identified topics

Nanotechnology Grand Challenge WorkshopJanuary 27-29, 2004

National Institute of S

tandards and Technology –M

anufacturing Engineering Lab

Important research areas at the nanoscale:

• Material properties• Modeling and simulation• Multi-scale modeling • Reduced-order models• System-level description• Data analysis and visualization

Nanotechnology Grand Challenge WorkshopJanuary 27-29, 2004

Instrumentation and MetrologyNanomanufacturing session identified topics

System-level models and descriptions integrating nanomanufacturing components, sensors, actuators, and control units can provide invaluable information for real-time control and decision support.

National Institute of S

tandards and Technology –M

anufacturing Engineering Lab

• Incoming materials• Equipment characterization• In-process measurements• Control• Integration, Interoperability • Product measurements

Instrumentation and MetrologyGrand Challenge areas - Nanomanufacturing

National Institute of S

tandards and Technology –M

anufacturing Engineering Lab

Instrumentation and MetrologyGrand Challenge – Equipment characterization

Nanotechnology Grand Challenge WorkshopJanuary 27-29, 2004

Performance evaluation of toolsApplications• Nanoscale Manufacturing equipment and tools

Objectives• Develop a comprehensive set of parameters and associated testing procedures that provide the foundation for standards to specify,describe, and verify the performance of key nanomanufacturing tools.• Define standardized parameters and associated testing procedures to specify, describe, and verify the performance of key tools and processes for nanoscale manufacturing

Requirements • Address errors of scanning stages important for nano-measurement and nanomanufacturing such as repeatability, creep, ringing, vector positioning, and drift between the imaging and scanning operations. • Address the key error sources of various Scanning Probe Microscopes for nanoscale measurement and modification

National Institute of S

tandards and Technology –M

anufacturing Engineering Lab

Dense collections & Liquid phase - Techniques for characterizing liquid phases of nanoelements under manufacturing conditionsApplications: CNTs and quantum dots in liquid phaseObjectives:

Measure static and dynamic properties under different conditions (e.g., process flow – SWCNTs in liquids)Measure critical dimensions (length, diameter), functionality, surface properties,

chirality, of nanoelements and quantify dispersion/aggregationMeasure and monitor liquid-phase growth of nano-elements (e.g., quantum

dots) -- growth rates and critical dimensions –Measure and monitor liquid-phase assembly of nano-elements of one or more

types into larger, ordered nanostructures (e.g. CNTs mesophases)Develop and correlate models for process control

Requirements: Deconvolute signals from polydisperse systems?Obtain signals from complex environments?

Instrumentation and MetrologyGrand Challenge – In-process measurements

Nanotechnology Grand Challenge WorkshopJanuary 27-29, 2004

National Institute of S

tandards and Technology –M

anufacturing Engineering Lab

Real-time decision support for nanomanufacturingApplications: Massive sensor arraysObjectives:

o Integration of data to/from slower, but massively parallel tools/sensors (multiple sensors, sensor types needed for rapid nanomfg)o Informed by modeling, model correlation, feedback to design (RP)o In-situ data mining

Requirement: o Ability to transmit massive amounts of data to and from sensors / tools/ instruments in a suitable format, at low costo Data from the tools must be received in real-time allowing for fast analysis and transformation into information and knowledge.o Parallel processing and sophisticated control algorithms will be important enablers for competitive production cost at the nano-manufacturing scale.

Instrumentation and MetrologyGrand Challenge – Integration, Interoperability

Nanotechnology Grand Challenge WorkshopJanuary 27-29, 2004

National Institute of S

tandards and Technology –M

anufacturing Engineering Lab

Full device inspection (surfaces & 3D)Applications: defect identification, registrationObjectives: – Image materials at atomic resolution, analyze their

composition with a sensitivity of a few atoms, and determine their electrical and bonding properties on a scale smaller than one nm, and completed within a timeframe suitable for mfg

– 3D metrologyRequirement: Ability to move across and scan 300 to 500 mm lengths with 1 nm resolution with positional accuracies of sub-nm, in times consistent with the needs for process control, at low cost

Instrumentation and MetrologyGrand Challenge – Product measurements

Nanotechnology Grand Challenge WorkshopJanuary 27-29, 2004

National Institute of S

tandards and Technology –M

anufacturing Engineering Lab

Reliable, reproducible nanomanufacturing supported by rapid, accurate metrology and instrumentation is the key to achieving the economic potential of nanotechnology

To achieve this goal, existing metrology tools need to be dramatically improved, and innovative tools based on entirely new ideas will need to be developed.

Metrology will need to move out of the laboratory and onto the manufacturing floor, where it cost-effectively provides rapid analysis of all aspects of processing and is usable by manufacturing personnel.

NanomanufacturingIn summary

Nanotechnology Grand Challenge WorkshopJanuary 27-29, 2004

National Institute of S

tandards and Technology –M

anufacturing Engineering Lab

Questions