ISSCC Focuses on Embedded Systemssscs.ieee.org/images/files/newsletter_archive/sscs... ·...

20
I SSCC is the foremost global forum for presentation and discussion of new developments in the integrat- ed circuit industry. The theme for 2004 is “Embedded systems for a con- nected world.” The intelligence in integrated circuits is attributable to their embedded microprocessors, and now they are used for every aspect of computing and control, including their own efficiency and perfor- mance. Memories (SRAM, DRAM, and Nonvolatile) are integrated in order to achieve higher performance, and/or lower power. Other imbedded func- tions include analog circuits, such as A/D and D/A converters, DSPs, RF CMOS and CMOS image sensors, and wireline communication inter- faces. ISSCC 2004 will intro- duce major progress in embedded systems for wireless, wireline, and mobile applications. Over 200 papers will be presented in twenty-seven sessions during the three days of the confer- ence. What follows are a few highlights of eight major topics the conference covers. To register for the 15–19 February conference in San Francisco Califor- nia, or for a more detailed view of all the presentations in the Advance Program, go to www.isscc.org/isscc. Articles from the ISSCC 2004 Digest will be available in IEEE Xploreby summer. Analog 2004 may be the year of the con- verter at ISSCC; this year will fea- ture four sessions dedicated to new A/D and D/A converters! These papers will establish many new benchmarks for converter state-of- the-art in speed, resolution, power, and area efficiency. Converters form the critical inter- face between “real world” analog sig- nals and the digital signals processed by computers. As signal-processing systems become more sophisticated, the converters can become the bot- tleneck that ultimately limits system performance. Conversely, in some cases, converter advances can actually enable fundamentally new architectures. In Monday after- noon’s Session 4 Philips Research (4.1) and ETH Zurich (4.2) will present converters that push sigma- delta-converter band- widths to 10 MHz and beyond to handle next-gen- eration broadband communication requirements. In Tuesday afternoon’s Session 14 National Semiconductor (14.1), will describe high-speed A/D converters that bring 1 GS/s perfor- mance into the mainstream. In Wednesday morning’s Session 20, Analog Devices will describe an IC that extends 14-bit D/A converters to sample rates beyond 1 GS/s to sim- plify multicarrier radio processing (20.1). This is another important step towards “software radio.” Multiple sessions will feature converter papers that wrestle with the challenges of IEEE Solid-State Circuits Society Quarterly Newsletter Volume 9 Number 1 January 2004 Solid-State Circuits Society Newsletter 1 ISSCC Focuses on Embedded Systems .1 Call for Nominees for SSCS Administrative Committee . . . . . . . . . 6 Call to Nominate Candidates for the IEEE Solid-State Circuits Award . . 7 IEEE Lunch at ISSCC Offers Clues to More Successful Patents . . . . . . . .7 Application-Driven Organic Electronics Workshop . . . . . . . . . . . . . . .8 Another IEEE Membership Benefit, Online Access to Transactions on Device and Materials Reliability . . . . . . . 9 Congratulations to New SSCS Senior Members . . . . . . . . . . . . . . . . . . . . . . 9 SSCS Elects Five AdCom Members . . . . . . . . . . . . . . . . . . . . .10 Letter to the Editor — It Wasn’t Madame Curie . . . . . . . . . . . . . . . .11 Solid-State Circuits Society Is Volunteer Powered: The CICC Case Study . . . . . . . . . . . . . . . . . . . .12 Books of Interest to SSCS . . . . . . . . .15 Chapters Make SSCS Vital Around the World . . . . . . . . . . . . . . . . . . . .17 Denver Chapter Celebrates Its First Anniversary . . . . . . . . . . . . . . . . . . .19 SSCS Events Calendar . . . . . . . . . . .20 ISSCC Focuses on Embedded Systems IN SSCS Elects Five AdCom Members page 10 Continued on page 2

Transcript of ISSCC Focuses on Embedded Systemssscs.ieee.org/images/files/newsletter_archive/sscs... ·...

Page 1: ISSCC Focuses on Embedded Systemssscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · embedded systems for wireless, wireline, and mobile applications. Over 200

ISSCC is the foremost global forumfor presentation and discussion ofnew developments in the integrat-

ed circuit industry. The theme for2004 is “Embedded systems for a con-nected world.” The intelligence inintegrated circuits is attributable totheir embedded microprocessors, and

now they are used for every aspect ofcomputing and control, includingtheir own efficiency and perfor-mance. Memories (SRAM, DRAM, andNonvolatile) are integrated in order toachieve higher performance, and/orlower power. Other imbedded func-tions include analog circuits, such asA/D and D/A converters, DSPs, RFCMOS and CMOS image sensors, andwireline communication inter-faces. ISSCC 2004 will intro-duce major progress inembedded systems forwireless, wireline, andmobile applications.

Over 200 paperswill be presented intwenty-seven sessionsduring the threedays of the confer-ence. What follows area few highlights of eightmajor topics the conferencecovers.

To register for the 15–19 Februaryconference in San Francisco Califor-nia, or for a more detailed view ofall the presentations in the AdvanceProgram, go to www.isscc.org/isscc.Articles from the ISSCC 2004 Digestwill be available in IEEE Xplore™by summer.

Analog2004 may be the year of the con-verter at ISSCC; this year will fea-ture four sessions dedicated to newA/D and D/A converters! Thesepapers will establish many new

benchmarks for converter state-of-the-art in speed, resolution, power,and area efficiency.

Converters form the critical inter-face between “real world” analog sig-nals and the digital signals processedby computers. As signal-processingsystems become more sophisticated,the converters can become the bot-tleneck that ultimately limits system

performance. Conversely, insome cases, converter

advances can actuallyenable fundamentallynew architectures.

In Monday after-noon’s Session 4Philips Research(4.1) and ETHZurich (4.2) willpresent converters

that push sigma-delta-converter band-

widths to 10 MHz andbeyond to handle next-gen-

eration broadband communicationrequirements. In Tuesday afternoon’sSession 14 National Semiconductor(14.1), will describe high-speed A/Dconverters that bring 1 GS/s perfor-mance into the mainstream. InWednesday morning’s Session 20,Analog Devices will describe an ICthat extends 14-bit D/A converters tosample rates beyond 1 GS/s to sim-plify multicarrier radio processing(20.1). This is another important steptowards “software radio.” Multiplesessions will feature converter papersthat wrestle with the challenges of

IEEE Solid-State Circuits Society Quarterly Newsletter

Volume 9Number 1

January 2004

Solid-State Circuits Society Newsletter 1

ISSCC Focuses on Embedded Systems .1

Call for Nominees for SSCS Administrative Committee . . . . . . . . . 6

Call to Nominate Candidates forthe IEEE Solid-State Circuits Award . . 7

IEEE Lunch at ISSCC Offers Cluesto More Successful Patents . . . . . . . .7

Application-Driven OrganicElectronics Workshop . . . . . . . . . . . . . . .8

Another IEEE Membership Benefit,Online Access to Transactions onDevice and Materials Reliability . . . . . . . 9

Congratulations to New SSCS SeniorMembers . . . . . . . . . . . . . . . . . . . . . . 9

SSCS Elects Five AdCom Members . . . . . . . . . . . . . . . . . . . . .10

Letter to the Editor — It Wasn’tMadame Curie . . . . . . . . . . . . . . . .11

Solid-State Circuits Society Is Volunteer Powered: The CICCCase Study . . . . . . . . . . . . . . . . . . . .12

Books of Interest to SSCS . . . . . . . . .15

Chapters Make SSCS Vital Aroundthe World . . . . . . . . . . . . . . . . . . . .17

Denver Chapter Celebrates Its FirstAnniversary . . . . . . . . . . . . . . . . . . .19

SSCS Events Calendar . . . . . . . . . . .20

ISSCC Focuses on Embedded Systems

IN

SSCS Elects Five AdCom Members page 10

Continued on page 2

Page 2: ISSCC Focuses on Embedded Systemssscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · embedded systems for wireless, wireline, and mobile applications. Over 200

January 2004 • Volume 9 – Number 12

integrating converters onto digital cir-cuits implemented in deep-submicronCMOS technology (4.5, 14.5, 14.3).

In Wednesday afternoon’s Session25 all the papers will highlight newalgorithms to allow digital calibra-tion of analog non-idealities inADCs. Although calibration tech-niques have been used for more thantwenty years, this session will featurethe recent flurry of new developmentthat helps lower power dissipationand enables operation at significant-ly reduced supply voltages.

Both foreground and backgroundcalibration techniques will beexplored in various papers. In fore-ground calibration, the normal oper-ation of the A/D converter isstopped while the converter goesthrough a “calibration cycle.” In

background calibration, techniquesare used to detect and correct con-verter errors during the normaloperation of the converter. In somecases, techniques common to someof today’s spread-spectrum commu-nications systems are applied toseparate converter errors from thesignal being processed by the con-verters. The result is a number ofnew high-performance A/D convert-ers that can be efficiently realized indeep-submicron processes.

DigitalThe past year has seen a number ofmicroprocessor companies leveragetheir large investment in existingdesigns by shifting fabrication tofaster, smaller-featured manufactur-ing processes. These provide mil-lions of extra transistors, leavingmicroprocessor designers with thequestion of how to use these extradevices while avoiding significantredesign costs and escalating powerconcerns. Intel (3.4) will presentdesign techniques to improvedesign productivity and reduce thecost of redesign.

IBM (3.1) and Sun (3.2) integratemultiple independent processorcores on one chip. Many importantserver applications, including Webhosting and databases, are alreadydesigned to divide their workloadsinto independent tasks, enablingthese chip-scale multiprocessors toprovide greater throughput by run-ning multiple tasks simultaneously.

Designers must also address thestatic leakage of these extra transis-tors. IBM (3.7) and Sony (3.5) willpresent aggressive circuits thatdynamically adjust voltage levels andfrequency to lower power, while Sun(3.2) will introduce a novel circuit tooffset a new reliability issue causedby advanced transistor technologies.

Session 8 on Tuesday morningwill feature computing at ultra-lowvoltages. Lower power supply volt-age typically requires lower voltageto turn on a transistor, called thresh-old voltage (Vt). Lowering voltage

President:Stephen H. LewisUniversity of CaliforniaDavis, [email protected]: +1 530 752 8428

Vice President:Richard C. JaegerAlabama Microelectronics CenterAuburn University, AL

Secretary:David A. JohnsUniversity of TorontoToronto, Ontario, Canada

Treasurer:David HodgesUniversity of California Berkeley, CA

Past President:Charles G. SodiniMassachusetts Institute of TechnologyCambridge, MA

Other Representatives:Representative to Sensors Council

Darin YoungRepresentative from CAS to SSCS

Georges GielenRepresentative to CAS from SSCS

Ian Grant

Newsletter Editor:Lewis TermanIBM Somers [email protected]: +1 914 766 2814

Elected AdCom Members at LargeTerms to 31 Dec. 04:Gerhard FettweisRichard C. JaegerDavid A. JohnsTakayasu SakuraiNeil Weste

Terms to 31 Dec. 05:Anantha ChandrakasanJohn CorcoranWanda GassTeresa MengJan Sevenhans

Terms to 31 Dec. 06:Brian AcklandGary BaldwinTom LeeJan RabaeyJan Vander Spiegel

Chairs of Standing Committees:Awards Richard C. JaegerChapters Jan Van der SpeigelMeetings Mark HorowitzNominations Charles G. SodiniPublications Richard C. Jaeger

For detailed contact information, see the Society Web page: www.sscs.org

For questions regarding Society business, contact the SSCS Executive Office.

Contributions for the April 2004 issue of the newsletter must be received by 2 February 2004 at the SSCS Executive Office.

Anne O’Neill, Executive Director Tel: +1 732 981 3400IEEE SSCS Fax: +1 732 981 3401445 Hoes Lane Email: [email protected], NJ 08854

IEEE Solid-State Circuits Society AdCom

Continued from page 1

ISSCC Focuses on Embedded Systems

ISSCC Plenary Speakers Monday MorningNicholas M. Donofrio, Senior VicePresident, Technology and Manufac-turing, IBM, Armonk, NY, USA “Processors and memory: The driversof embedded systems toward thenetworked world.”

Nicky C. Lu, President and CEO,Etron Technology, Hsinchu, Taiwan “Emerging technology and businesssolutions for system chips.”

Yrjö Neuvo Professor, ExecutiveVice President, CTO, Member ofNokia Group Executive Board, NokiaMobile Phones, Finland“Cellular phones as embedded sys-tems.”

Page 3: ISSCC Focuses on Embedded Systemssscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · embedded systems for wireless, wireline, and mobile applications. Over 200

Solid-State Circuits Society Newsletter 3

saves power consumption, butultra-low voltage leaves processorsvulnerable to increased noise sensi-tivity and lower computationspeeds. Intel (8.4) will present anew technique that automaticallyreduces the (Vt) with supply volt-age to provide better performanceat very low power. STARAC (8.6)will show that the increase in noiseproblems for circuits at lower volt-ages can actually be actively sup-pressed by innovative circuits.

Wednesday morning’s Session19 will focuse on multi-GHz clock-ing. Clocking generation and dis-tribution with minimal differencesin clock arrival times (skew) acrossthe chip is difficult yet essential forstate-of-the-art integrated circuits.

However, clocking generation alsoconsumes a large portion of thetotal power. Columbia Universityand IBM (19.1) have used on-chipspiral inductors that resonate withthe clock capacitance and achievea 35% reduction in clock powerdissipation.

NEC (19.5) and IBM (19.7) pro-vide on-chip skew-measurementcircuitry to show a more realisticview of the clock-skew variationunder normal operating conditions,minimizing future over-design.

Imagers, Displays, and MEMSDuring Monday afternoon’s Session6, Canon (6.1), Matsushita (6.2),and Sony (6.3) will introduce threedevelopments in high-density

CMOS imagers that increase pixeldensity on a sensor, decreasing sen-sor cost, and reducing the size andcost of the lens needed in the endapplication. Each design uses intel-ligent sharing of components andoperation functions. All three useburied pinned photodiode structuresto increase efficiency. One of thedesigns will report pixel pitch onlynine times the minimum devicedimension. Overall, these threepapers will highlight significanttrends in imaging for expanding low-cost consumer-product applications.

Tuesday afternoon’s Session 12will introduce a neural prosthesisdevice for the restoration of sight tothe blind (12.1). The group fromUC Santa Cruz and USC has per-formed three human clinical trialswith outstanding results. The blindpatients were able to read large let-ters, count objects, and recognizeand differentiate objects such as acup and a plate. Next, researchersfrom Infineon (12.2) will describeDNA microchips with electronicreadouts that offer potential com-petitive alternatives to opticaldetection of biochemical reactions.

On Wednesday morning re-searchers from Rockwell Scientific(17.1) will describe and display amicroprocessor using micro-sizedmechanical switches. Bulky dis-crete-circuit techniques are stillrequired in ultra-high-frequency cir-cuits; with MEMS devices operatingwithin the integrated-circuit-scaleenvironment, the situation haschanged dramatically.

Later in the morning, researchersfrom St. Jude’s Medical Center (17.5)will report the highest level of integra-tion in an interface IC for implantablecardiac pacemakers, enabling smallersize and increased lifetime.

MemoryFlash technology is now mature andis challenging the density leadershipof DRAM technology. Samsung (2.7)uses chalocogenide technology char-acterized by the use of resistive heat-ing of the material to change theresistive state of a cell. Hynix and

Page 4: ISSCC Focuses on Embedded Systemssscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · embedded systems for wireless, wireline, and mobile applications. Over 200

January 2004 • Volume 9 – Number 14

Seoul National University (2.2) com-bine the features of Flash and DRAM,to produce DRAM characteristicsduring normal operations and non-volatile behavior at power-down.Motorola (2.3) uses an innovativecell architecture to achieve the high-est MRAM capacity reported of 1 Mband the fastest reported cycle time forMRAM of 130 ns. It uses a novel tog-gle magnetic tunnel junction technol-ogy that will easily integrate into astandard CMOS process. Virage Logic(2.4) will report a new reference volt-age scheme for ferroelectric memo-ries to provide improved reliableoperation at voltages as low as 0.9 V.

Tuesday morning’s Session 11 onDRAM will introduce a low-powermemory for extended battery life ofhandheld electronics. United Memo-ries and Sony (11.1) employ an on-board macro to implement innova-tive transistor-biasing techniques,scaling the operating voltage toalmost half of previously reportedlevels. Samsung (11.6) will describea DDR-SDRAM graphics applicationthat will translate into a more realis-tic video-gaming experience. Thepart utilizes a wave-pipelined con-trol system to support a wide fre-quency operating range, from 300 to800 MHz.

Wednesday afternoon’s Session27 will feature an SRAM thatachieves a breakthrough in low-power mobile operation. Hitachi,SuperH, and Renesas Technology(27.2) will report on an on-chipSRMA with a standby mode con-suming only 30 microwatts, and anactive power of 0.4 miliwatts at 300MHz. Intel (27.3) will report arecord-breaking cache on a micro-processor chip for server and CPUapplications. It has a 54-Gb/s read-write bandwidth. At 533 milliontransistors, the SRAM cache uses90% of the total number of transis-tors on a 432-mm2 chip.

Signal ProcessingWith only two sessions duringISSCC, the significant results in sig-nal processing are a few fabulousconsumer applications.

Real-time encoding of motionpictures has been limited to broad-cast TV quality because of a lack ofcomputation power. The potentialhigh-definition (HD) compressionstandard (JPEG 2000) for recording,playback, and transmission of full-motion movies would require tensof billions of operations. DuringWednesday morning’s Session 18,Sanyo (18.1) will describe theworld’s first single-chip codec forreal-time compression of full-motion movies and HDTV signals.It will enable Web-based movie dis-tribution and real-time recording,such as HD digital cameras and sur-veillance applications.

With no fully integrated supportfor multimedia, Bluetooth, GPS,and modem on a current cellularbaseband chip, the industrywould enhance consumer multi-media experience with such asolution. Qualcomm (23.3) willpresent the first cellular basebandchip with fully integrated supportfor multimedia, Bluetooth, GPS,and modem on Wednesday after-noon. It is the highest level ofintegration published for cellularbaseband chips.

Technology DirectionsIn Session 7 on Tuesday morning,Mark Horowitz of Stanford Univer-sity will focus on how interconnect-centric tiled architectures can over-come wire delay limitations. Localcommunications schemes willreplace global schemes to enablefuture technology scaling. Hetero-geneous machines will have differ-ent modules tuned for specificapplications.

The University of Twente (7.2)will introduce ways to circumventthe dramatic scaling of voltage andpower consumption for analog cir-cuits by exploiting available thin-and thick-oxide transistors. Specialcircuit techniques allow higher sup-ply voltages to be used for analogthan for digital circuits.

In Session 16 on Tuesday after-noon, Seiko-Epson (16.1) will pre-sent a cost-effective fabricationmethod for organic transistorsusing the inkjet printing technique.This paper illustrates the successfuldevelopment and operation of anactive-matrix backplane in applica-tions such as smart clothing, plasticdisplays, and biomedical. The Uni-versity of Tokyo (16.2) will present

Page 5: ISSCC Focuses on Embedded Systemssscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · embedded systems for wireless, wireline, and mobile applications. Over 200

Solid-State Circuits Society Newsletter 5

the use of plastic transistors for arti-ficial skin. This flexible sensor canbe used as artificial skin for robots.A simple cut-and-paste approach isproposed to customize the size ofthese circuits.

For more on the challenges andopportunities of organic transistorssee Sodini and Bulovic's report onthe Application-Driven OrganicElectronics Workshop, page 8.

Session 24 on Wednesday after-noon will feature three extremelylow-power, low-rate communica-tion devices. The circuits must beoptimized for low duty-cycleoperation, and energy consump-tion is the primary design metric.A gas-chromatographer fabricatedin less than a few cc by the Uni-versity of Michigan (24.1) inte-grates digital compensation, self-test, and distributed power man-agement on a wireless sensingplatform. AnSem NV and PhonakCommunications (24.2) willdescribe a very-low-power pro-grammable FM receiver for hear-ing aids in less than 1 cc.

A flyspeck RFID by Infineon(24.3) is aimed at replacing bar-codes. The small size and lowcost (projected under one cent inlarge volume) of the chip is adirect consequence of a collec-tion of cunning circuit innova-tions. It is powered directly froman interrogating RF supply with-out AC-to-DC conversion. SuchAC operation of logic circuitseliminates the need for both rec-tification and filtering, providingconsiderable space savings. TheRFID requires an on-productprinted antenna loop.

Wednesday afternoon’s Session 24will continue with a number of 60-GHz circuit devices, spurred in partby opening 7 GHz of a previouslyunlicensed bandwidth around60GHz, and featuring aggressivelyscaled CMOS and Si Ge technologies

Wireless CommunicationsMonday afternoon’s Session 5 willfeature five papers from Spirea(5.2), New Logic (5.3), Atheros(5.5), IRF Semiconductor, and Stan-

ford University (5.4) that describetransceivers for 802.11a/b/g. Eachstrives to reduce cost by using low-cost CMOS technology and archi-tectures that minimize externalcomponents. Each implementstransceivers for all three standardsthat will enable seamless universalconnectivity. Atheros (5.50), SeoulNational University, and GCT Semi-conductor (5.6) will describe effi-cient generation of the LO signals,essential for WLAN. Developersfrom Universita di Pavia (5.7) willdescribe a receiver front end inSiGe that addresses performanceissues. Researchers at the Universityof Tokyo (5.8) will describe a 4.3-GHz frequency divider that con-sumes just 44 microwatts, importantfor a mobile application.

During Tuesday morning’s Ses-sion 10, eight papers will featureradio on chips with varied integra-tion levels, from an entire multi-mode GSM transmitter implement-ed in a 0.13-µm CMOS technologyto an individual GSM power ampli-fier realized in a Si-LDMOS. A novelradio architecture presentation willexplore the appropriate distributionof both the analog and digitalblocks to realize the most efficientimplementation from a perfor-mance and die-area perspective.Examples of digital and analogfunctions swapping traditional roleswill be highlighted.

During Tuesday afternoon’sSession 15, two teams from TI(15.1 and 15.3) will describe cellphones and other small handhelddevices that can exchange infor-mation over a short range, whileusing very little power and havingultra-long battery life. Sharp(15.6) will show that they alsowill be able to receive televisionsignals, including new interactiveservices that combine the best ofbroadcast television with theinterconnectedness of the inter-net, while maintaining very longbattery lifetimes.

Wednesday morning’s Session21 will focuse on silicon reachingfor millimeter-wave frequencies.Today, we use a small fraction of

the available radio spectrum. Thisis due to the limitations of exist-ing radio architectures and semi-conductor technologies. Ultra-wideband (UWB) systems (a newradio architecture) harness addi-tional unused radio frequencybandwidth and increase datarates, but impose unusualdemands on circuit design. The-California Institute of Technology(21.1) and Harvard University(21.2) will present creative waysto improve oscillator perfor-mance. University of Padova(21.3), Skyworks, and UCLA(21.4) will describe low-noiseamplifiers for operation in theUWB band spanning 3.1 to 10GHz. Silicon’s suitability for oper-ation beyond 10 GHz will bewell-demonstrated by severalpapers describing circuits withexcellent performance at frequen-cies approaching 40 GHz.

Wireline CommunicationsTuesday morning’s Session 9 willfeature significant cost reductions in10-Gb/s and SONET systems inhighly integrated CMOS trans-ceivers. These reports by Hitachi(9.1) and Aeluros (9.2) will meanfaster, cheaper network connec-tions to desktops and servers.

System integration and com-plexity create an ever-increasingneed for I/O bandwidth. DuringTuesday afternoon’s Session 13, acombination of faster process andnew latch design from NEC (13.1)will achieve multiplexers at 120Gb/s and demultiplexers at 110Gb/s. Current standards had beenlimited to 40 Gb/s. IBM (13.3) willdescribe multiplexing with good jit-ter and lower power achieved at108 Gb/s using half-rate clocking.These high-speed Mux and deMuxchips enable the next generation oftest equipment. The number ofcables, connectors, and fibers canbe minimized by sending data atthe fastest possible serial data rates.

Helpful to telecom companies incompetition with cable and satelliteTV providers are practical lower-cost, lower-power, and higher-data

Page 6: ISSCC Focuses on Embedded Systemssscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · embedded systems for wireless, wireline, and mobile applications. Over 200

In accordance with its Constitution,the IEEE Solid-State Circuits Soci-ety invites members of our Society

to nominate candidates for the Soci-ety’s Administrative Committee(AdCom). The election is held in theSeptember–October time frame.

Nominees by PetitionNominees will be automatically

placed on the ballot provided: • The nominee is presently a

member of the IEEE Solid-StateCircuits Society.

• The petition is supported by atleast ten identifiable signaturesof present members of the Soci-ety other than the nominee.

• The nominee is both aware ofand agrees to the petition.

• The petition is received by theChair of the Nominations Com-mittee by 1 May 2004.

Term of Office• The term of office is three years

beginning 1 January 2005. • AdCom members may be

reelected to a second consecu-tive term.

• The five nominees receiving thehighest number of votes fromthe Society membership will beelected.

Scope and ResponsibilitiesElected AdCom members areexpected to attend the two yearlymeetings of the AdCom. In addition,much of the Committee work is car-ried on by email, telephone, and faxthroughout the year. The Solid-StateCircuits Society currently sponsorsthe Journal of Solid-State Circuits,the International Solid-State CircuitsConference, the Custom IntegratedCircuits Conference, and the Sympo-

sium on VLSI Circuits. In addition,the Society cosponsors or technical-ly cosponsors a number of otherconferences and meetings.

The AdCom has responsibility foroverseeing these and other potentialfuture technical activities within theSociety’s field of interest, as well asthe overall operation of the Society.

Mailing PetitionsAll petitions should be accompaniedby a personal photograph and ashort biography (200 words),including the nominee’s technicalareas of interest. Petitions must bereceived by 1 May 2004. Petitionsare to be mailed to:

Chair of the Nominations CommitteeIEEE SSCS Executive Office445 Hoes LanePiscataway, NJ 08854

January 2004 • Volume 9 – Number 16

Call for Nominees for SSCS Administrative CommitteeElection

Try browsing some ofthe best examples ofISSCC papers in the past.sscs.org/pubs/ISSCC-Awards.htm

The links launchIEEE Xplore. Memberauthentication will berequested. If you comein through an institu-tional subscriber, theconnection may bedirect. All members canread abstracts. A mem-ber subscription to theSSC Conference DigitalLibrary costs $75 andincludes the ISSCC,CICC, Symposium onVLIS Circuits, and,beginning 2003, ESS-CIRC. Purchase of indi-vidual articles throughIEEE Xplore also isenabled.

rate ADSL2+ and VDSL. Describedby Infineon (22.1) and TI (22.2) dur-ing Wednesday afternoon’s Session22, these solutions will allow evenhigh-quality video over twisted pair.

Wednesday afternoon’s Session26 will feature many innovationsfor optical, and electrical-commu-nication systems operating up to40 Gb/s in silicon processes. Theyuse on-chip electrical equalizers toextend the bandwidth of the inte-grated CMOS photodetector. TheUniversity of Twente (26.2),Netherlands, will describe a novel3 Gb/s photodector that is aboutfive times faster than previousdesigns. The University of Califor-nia Irvine and Stanford University(26.7) will describe a novel detec-tion of transition edge rate to pro-vide adaptive equalization for cop-per cables between 1 and 5 metersat 10 Gb/s.

Page 7: ISSCC Focuses on Embedded Systemssscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · embedded systems for wireless, wireline, and mobile applications. Over 200

Solid-State Circuits Society Newsletter 7

IEEE Lunch at ISSCC Offers Clues to More SuccessfulPatents

In a dramatic shift from justtwenty years ago, when patentsissued in information technolo-

gy cited only previous patents,today’s patents are increasinglybased on fundamental sourcessuch as scientific and technical lit-erature. A recent study by anationally recognized researchfirm, CHI Research, analyzed therelationship of valuable corporatepatent portfolios and IEEE litera-ture They found that technologybuilt on IEEE science is more like-ly to be more valuable than peertechnology not built on IEEE sci-ence. The study also found thatIEEE publications are cited in high-tech patents more than any otherscientific-technical publisher. Inaddition, the publications of the

IEEE Society sponsoring thisnewsletter are cited in patents morethan any other IEEE publication.

To find out more about thisstudy, institutional users of onlineinformation are invited to a lun-cheon hosted by IEEE at the IEEEInternational Solid-State CircuitsConference (ISSCC), 17 February2004 in San Francisco. ISSCCattendees and staff from corpora-tions in the Bay Area are welcometo attend.

The presentation at the lun-cheon will focus on the value ofIEEE information in the develop-ment of circuits and related tech-nologies and in the developmentof new patents. This luncheon alsowill include guidance in the use ofIEEE technical content, tips on

gathering competitive intelligence,and information on how IEEEonline resources can drive corpo-rate patents in the solid-state cir-cuits industry.

Anyone interested in attendingshould RSVP by 19 January to Bev-erly Banks at [email protected] is limited.

Event: IEEE Lunch and Presentation(in conjunction with ISSCC 2004)Date: 17 February 2004Time: Noon–1:30Place: Club Room, San Francisco Marriott

For more about IEEE informa-tion and patents, please visitwww.ieee.org/patentcitation.

On Whose Shoulders Do YouStand?How can so many engineers designmillion-transistor circuits with confi-dence and get reliable products tomarket on time? What technicaladvances and what algorithms arealmost intuitive in our design andsoftware processes? How do weknow where to start, where it is stilltoo risky invest time, or what is toorisky to tweak? If you can remembera decade or more ago when youdreamed about the work your teamaccomplishes today, you canremember the seminal ideas thathave allowed circuits to evolve ahundredfold. You could be a nomi-nator for the IEEE Solid-State Cir-cuits Technical Field Award, theInstitute’s highest honor for out-

standing contributions in our field. Since its establishment in the 1980s

this award has reflected the progressof the technology. The history of thefield is the textbook for tomorrow’sengineers. How is it that engineerscan design faster, smaller, and densercircuitry every year? Look back, lookaround. Most of the ideas andauthors were probably published inthe Journal of Solid-State Circuits.

The Solid-State Circuits TechnicalField Award, presented at ISSCC,consists of a bronze medal, a certifi-cate, and a cash prize. It honors anindividual, or team of up to three,for outstanding contributions in thefield of solid-state circuits, as exem-plified by enhancement to technolo-gy, benefit to society, and profes-sional leadership. The nomination

form is available to download online:w w w . i e e e . o r g / a b o u t /awards/noms/solidnom.htm.

Guidelines, also available online,emphasize the importance of thenominee’s accomplishments, thequality of the nomination itself, andthe quality of the supporting endorse-ment letters. The true merits of a can-didate need to be conveyed throughthe nomination and endorsementpaperwork. The deadline for receiptof nomination materials (includingthe nomination form and the sup-porting letters) is 31 January 2004.

For further information, to coordi-nate your efforts with others, or todetermine if a nomination is already inprogress, please contact Richard C.Jaeger, the Chair of the SSCS AwardsCommittee, at: [email protected].

Call to Nominate Candidates for the IEEE Solid-StateCircuits Award

Page 8: ISSCC Focuses on Embedded Systemssscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · embedded systems for wireless, wireline, and mobile applications. Over 200

January 2004 • Volume 9 – Number 18

The IEEE Solid-State CircuitSociety’s Long-Range PlanningCommittee has launched an

effort to explore profound changes incircuit and system design in the post-Moore era and their potential implica-tions on applications, interdisci-plinary collaboration, and therole of the society as a serviceorganization. As a member ofthat committee, Professor HugoDeMan has stated that thebiggest challenge to this ambi-tious goal is “to bring visionarypeople together who are willingto start a dialogue on whatfuture microsystems will look like andhow we will join forces to makeprogress in this new field of ‘super cir-cuit’ design.” The Solid-State CircuitSociety has the right combination oflong-term vision coupled with thepractical realities of commercialdesign to play a major role in stimu-lating creative thinking. A major chal-lenge in this effort is to determine themechanisms that are best suited tostimulate a dialogue among disci-plines that we envision will becomewell-connected.

To stimulate development of work-ing research relationships wereplaced the traditional workshop for-mat of a packed one- or two-dayschedule of several talks with a work-group approach. We assembled asmall gathering in a setting conduciveto creativity, with ample time to brain-storm and discuss the possibilitiesamong a few visionary individualsfrom relevant disciplines. The firstsuch workshop, “Application-DrivenOrganic Electronics,” was held in lateJune at the MIT Endicott House.

Active organic electronic compo-nents such as organic transistors, solarcells, photodetectors, and LEDs likelywill be the enabling blocks of futurelow-cost flexible electronics and inte-grated large-area optoelectronic cir-cuits. The organic materials break theparadigms of silicon technologies inthat their unconventional processingmethods enable integration with flex-ible conformal substrates from cen-

timeters to meters in size. However,this technology is compromised bythe lower charge carrier mobility oforganic solids and the unproven reli-ability of devices made with organicmaterials. Nevertheless, the utility of

organic materials in display applica-tions has already been demonstrated.Their use in low-performance inte-grated electronic circuits, driven bythe potential for low-cost organicelectronic systems, is being explored.

In the late 1960s, silicon MOS tech-nologies were at a stage similar toorganic electronics today. DRAMserved as the driver for MOS devicesfrom approximately 1970 to 1985,encompassing DRAM generationsfrom 1 kb to 1 Mb. The DRAM appli-cation was not exclusive but helpedto focus research in silicon processtechnology as well as device and cir-cuit design.

Current research in organic elec-tronics is focused primarily on theimprovement of discrete devices. Thisfocus is expected, considering that thephysical processes within organicdevices are still being discovered. Inthe near future it is expected that theintegration of organic light-emittingdiodes (OLEDs), organic photodetec-tors (OPDs), and organic field-effecttransistors (OFETs) will be integratedinto circuits that control electrical andoptical signals. The challenge for theworkshop was: What application plat-form will best drive this integration?

It would be folly for organic elec-tronics to try to replace silicon digitalprocessing and memory applicationssince its strengths are not suitable forthese functions. Instead, workshopparticipants suggested that successfulcommercial applications will take

advantage of the inherent attributesof organic material: low cost,mechanical flexibility, chemical sensi-tivity, optical properties, and thepotential for integrated large-areaoptoelectronic circuits. Identifying the

commercially viable organicelectronics application driversand outlining the research nec-essary to demonstrate thesepractical applications was thechallenge posed to the work-shop participants.

To start, we asked ourselveswhat proven organic technolo-gies have reached the market-

place. Applications that take advan-tage of the optical properties oforganic devices already have beenextremely successful in opticalrecording, liquid crystal displays, andphotoconductors—generat ingapproximately a $100 billion business.Up to this point we have not seenmany commercially viable electronicor optoelectronic systems based onorganic technology because movingcharges is not an inherent advantageof organic devices. It is clear that theapplication drivers for organic elec-tronics should leverage the excellentoptical properties and require mini-mum performance from associatedelectronics.

Although many researchers arepublishing results for discreteOLEDs, OFETs, and OPDs, littlework has been done on developinga process flow for the integration ofthese devices. It is clear that one ofthe major challenges to move organ-ic electronics from the research labto commercial viability is the conver-gence on a single process flow. Thefact that different active materials anddifferent dielectrics are beingemployed to fabricate devices hasmade the convergence on a singleprocess flow extremely difficult. Inaddition, there are no standard char-acterization techniques to fairly com-pare performance metrics betweendifferent device structures. So whatare the applications that will drive usto develop a standard integrated all-

Application-Driven Organic Electronics Workshop

The Application-Driven Organic Electronics Workshop was held in late June

at the MIT Endicott House. Hear moreabout the results of this workshop in a

special evening session, Sunday, 15 February at ISSCC 2004.

Page 9: ISSCC Focuses on Embedded Systemssscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · embedded systems for wireless, wireline, and mobile applications. Over 200

Solid-State Circuits Society Newsletter 9

organic process? Three possible application drivers

were discussed during the workshop.The first is a digital X-ray detectorusing OPDs. This detector could beused in medical applications, diag-nostics, failure analysis, security, anda variety of scientific equipment. Itwas suggested that a large array ofapplications are possible since thedetector may be fabricated on a non-planar substrate. This application isattractive since it takes advantage ofseveral attributes of organic technolo-gy. However, it is not without chal-lenges. Near the top of the list is therequirement for a large on-to-off cur-rent ratio for the OFET and small darkcurrent in the OPD to insure high sen-sitivity for the detector.

The second application discussedwas large-area displays. To relax theinitial requirements on the OFETs, itwas suggested to start with displaysshowing fixed format graphics andthen moving to full motion video. To

reduce the effect of OLED degrada-tion over time one could use OPDs tosense the light intensity at each pixeland use off-display silicon circuits tofeed the corrected information backto the OFET drivers. This applicationwould take advantage of all threedevices in an integrated process flow.

The last application centered ondistributed sensors that would makeuse of the chemical sensitivity oforganic technology. These sensorscould be applied to environmentalmonitoring, threat detection, and avariety of medical applications. Theaddition of RF communication onthese sensors will certainly challengethe device characteristics of OFETs.

One of the main results of thisworkshop was a clear understandingamong the participants of theprogress that has been made and thechallenges in applying this new tech-nology. We are hoping to see the for-mation of new networks ofresearchers who can continue the

dialogue as this technology emerges.We are aiming to develop stronginteractions between organic technol-ogists and circuit designers to enablelarge-scale integration of organiccomponents for targeted applica-tions. At the 2004 ISSCC ProfessorVladimir Bulovic will present more ofthe results of this workshop in a spe-cial evening session on organic elec-tronics. In addition to Bulovic’s talkattendees will also hear fromresearchers at Sarnoff Labs, InfineonCorporation, and Sony Corporation.Please join us for this special sessionand join in the dialogue in drivingorganic electronics to a commerciallyviable technology.

Charles G. Sodini SSCS President 2001–[email protected]

Vladimir [email protected]

Started in 2001, this IEEE transac-tion (T-DMR) focuses on compo-nent reliability and documents the

search for the root cause of failure inincreasingly more complex devicesand in the materials used to makethese devices. The physics of failure, awatchword in the semiconductorindustry, reveals new phenomenonthat show up as weakness in design,process, material, or application. Thedetermination and elimination of theroot cause of failure invariably involvesa multidisciplined effort. Electricalengineering, physics, materials, chem-istry, processing, and packaging mix togenerate a cost-effective solution.

This archival quarterly providesa new forum for information thathas long been scattered as nichearticles in publications and confer-ence digests only partly alignedwith one particular field or cross-ing the boundaries between disci-plines. The scope covers the relia-bility of electronic, optical, mag-netic, and MEMS devices, togetherwith associated microsystems andpackages. Because rapid dissemi-nation of information is critical tothe creation of high-reliabilityproducts, this transaction is avail-able exclusively online and is freeto all members to browse or read

complete articles. T-DMR is spon-sored by the IEEE Electron DevicesSociety and the IEEE ReliabilitySociety (www.ieee.org/xploretdmr).

The December 2003 specialissue focused on interface reliabili-ty. The March 2004 special issuewill include selected and expandedpapers from the 10th IEEE Interna-tional Symposium on the Physicaland Failure Analysis of IntegratedCircuits (IPFA 2003). The Septem-ber 2004 special issue will focus onNonvolatile Memory Reliability. Formore information concerning T-DMR and its EIC and editors, seewww.ieee.org/tdmr/.

Another IEEE Membership Bennefit, Online Accessto Transactions on Device and Materials Reliability

Congratulations to New SSCS Senior MembersJack B. AndersenDouglas C. BurgerRoss M. CarltonToby J. CumberbatchIzzat Z. DarwazehJohn S. FairbanksDouglas J. Fouts

Francis B. Grosz, Jr.Scott K. HerringtonYeun Cheul JeungJohn R. JonesMurari L. KejariwalVladimir KoifmanKim M. Lau

Heng-Chih LinMichael MoyalJoseph NahasSteven R. NorsworthyJoel W. PageAlessandro PiovaccariHarry Q. Pon

Andrzej PradzynskiKenneth L. ShepardFernando SilveiraJaime Velasco MedinaRajan WaliaLars G. WanhammarEiji Watanabe

Page 10: ISSCC Focuses on Embedded Systemssscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · embedded systems for wireless, wireline, and mobile applications. Over 200

January 2004 • Volume 9 – Number 110

SSCS Elects Five AdCom Members

Five new members will join the IEEE SSCS Adminis-trative Committee when it meets 15 February 2004.The SSCS membership elected Bryan Ackland, Gary

Baldwin, Tom Lee, Jan M. Rabaey, and Jan Van derSpiegel last fall. The AdCom is responsible for overseeingconferences, publications, and other potential technicalactivities within the Society’s field of interest. Each AdCommember serves a three-year term. Terms are staggered sothere are always some experienced members and somenew members. The Nominating Committee puts togethera ballot of candidates each summer. A member can peti-tion to be included on the ballot. See details online atsscs.org/nomelec.htm.

Bryan Ackland received his BSc inphysics from Flinders University, Aus-tralia, in 1972 and his BE and PhD inelectrical engineering from the Univer-sity of Adelaide, Australia, in 1975 and1979, respectively.

In 1978 he joined Bell Laboratoriesas a member of the technical staff inthe Image Processing and DisplayResearch Department, where he

worked on MULGA —the first successful symbolic lay-out and compaction tool suite. In 1986 he was appoint-ed director of the DSP and VLSI Systems ResearchDepartment where he led research programs in videocoding VLSI, multiprocessor DSP architectures, low-power DSP, high-speed optical transceivers, and CMOSimaging.

In 2000 he was appointed vice president of Communi-cations Systems Research at Agere, where he led a teamof seventy in a broad range of research topics. He is cur-rently vice president of Advanced Technology at AgereSystems with research interests in novel hardware andsoftware architectures for network and signal processing.

Dr. Ackland is the author of over sixty conferenceand journal publications and holds eleven U.S. patents.He received Best Paper Awards at ICCD in 1985 and1990, at DAC in 1986, and in JSSC in 1998. He was aneditor of IEEE Transactions on Computers from 1987 to1994. He served on the Program Committee for the IEEEInternational Conference on Computer Design from1988 to 1994 and has been a member of the CICC Pro-gram Committee since 2001. He has been a member ofthe IEEE/ACM Design Automation Conference Execu-tive Committee since 1997 and a member of the ISSCCExecutive Committee since 2001. He served on the IEEESCCS Nominating Committee from 1998 to 1999.

Dr. Ackland was elected an IEEE Fellow in 1992 forcontributions to the design of custom integrated circuitsfor signal-processing applications. He became a BellLaboratories Fellow in 1993 for leadership in VLSI toolsand circuits.

Gary L. Baldwin received his BS, MS,and PhD degrees in electrical engi-neering in 1966, 1967, and 1970,respectively, all from the University ofCalifornia, Berkeley.

Dr. Baldwin was an acting assistantprofessor of electrical engineering atthe University of California, Berkeley,during 1969 and 1970. He was a mem-ber of the technical staff at Bell Tele-

phone Laboratories, Holmdel, New Jersey, from 1970 to1978. He joined Hewlett-Packard Laboratories, PaloAlto, California, in 1978. He was director of the Solid-State Technology Laboratory at Hewlett-Packard from1987 until 1999. Since November 1999 he has been atthe University of California, Berkeley, as the executivedirector of the Gigascale Silicon Research Center. As ofFebruary 2003, he is the executive director of the Cen-ter for Information Technology Research in the Interestof Society (CITRIS). He is also an associate dean forIndustrial Relations in the College of Engineering atBerkeley.

Dr. Baldwin was a member of the Program Commit-tee of the International Solid-State Circuits Conferencefrom 1974 to 1982 and served as the secretary of theconference from 1977 to 1980. He was an associate edi-tor and editor of the IEEE Journal of Solid-State Circuitsfrom 1977 to 1982. He also served as secretary of theIEEE Solid-State Circuits Council from 1982 to 1984, wasvice president of the council from 1984 to 1986, andwas its president from 1986 to 1988. He was the pro-gram co-chair of the International Conference on Semi-conductor and Integrated Circuit Technology in Beijing,China, in 1995, and is a member of the AdCom of theIEEE Solid-State Circuits Society.

Dr. Baldwin is a member of Eta Kappa Nu and SigmaXi, is a Fellow of the IEEE, and was a recipient of theIEEE Third Millennium Medal.

Tom Lee received his degrees in elec-trical engineering from the Massachu-setts Institute of Technology, escapingwith an ScD in 1990. He then joinedAnalog Devices where he was primari-ly engaged in the design of high-speedclock recovery devices. In 1992 hejoined Rambus Inc. in Mountain View,California, where he developed high-speed analog circuitry for 500-

megabyte/s CMOS DRAMs.He has also contributed to the development of PLLs

in the StrongARM, Alpha, and AMD K6/K7/K8 micro-processors. Since 1994, he has been with the electricalengineering faculty at Stanford University, where hisresearch focus has been on gigahertz-speed wireline

Bryan Ackland

Gary L. Baldwin

Tom Lee

Page 11: ISSCC Focuses on Embedded Systemssscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · embedded systems for wireless, wireline, and mobile applications. Over 200

Solid-State Circuits Society Newsletter 11

and wireless integrated circuits built in conventional sil-icon technologies, particularly CMOS.

Lee has twice received the Best Paper Award at theInternational Solid-State Circuits Conference, co-authored a Best Student Paper at ISSCC, received theBest Paper Award at CICC, and was awarded a PackardFoundation Fellowship in 1998.

He is an IEEE Distinguished Lecturer of both theSolid-State Circuits and Microwave Societies. He holdstwenty-five U.S. patents, authored The Design of CMOSRadio-Frequency Integrated Circuits (Cambridge Press,1998), and co-authored three books on RF circuitdesign. Lee cofounded Matrix Semiconductor in 1998and maintains an active interest in chamber music as aviolinist and tenor.

Jan M. Rabaey received his EE andPhD degrees in applied sciences fromthe Katholieke Universiteit Leuven,Belgium. From 1983 to 1985 he was atthe University of California, Berkeley,as a visiting research engineer. From1985 to1987 he was a research manag-er at IMEC, Belgium, and in 1987 hejoined the faculty of the Electrical Engi-neering and Computer Science Depart-

ment of the University of California, Berkeley, where heholds the Donald O. Pederson Distinguished Professor-ship. He has been a visiting professor at the Universityof Pavia (Italy), Waseda University (Japan), and VictoriaUniversity (Australia).

From 1999 until 2002 he was the associate chair ofthe EECS Department at Berkeley. He is currently thescientific co-director of the Berkeley Wireless ResearchCenter (BWRC), as well as the director of the MARCOGigaScale Systems Research Center (GSRC).

Professor Rabaey received numerous scientificawards, including the 1985 IEEE Transactions on Com-puter-Aided Design Best Paper Award (CAS), the 1989Presidential Young Investigator Award, the 1994 Signal-Processing Society Senior Award, and the 2002 ISSCCJack Raper Award. He is an IEEE Fellow and has servedas associate editor for the IEEE Journal of Solid StateCircuits and the TODAES ACM Journal. He is past chairof the VLSI Signal Processing Technical Committee of

the Signal Processing Society, and chaired the Interna-tional Symposium on Low-Power Electronics and theIFIP Conference on Mobile Computing in 1996. From1994 until 2002 he served on the Executive Committeeof the Design Automation Conference, of which he wasboth technical program chair and general chair.

His current research interests include the conceptionand implementation of next-generation integrated wire-less systems. This includes the analysis and optimizationof communication algorithms and networking proto-cols, the study of low-energy implementation architec-tures and circuits, and the supporting design automa-tion environments.

Jan Van der Spiegel received his Mas-ters and PhD degrees in electrical engi-neering from the University of Leuven,Belgium, in 1974 and 1979, respective-ly. He joined the University of Penn-sylvania in 1981 where he is currentlythe interim chair of the Department ofElectrical and Systems Engineering andthe director of the Center for SensorTechnologies. His research interestsare in mixed-mode VLSI design, bio-

logically based sensors and sensory information pro-cessing systems, microsensor technology, and analog-to-digital converters. He is the author of over 150 jour-nal and conference papers and holds four patents. Heis a Fellow of the IEEE (2002) and the recipient of theIEEE Third Millennium Medal, the UPS Foundation Dis-tinguished Education Chair, and the Bicentennial Classof 1940 Term Chair. He received the Christian and MaryLindback Foundation Award and the S. Reid WarrenAward for Distinguished Teaching.

He has served on several IEEE program committeesand is currently the program secretary of the Interna-tional Solid-State Circuit Conference (ISSCC). He hasalso served on the Technology Directions Committeeand the Executive Committee of the ISSCC. He has beenthe chapters chairs coordinator of the IEEE Solid-StateCircuits Society (SSCS) for the past six years. Under hisleadership, the SSCS chapters have grown from a few toover forty worldwide. He is also a member of the SSCSMembership Committee.

Jan M. Rabaey

Jan Van derSpiegel

Iread with surprise in the October Newsletter in yoursummary of ferroelectric memory research that, “Theferroelectric phenomenon was first discovered and

described by Madame Curie.”Marie Curie may be responsible for ferromagnetism.

I have no references on hand which would settle thisquestion. However, the ferroelectric phenomenon wasfirst reported by Joseph Valasek in 1921 (at the Univer-

sity of Minnesota). The material was “Rochelle salt.”(References: J. Valasek, Physics Review 17, 1921, p.475 and

Jona and Shirane, “Ferroelectric Crystals,” Pergamon Press,1962, p. 280.)John ShierIEEE Senior Member(Retired and instructing at Normandale Community College, Bloomington, Minnesota)

Letter to the Editor—It Wasn’t Madame Curie

Page 12: ISSCC Focuses on Embedded Systemssscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · embedded systems for wireless, wireline, and mobile applications. Over 200

SSCS and IEEE have uncovered,through member surveys thisyear, the fact that many members

don’t realize that volunteers really domost of the work in IEEE. And manymembers say they would like to vol-unteer but don’t know how.

Conferences, chapters, andthe Journal are all organizedand produced with volunteereffort. This is the first in aseries of SSCS newsletter arti-cles about the volunteersbehind the scenes; who theyare, what they do, and howthey got started. With thesecase studies readers maydecide to seek places forthemselves on these commit-ted and engaged teams oftechnical professionals.

We’ll begin with interviewsof volunteers for the CustomIntegrated Circuits Confer-ence (CICC), an annual SSCS confer-ence with attendance of approxi-mately 400 and a Technical ProgramCommittee (TPC) of 79 members. Forits 25th year CICC changed its meet-ing to the fall after 24 years of beingheld in May. “CICC stands by a sim-ple mode of operation that is definedby ‘Innovation, Education, and Com-munication,’” points out GeneralChair, Phil Diodato.

How CICC Volunteers Got StartedJohan Van Der Tang used to visit sev-eral circuit conferences but until 2000he hadn’t visited the IEEE CICC yet.“In that year I had a paper presenta-tion on tunable filters and, if I recall it

accurately, before and after the ses-sion members of the Technical Pro-gram Committee mentioned that theywere looking for some new TPCmembers. I had just moved fromPhilips Research Eindhoven to Eind-

hoven University of Technology andthought it would be rewarding if Icould join the TPC. Obviously, Ienjoyed the high technical level of theconference. It is a wonderful opportu-nity to broaden one’s technical hori-zon. And this has a lot of synergy withbeing an assistant professor. Henceduring one of the author interviews, Iapproached one of the TPC members,and after that I got an invitation tosend in a CV and ended up being oneof the freshmen of TPC 2001.”

Takayasu Sakurai of the Universi-ty of Tokyo recalls his beginninginvolvement with the conference asa replacement for Dr. SusumuKohyama from Toshiba “who nomi-

nated me to the CICC Steering Com-mittee when he retired from the TPCof the CICC about fifteen years ago.”

Doug Garrity of Motorola, Educa-tion Sessions Chair in 2003, recallsthat a friend of his, Allen Barlow, was

serving as the Technical Pro-gram Chair in 1993. Garritywas attending the conferenceand talking with Barlow andjust offered to help. “I knewthat his was an incredibly dif-ficult job and said if you needhelp with this, I’d be happyto help. And the next thing, Iwas busy.”

Trudy Stetzler of TexasInstruments, the 2003 Techni-cal Program Chair, “attendedCICC for several years, andhad presented some educa-tional sessions. I discussedwith one of my friends whowas on the TPC what it was

like, and the responsibilities involved,and thought it would be an interestingexperience. He introduced me to sev-eral of the steering team members,who asked me to send a resume fortheir review. The steering team invit-ed me to join the CICC TPC.”

What Volunteers DoGarrity points out, “Everybody hastwo CICC jobs. On the CICC TPCeveryone serves on a topical sub-committee for paper review as wellas being involved in one of theorganizational committees, such asEducational Sessions or Publicity.”

Elliot Gould of Motorola says that,for most, “reviewing the papers is

January 2004 • Volume 9 – Number 112

Solid-State Circuits Society Is Volunteer Powered—The CICC Case Study

Two-thirds of members who volun-

teer with IEEE answered survey ques-

tions indicating they are either satisfied

or highly satisfied with their volunteer

experience. But 85% of our members

haven’t ever had a volunteer job. And

65% of our members don’t understand

the structure of SSCS enough to know

how to volunteer if they want to.

(From the IEEE All Society Research Project 2003, researchcoordinated by the IEEE Research, Corporate Strategy andCommunications.)

Phil Diodato,CICC GeneralChair

Doug Garrity,CICC EducationSessions Chair

Elliot Gould,CICC TechnicalProgram Committee

Takayasu Sakurai,CICC TechnicalProgram Committee

Jafar Savoj, CICC Panel Sessions Chair

Trudy Stetzler,Technical Program Chair2003

Johan Van DerTang, CICC Tech-nical ProgramCommittee

Page 13: ISSCC Focuses on Embedded Systemssscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · embedded systems for wireless, wireline, and mobile applications. Over 200

the biggest job.” Van Der Tang seeshis primary task as being a memberof the wired subcommittee. “Thebiggest part is encouraging peopleto send in papers and reviewingpapers of your subcommittee. Yourely on your network of people inthe industry and colleagues youknow from other universities. Forexample, I’ve spent five years atPhilips Research and know a lot ofpeople and their work withinPhilips. Hence I was successful sev-eral times in getting some submis-sions from Philips.”

Sakurai describes one of his rollsis “to promote public relations andto enhance visibility to attract morepeople to the conference.” He doesthis though his own “e-mail list, rec-ommending information and send-ing it to NIKKEI and other pressmedia.” He recruits papers simplyby calling people and talking tothem. The biggest part of his job is“reading papers and selecting goodpapers to make a technically attrac-tive program.”

Stetzler describes how the confer-ence comes together with each TPCmember playing these double roles.“The conference TPC has two meet-ings, TPC-1 in January and TPC-2 inMay prior to the conference. Theyare one day each. TPC-1 is mostly aplanning meeting—identifyingpapers to invite and authors/compa-nies to solicit for papers. The orga-nizational committees also set theirplans at this meeting, such as poten-tial exhibiters and events, educa-tional session tracks, potentialspeakers, panel topics, and potentialpanelists.” TPC-2 in May is primarilydedicated to paper selection.

What Happens in the Panel andEducation CommitteesJafar Savoj from UCLA says thebiggest job of the Panel SessionsChair is “to come up with a com-prehensive list of panel topics, andto help find good panelists to speakon the selected panels. Most of theideas are collected from the surveysthat go to the TPC members beforeour first meeting. On some occa-sions, unanswered questions in one

panel give rise to new panel topics.Also, some suggestions come fromindividuals or companies who wantto introduce a new trend in IC tech-nology.”

From a list of 100 suggestions, thePanel Committee members selecttheir top ten and narrow the list.Then Savoj presents the top picks tothe TPC and collects their vote. “Wepick the three panels with the high-est number of votes to be presentedat the conference. Then we invitethe individuals who have eitherestablished a reputation for theircontributions to the topic or workfor the companies that lead therelated technologies. The panelmoderators and the panel commit-tee members try to identify theseexperts. We invite people who havepublished on the topic and peoplewho are responsible for makingstrategic decisions.”

“We are looking for volunteerswho give us new ideas for the pan-els, like to present their strong opin-ion as a panelist, or put us in touchwith people who are excellent can-didates to sit on a panel. The prima-ry job of a panel member is to edu-cate the audience. He or she shouldbe able to present an in-depthanalysis of the topic and provide avery clear vision. The panelistshould be able to respond to thequestions from the audience anddefend his or her ideas,” Savojpoints out.

Garrity, as Education CommitteeChair, cautions that “We rarely takesomebody who just comes in andsays here’s an idea for an Ed sessionthat we can give and we’ll do a greatjob. It has to be somebody we knowis technically proficient and whoalso is an excellent speaker. There isan Education Subcommittee of fif-teen people where basically wecome up with topics and speakersand we focus on those choices.There is always a mix between get-ting the right speakers and getting allthe right topics we want. There aresome people that could come andtalk about whatever they wantedbecause they’re excellent speakersand are a big draw.”

The Steering Committee asks amember of the TPC to serve as anorganizational or session chair. Thejob of an organizational chair forany of the committees is a two-yearcommitment.

What Happens in the PaperReview Committees Stetzler describes the process. “Thereviewers receive the papers sub-mitted to the conference about threeweeks before the second TPC meet-ing in late May. They then must readall the papers for their subcommit-tee and evaluate them for technicalmerit, originality, clarity, and signifi-cance. Some of the subcommitteesget quite a few papers, so this isusually a busy time for the review-ers. They must send their scores tothe subcommittee chair usually acouple of days before TPC-2 so thechair can combine them all into onespreadsheet for review at TPC-2.TPC-2 is the final discussion andselection of the papers. This is themeeting where the entire technicalprogram is put together—number ofsessions, total papers per session,which sessions are on which day—everything needed for the advancedprogram.”

The number of papers per topicalsubcommittee ranges from 45 toabout 65. Paper submissionsincreased by 50% for the 2003 confer-ence but even the Wireless subcom-mittee, with the high of 68 papers,was “still manageable.” Stetzler pointsout that “The number of papers issomething we watch …if it does gettoo high, we may need to rethinkhow the committees are partitionedand perhaps change the partitioning.”

Gould offers more insights intothe paper selection process. “Themajority of the papers that arerejected simply fall short in one ormore of the scoring categories (tech-nical merit, clarity, originality, andsignificance) making them, by defin-ition, not very interesting pieces ofwork. Some good papers are reject-ed because there may be a lot ofvery good papers that year, and theysimply missed the cut. Other papersthat are good may be off topic from

Solid-State Circuits Society Newsletter 13

Page 14: ISSCC Focuses on Embedded Systemssscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · embedded systems for wireless, wireline, and mobile applications. Over 200

the themes that develop as the con-ference program is created. Most ofthe time though, if the paper isgood, we find a way to include it inthe conference,” Gould observed.

“All the reviews are unbiased,”Stetlzer continues. “For example, ifthe paper is from TI, I don’t vote onthe paper and I leave the room for allthe discussions (to allow an unbiaseddiscussion).” Gould agrees, “Every-one who I have interacted with at theCICC has been nothing but very pro-fessional about conflicts of interest.Everyone goes out of their way toensure they cannot be accused offavoring their allegiances.” Van DerTang continues, “For me region isirrelevant. Technical content, merit,and, hopefully, some incremental orstepwise advancement of science isof importance.”

Why Volunteers Enjoy VolunteeringAll agree that it is the people theywork with on CICC that provide themost satisfaction. Garrity is pleasedto have “gotten to rub shoulderswith all of the top analog designpeople in the world at one time oranother from being associated withthis conference.” Van der Tangquotes Newton, “‘We are standingon the shoulders of a giant.’ Openliterature and knowledge, confer-ences like the CICC contribute tothat and it is nice to be part of that.”

Garrity also resonates with somefundamental organizational choicesof the conference. “CICC gets fourpages for digest submission, not onepage of text and one page of illus-trations like some other confer-ences. The CICC tagline says it isabout education. It is the place tolearn how to do your job better, ver-sus other conferences that are aplace companies go to say this iswhat we do.”

Savoj credits organizing panels ashelping him “to learn about whatpeople think will come up in theindustry, and how research anddevelopment in the fast-paced solid-

state circuits society may evolve inthe coming years.”

Real Jobs and Volunteer JobsStetzler’s employer views her CICCactivity as “a useful career growthopportunity for me, as well as a ben-efit to TI to have someone from thecompany invited to be a part of theTechnical Program Committee. Theconference itself is a useful learningand educational event. They supportthe travel to the meetings and theconference as well as that time awayfrom my ‘real’ job. Of course, thereare still many additional hoursrequired outside of this time awaythat are a commitment on my part tohelp make CICC a successful confer-ence. TI does get some recognitionout of having a person on the tech-nical program committee.”

Gould’s management requireshim to annually justify why his par-ticipation is useful enough to theemployer to support him. Gouldprefers “to think of this work asfalling into the general ‘education’category. The CICC provides aunique educational opportunity inmany dimensions. First, it has won-derful educational sessions beforethe conference. Second, the confer-ence papers are very technical, typ-ically containing circuit-level detail.And the EDA vendors always comeexhibit, providing a close, intimatesetting to interact with them versusDAC, which has a bigger exhibit.”Personally, Gould enjoys “forcing”himself to “delve deeply into engi-neering subject matters that are out-side my immediate area of expertisethrough the paper review process.”

Sakurai agrees that without CICC,his busy schedule would preventhim from sparing the time to readpapers, accessing “their practical yetfirst-class technical achievements.”Van der Tang credits the in-depthreading of the papers required forthe selection process as providinghim an opportunity to “acquire a lotof insight and new ‘circuit tricks’.”

How Should a Newcomer GetStarted?During the CICC all committee mem-bers wear white ribbons. If you areinterested, “just make your interestclear to one of those persons. I thinkthe contact info on the Web page ofthe CICC (www.ieee.cicc.org) is alsoa possibility,” Van Der Tang advises.Stetzler agrees, “contact any memberof the steering team to find out whatthe responsibilities are and the com-mitment that they are required tomake. We usually ask for a resumeto see how the individual would fitin with the current technical pro-gram committee.”

Sakurai is interested in nominatingmore participants from his regionwho would increase the papers andattendance at CICC. For the Far Eastregion, he relies on the local customof semiconductor companies recom-mending a representative.

The best time for volunteering tojoin the TPC is during and immedi-ately after the conference occurs.For the 2004 conference, Diodatoreports that the committee staffingwas almost done by mid-November.Certainly suggesting panel topicsafter the advance program has goneout is too late. Savoj indicates thoselate summer “suggestions will beadded to the list of topics for the fol-lowing year. The topics of our pan-els are selected in January and thelist of panelists is finalized by Juneof every year.”

Van der Tang concludes about hisinvolvement in CICC TCP that, “thebenefits outweigh the invested timeby an order of a magnitude.”

Anne O’NeillSSCS Executive Director [email protected]

January 2004 • Volume 9 – Number 114

CICC 2004Orlando, FL 3–6 October 2004 Paper deadline: 5 April 2004 www.ieee-cicc.org

See a slice of what CICC volunteers work to bring you. Links to CICC outstanding papers are listed atsscs.org/pubs/CICCoutstnd.htm.

IEEE members have access to abstracts through IEEE Xplore™. Access to full conference articles in pdfmay be through your employer’s account, a subscription to the SSC Conference Digital Library, or the IEEEMember Digital Library. Individual article purchase is also an option in IEEE Xplore.

Page 15: ISSCC Focuses on Embedded Systemssscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · embedded systems for wireless, wireline, and mobile applications. Over 200

This selection of technical bookspublished in 2003 covers top-ics that may be of interest to

our members. The descriptions areprovided by the publishers.

Analysis and Design of DigitalIntegrated Circuits by David Hodges, Horace Jackson,and Resve Saleh, published byMcGraw-Hill, July 2003, $128.12,ISBN 0-072-28365-3.

The third edition of Hodges andJackson’s Analysis and Design ofDigital Integrated Circuits has beenthoroughly revised and updated by anew co-author, Resve Saleh of theUniversity of British Columbia. Thenew edition combines the approach-ability and conciseness of theHodges and Jackson classic with acomplete overhaul to bring the bookinto the 21st century.

The new edition has replaced theemphasis on bipolar with anemphasis on CMOS. The outdatedMOS transistor model used through-out the book is replaced with thenow standard deep submicronmodel. The material on memory hasbeen expanded and updated. Thebook now includes more on SPICEsimulation and new problems thatreflect recent technologies.

The emphasis of the book is ondesign, but it does not neglect analy-sis; it provides enough informationfor a student to carry out analysis ordesign a circuit. This book providesan excellent and balanced introduc-tion to digital circuit design for bothstudents and professionals.

CMOS Digital Integrated Circuits:Analysis and Designby Sung-Mo (Steve) Kang and YusufLeblebici, published by McGraw-Hill, October 2003, $109.06, ISBN 0-072-46053-9.

CMOS Digital Integrated Circuits:Analysis and Design is the mostcomplete book on the market forCMOS circuits. Appropriate for elec-trical engineering and computer sci-ence, this book starts with CMOS

processing, and then covers MOStransistor models, basic CMOS gates,interconnect effects, dynamic cir-cuits, memory circuits, BiCMOS cir-cuits, I/O circuits, VLSI designmethodologies, low-power designtechniques, design for manufactura-bility, and design for testability.

The book’s rigorous treatment ofbasic design concepts with detailedexamples addresses both the com-puter-aided analysis issues and thedesign issues for most of the circuitexamples. Numerous SPICE simula-tion results also are provided forillustration of basic concepts.Through analysis of CMOS circuitsin this text, students will be able tolearn the fundamentals of CMOSVLSI design, which is the drivingforce behind the development ofadvanced computer hardware.

Design Through Verilog HDLby T. R. Padmanabhan and B. BalaTripura Sundari, published by JohnWiely & Sons, October 2003, $79.95,ISBN 0-471-44148-1.

Verilog provides platforms fordescribing designs at different layersof complexity, combining them in aseamless manner, testing them atevery stage, and building a bug-freedesign. This book enables readers tomaster Verilog as an HDL for design.Design Through Verilog HDL engagesreaders at every stage through thevariety and number of examples.

Digital Audio Broadcasting: Prin-ciples and Applications of DigitalRadioby Wolfgang Hoeg and ThomasLauterbach, published by JohnWiley & Sons, October 2003, $98.00,ISBN 0-470-85013-2.

An innovative and universal mul-timedia broadcast system, the newdigital radio system, Digital AudioBroadcasting (DAB), is sure toreplace existing AM and FM broad-cast service in much of the world inthe near future. Combining theknowledge of leading experts in thefield, this fully updated new edition

introduces the recent internationalstandards, applications, and techni-cal issues of the Eureka 147 DABsystem.

Device Modeling for Analog andRF CMOS Circuit Designby Trond Ytterdal, Yuhua Cheng,and Tor A. Fjeldly, published byJohn Wiley & Sons, May 2003,$99.95, ISBN 0-471-49869-6.

Bridging the gap between modelingand analog circuit design, Device Mod-eling for Analog and RF CMOS CircuitDesign will appeal to practicing micro-electronics engineers and senior- andgraduate-level students taking coursesin analog integrated circuit design. Inorder to keep up with global demand,microelectronics engineers are contin-ually challenged to produce increas-ingly complex, high-performance inte-grated circuits. The steady downscal-ing of MOSFET/CMOS technology hashighlighted the need for a thoroughunderstanding of the properties,potentials, and limitations of the latestdevice models and technology. Pre-senting state-of-the-art MOSFETmodels, this book will prove a valu-able reference and text for engineersstriving to achieve first-time right,reduced time-to-market silicon prod-ucts. Two software packages, AIM-Spice and MOSCalc, are available viathe internet.

Lab on the Web: Running RealElectronics Experiments Via theInternetby Tor A. Fjeldly and Michael S.Shur, published by John Wiley &Sons (IEEE Press), September 2003,$74.95, ISBN 0-471-41375-5.

Lab on the Web: Running RealElectronics Experiments Via the Inter-net is a groundbreaking resource forremote study of interactive electron-ics applications. The widespread useof the internet as a communicationmedium has opened up a broadrange of possibilities for extendingits use into new areas. One sucharea is remote education, a rapidlygrowing part of today’s university

Solid-State Circuits Society Newsletter 15

Books of Interest to SSCS

Page 16: ISSCC Focuses on Embedded Systemssscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · embedded systems for wireless, wireline, and mobile applications. Over 200

curricula. Using the internet and Webtechnology, courses can be offered tostudents anywhere in the world withno more technical requirements thana personal computer and an internetconnection. Until recently however,lab courses have been consideredimpractical for remote access. Butthanks to technical advances of thepast decade, even these courses withtheir often-complex interactive tech-niques have been made accessible toremote students.

This is the first text to present, indetail, experiments that can be runover the Web, specifically in thearea of electronics. The authors pre-sent detailed descriptions of approx-imately 100 experiments in solid-state electronics that can be used byengineering and science students atall levels, anywhere in the world, aswell as by researchers working onsemiconductor devices.

The book’s widespread collabora-tion will enable universities aroundthe world to participate in theseremote access experiments. Enhancedby interactive Web sites, which willaccommodate a growing array ofexperimental modules from partici-pating institutions, the text opens up avirtual Pandora’s box of possibilitiesfor students to access a wide varietyof real experiments, and for institu-tions (jointly or individually) to estab-lish their own remote laboratory sites.

Microelectronic Circuitsby Adel S. Sedra and K. C. Smith,published by Oxford UniversityPress, November 2003, $112.00,ISBN 0-195-14251-9.

The fifth edition of Microelectron-ic Circuits provides a framework todevelop a student’s ability to analyzeand design all kinds of electronic cir-cuits. Thoroughly updated andrevised, this edition features changesthat are evident from the condensedtable of contents, and a great manymore that are included in the chap-ters. All the revisions, organization,and topical coverage reflect changesin technology—CMOS technology inparticular—by far the most signifi-cant development in the world ofmainstream electrical engineering.

Microelectronic Circuit Designwith CD-ROMby Richard C. Jaeger and TravisBlalock, published by McGraw-Hill(Higher Education), July 2003,$144.85, ISBN 0-07-250503-6.

Microelectronic Circuit Design isknown as a technically excellenttext. The new edition has beenrevised to make the material moremotivating and accessible to stu-dents. A new co-author, TravisBlalock, has joined Jaeger to assistin providing a student-friendlyapproach. A pedagogical frameworkhas been added that includes chap-ter opening vignettes, chapter objec-tives, “Electronics in Action” boxes,a problem-solving methodology,and “Design Note” boxes.

The number of examples, includ-ing new design examples, has beenincreased, giving students moreopportunities to see problems workedout. Additionally, some of the lessfundamental mathematical materialhas been moved to the Web site.

Multi-Carrier and Spread SpectrumSystemsby Khaled Fazel and Stefan Kaiser,published by John Wiley & Sons,October 2003, $89.95, ISBN 0-470-84899-5.

This highly accessible workdescribes and analyzes the basicconcepts of the combination of mul-ticarrier transmission with spreadspectrum (MC-SS).

Operation and Modeling of theMOS Transistor (Second Edition)by Yannis Tsividis, published byOxford University Press, November2003, $110.00, ISBN 0-195-17014-8.

Extensively revised and updated,this is the second edition of the high-ly praised text that has become astandard in academia and industry.The book provides a unified, carefultreatment of the MOS transistor within-depth development of manyimportant models, ranging from thesimple to the sophisticated, with theconnection between models clearlyidentified. Many aspects of modelingare covered, including: DC, AC,small-signal, large-signal transient,

quasi-static, nonquasi-static, andnoise. New material on charge-sheetmodels, small-dimension effects,noise, and modeling for RF applica-tions is included. A new chapter onmodeling for CAD discusses the con-text, considerations, and pitfalls asso-ciated with the development of mod-els for computer-aided design, anddescribes ways to evaluate them.

Phase-Locked Loopsby Roland Best, published byMcGraw-Hill, June 2003, $79.95,ISBN 0-071-41201-8.

The communications industry’sbig move into wireless in the pasttwo years has made this maturetopic red hot again. Phase-lockedloops (PLLs) are electronic circuitsused for frequency control. Any-thing using radio waves, from sim-ple radios and cell phones tosophisticated military communica-tions gear, uses PLLs.

The fifth edition of this classic cir-cuit reference comes with valuablePLL design software written by Dr.Best. The software alone is worthmany times the price of the book.The new edition also includes newchapters on frequency synthesis,CAD for PLLs, mixed-signal PLLs,and a new collection of samplecommunications applications.

Signal Integrity—Simplifiedby Eric Bogatin, published by Pren-tice Hall, September 2003, $89.00,ISBN 0-130-66946-6.

Suitable for even non-specialists,Signal Integrity—Simplified offers acomprehensive, easy-to-follow lookat how physical interconnects affectelectrical performance. World-classengineer Eric Bogatin reviews theroot causes of the four families ofsignal integrity problems and offerssolutions to design them out earlyin the design cycle. Unlike relatedbooks that concentrate on theoreti-cal derivation and mathematicalrigor, this book emphasizes intu-itive understanding, practical tools,and engineering discipline. Special-ly designed for everyone in theelectronics industry, from electricalengineers to product managers,

January 2004 • Volume 9 – Number 116

Page 17: ISSCC Focuses on Embedded Systemssscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · embedded systems for wireless, wireline, and mobile applications. Over 200

Signal Integrity—Simplified willprove itself a valuable resource forhelping you find and fix signalintegrity problems before theybecome problems.

ULSI Semiconductor TechnologyAtlasby Chi-Hang Tung, George T.Sheng, and Chih-Yuan Lu, publishedby John Wiley & Sons, September

2003, $125.00, ISBN 0-471-45772-8.ULSI Semiconductor Technology

Atlas uses examples and TEM (Trans-mission Electron Microscopy) micro-graphs to explain and illustrate ULSIprocess technologies and their asso-ciated problems. The natural out-growth of VLSI (Very Large ScaleIntegration), Ultra Large Scale Inte-gration (ULSI) refers to semiconduc-tor chips with more than 10 million

devices per chip. Written by threerenowned pioneers in their field, thisbook provides a historical introduc-tion to the technology as well as cov-erage of the evolution of basic ULSIprocess problems and issues. Addi-tional advanced microelectronicsdevices and materials, such as flashmemories, SOI, SiGe devices, MEMS,and CD-ROMs, are also explainedand illustrated with TEM.

Solid-State Circuits Society Newsletter 17

Bulgaria Chapter—Albert Wang,of the Illinois Institute of Tech-nology and SSCS Distin-

guished Lecturer visited the SSC/EDchapters in Sofia and Varna, Bulgaria,in early August 2003. Marin Hristov ofthe Technical University of Sofia,Chapter Chair of the SSC Sofia Chap-ter, organized the visit in Sofia, whichincluded general discussion of IEEEchapter activities and issues, as wellas lab visits. In the afternoon, Wangdelivered a Distinguished Lecture atMelexis, a major microelectronicscompany in Bulgaria. The lecturewas very well received by an audi-ence of approximately forty. Techni-cal discussions followed the lecture.The next day Jordan Kolev of theTechnical University at Varna hosteda chapter meeting with Wang pre-

senting another Dis-tinguished Lecture onESD protection.

Minsk Chapter—Wang also visited theSSC Belarus–MinskChapter 7–8 July2003, and was host-ed by the MinskChapter Chair, SergeiMalyshev, of theNational Academy ofSciences of Belarus.On 7 July, Wangdelivered a Distin-guished Lecture atIntegral (a regionalmic roe lec t ron ic sgiant) on advancedESD protection forICs, which was well

Chapters Make SSCS Vital Around the World

Albert Wang, SSCS Distinguished Lecturer, visiting withChapter Chair Martin Hristov of the Technical University ofSofia, Bulgaria.

SSC/ED Varna, Bulgaria, Chapter Meeting 2 August 2003. On the left is Chapter Chair Jordan Kolev; Albert Wang, SSCS Distin-guished Lecturer, is fifth from the left.

Page 18: ISSCC Focuses on Embedded Systemssscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · embedded systems for wireless, wireline, and mobile applications. Over 200

accepted by the audience of aboutforty. Discussion followed the lec-ture, including reviews of some relat-ed recent designs by members of theNational Academy. Mutual interestsin future collaboration on related ICdesigns were discussed. On 8 JulyWang visited the Institute of Elec-tronics of the National Academy ofSciences.

Professor Malyshev expressedappreciation of society financialsupport for IEEE membership(membership fee subsidies) as criti-cal to maintaining local chapters.The Minsk SSCS and EDS chaptershave been doing quite well withmany organized activities. However,other IEEE society chapters havestopped functioning due to the

membership drain caused by thefee, which is a burden to local mem-bers with lower incomes. Wangreported that, overall, this was avery fruitful visit.

West Ukraine—The West UkraineChapter organized the 8th Interna-tional Seminar/Workshop on Directand Inverse Problems of Electro-magnetic and Acoustic Wave Theo-ry (DIPED-2003) 22–25, September2003. The Republic of GeorgiaChapter was co-organizer of thisevent. The Electron Devices Societyprovided technical co-sponsorshipand inclusion of the Seminar Pro-ceedings into the IEEE Book BrokerProgram. The MTT-S, AP-S, CPMT-S, SSC-S, and Ukraine Section wereamong the supporting IEEE institu-

tions. The Seminar/Workshop washeld at the Pidstryhach Institute ofApplied Problems of Mechanicsand Mathematics, NASU, Lviv,Ukraine.

Forty-eight papers of participantsfrom Georgia, Germany, China,Lebanon, Poland, Russia, UK, andUkraine were presented in the 6 oralsessions:

• Theoretical aspects of electrodynamics

• Complex media• Diffraction and numerical

methods• Antennas and transmission lines,• Mobile antenna radiation• Acoustics and field measurementThe paper topics covered the tra-

ditional scientific areas: propagation,diffraction and scattering of waves inhomogeneous and non-homoge-neous media, synthesis of radiatingsystems and field transformers,restoring the shape of radiating andscattering bodies, as well as thenovel problems such as study andsimulation of electromagnetic field inthe complete vehicle structure,development and modeling the newantenna system for mobile phones,and decreasing the interaction ofelectromagnetic field of mobilephones on the human body.

January 2004 • Volume 9 – Number 118

Albert Wang, SSCS Distinguished Lecturer, visiting with Minsk Chapter Chair SergeiMalyshev of the National Academy of Sciences of Belarus.

The participants of the Direct and Inverse Problems of Electro-magnetic and Acoustic Wave Theory (DIPED-2003) held at thePidstryhach Institute of Applied Problems of Mechanics andMathematics, NASU, Lviv, Ukraine.

2003 Outstanding ChapterThe SSCS Bangalore Chapter will be

recognized as the SSCS Outstanding

Chapter during the ISSCC Plenary

Session, 16 February 2004. Look for

more details about their activities in

the April issue of the newsletter. The

Bangalore Chapter is chaired by

Navakanta Bhat and is joint with

Electron Devices Society.

Page 19: ISSCC Focuses on Embedded Systemssscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · embedded systems for wireless, wireline, and mobile applications. Over 200

The SSCS DenverChapter recentlycelebrated its first

anniversary, having host-ed regular monthly tech-nical seminars and occa-sional social meetingsthroughout its inauguralyear. Attendance regular-ly exceeded 20 to 35people, especially posi-tive considering that themeetings were sched-uled for Friday after-noons! So far, seminarshave been held at Col-orado State University inFort Collins, Colorado,thanks to the assistanceof Professor Derek Lileof the EE Department.

The Denver Chapterwas founded by Dr.Randy Rannow of Hewlett-Packardand kicked off its inaugural meet-ing on 27 September 2002 with amuch-anticipated DistinguishedLecture on phase noise in LC oscil-lators by UCLA EE Professor AsadAbidi. Since then, invited speakershave covered topics ranging fromcircuit techniques and systems todevice technology trends andinnovations. Following ProfessorAbidi’s talk, Dr. Stan Williams ofHP Labs, Palo Alto, California,gave an inspiring presentation onmolecular electronics and quan-tum computing. The followingmonth, Peter O’Neill of AgilentLabs, Fort Collins, Colorado,shared his perspectives andinsights on IC technology trends.Chapter founder, Dr. Rannow, wassoon transferred to another HPsite—many thanks to him for hisinitiative and efforts.

Chapter activities were continuedby Dr. Don McGrath of LSI Logic andDr. Alvin Loke and Bob Barnes, bothof Agilent Technologies. The firsttechnical activity in 2003 was an edi-torial of ISSCC 2003 papers andtrends, presented by Jay Ackermanand Steve Burnham of LSI Logic andBob Barnes of Agilent Technologies.That was followed by two Distin-guished Lecturer seminars—the firstby Professor Albert Wang of the Illi-nois Institute of Technology, Chica-go, Illinois, who presented novelESD techniques, and the second byDr. Dick Hester of Texas Instru-ments, Dallas, Texas, who taught aninsightful forum on techniques andchallenges of analog front-enddesign for ADSL. In the next month,Chapter Chair, Dr. Don McGrath,presented a well-received tutorial onsigma-delta A/D converters andsummarized his PhD. findings on

applying genetic algo-rithms to optimize sigma-delta designs. Next, Pro-fessors Dragan Maksi-movic and Regan Zanefrom the University ofColorado, Boulder, Col-orado, delivered an infor-mative lecture on digitalcontrol of switchingpower converters andpulse-width modulators.

The Chapter’s mostrecent activity was anoth-er well-received tutorial,this time conducted byDr. Alvin Loke, ChapterSecretary/Webmaster,who lectured on cop-per/low-K interconnectsand electromigration fun-damentals and shared hisperspectives on technol-

ogy trends. Within the year, twowell-attended social events werehosted at a local clubhouse. Mem-bers of the local SSCS chapter andinterested non-members are dis-covering that Fort Collins and itsvicinity are home to a large com-munity of friendly designersengaged in many exciting circuitactivities. We have just conductedannual elections for 2004 at whichtime Tin Tin Wee joined the exist-ing officer team. Here’s to a suc-cessful chapter start!

Please visit our Web site atewh.ieee.org/r5/denver/sscs/ formore information (including pastpresentation slides) about our chap-ter events.

Alvin LokeDenver Chapter Vice [email protected]

Solid-State Circuits Society Newsletter 19

Newly elected officers from left to right: Alvin Loke, Vice Chair; DonMcGrath, Chair; Bob Barnes, Treasurer; Tin Tin Wee, Secretary/Web-master.

The Denver Chapter Celebrates Its First Anniversary

JSSC Zeitgeist is the spirit of the times sscs.org/jssc/hotreads.htm

Page 20: ISSCC Focuses on Embedded Systemssscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · embedded systems for wireless, wireline, and mobile applications. Over 200

January 2004 • Volume 9 – Number 120

445 Hoes Lane Piscataway, NJ 08854

IEEE SOLID-STATE CIRCUITS SOCIETY NEWSLETTER (ISSN1098-4232) is published quarterly by the Solid-State Circuits Soci-ety of The Institute of Electrical and Electronics Engineers, Inc.Headquarters: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. $1 per member per year (included in society fee) for eachmember of the Solid-State Circuits Society. This newsletter is print-ed in the U.S.A. Periodicals postage paid at New York, NY and atadditional mailing offices. Postmaster: Send address changes toIEEE Solid-State Circuits Society Newsletter, IEEE, 445 Hoes Lane,Piscataway, NJ 08854. ©2004 IEEE. Permission to copy without feeall or part of any material without a copyright notice is grantedprovided that the copies are not made or distributed for directcommercial advantage and the title of publication and its dateappear on each copy. To copy material with a copyright noticerequires specific permission. Please direct all inquiries or requeststo IEEE Copyrights Manager, IEEE Service Center, 445 Hoes Lane,Piscataway, NJ 08854. Tel: +1 732 562 3966.

SSCS SPONSORED MEETINGS2004 ISSCC International Solid-State Circuits Conferencewww.isscc.org 15–19 February 2004 San Francisco Marriott Hotel, San Francisco, CA, USAContact: Courtesy Associates, [email protected]

2004 Symposium on VLSI Circuitswww.vlsisymposium.org 17–19 June 2004Hilton Hawaiian Village, Honolulu, HI, USAPaper deadline: 7 January 2004Contact: Phyllis Mahoney, [email protected] orBusiness Center for Academic Societies, [email protected]

2004 CICC Custom Integrated Circuits Conferencewww.ieee-cicc.org 3–6 October 2004Caribe Royale Resort Suites, Orlando, FL, USAPaper deadline: 5 April 2004Contact: Ms. Melissa Widerkehr, [email protected]

TECHNICALLY CO-SPONSORED MEETINGS2004 Radio Frequency Integrated Circuits Symposium www.rfic2004.org 6–8 June 2004Fort Worth, TX, USAPaper deadline: passed

2004 European Solid-State Circuits Conferencewww.esscirc.org/ 20–24 September 2004Leuven, BelgiumPaper deadline: 22 March 2004

2004 International Symposium on Low-Power Electronics and DesignWeb site: www.islped.org 9–11 August 2004Newport Beach, CA, USAPaper deadline: 5 February 2004

2004 Symposium on VLSI Technologywww.vlsisymposium.org 15–17 June 2004Hilton Hawaiian Village, Honolulu, HI, USAPaper deadline: 7 January 2004

SSCS COOPERATES TO BRING TO YOUR ATTENTION2004 IEEE Microelectronics in Communications Workshopwww.imicw.org 19–21 April 2004Beautiful Resort, Georgia, USAPaper deadline: 10 January 2004

SSCS EVENTS CALENDARAlso posted on www.sscs.org/meetings

To maintain all your IEEE and SSCS subscriptions, emailaddress corrections to: [email protected]

To make sure you receive an email alert, keep your emailaddress current at sscs.org/e-news