Ion Implantation Chpt 8, #14 - University of Washington · 28 10−15 1 2 1 23 2 2312 1 1 2 eV-cm2...

25
Class Handout #14 © 1999 EE212 1999-00 1 JDP, MDD, PBG EE 212 FALL 1999-00 ION IMPLANTATION - Chapter 8 Basic Concepts • Ion implantation is the dominant method of doping used today. In spite of creating enormous lattice damage it is favored because: • Large range of doses - 10 11 to 10 16 /cm 2 • Extremely accurate dose control • Essential for MOS V T control • Buried (retrograde) profiles are possible • Low temperature process • Wide choice of masking materials • There are also some significant disadvantages: • Damage to crystal • Anomalous transiently enhanced diffusion (TED) upon annealing this damage • Charging of insulating layers V V Ion source Analyzing magnet Pump Resolving aperature Accelerator Focus Neutral beam gate Neutral trap X & Y scan plates Wafer Faraday cup Q 0-30keV 0-200keV

Transcript of Ion Implantation Chpt 8, #14 - University of Washington · 28 10−15 1 2 1 23 2 2312 1 1 2 eV-cm2...

Page 1: Ion Implantation Chpt 8, #14 - University of Washington · 28 10−15 1 2 1 23 2 2312 1 1 2 eV-cm2 (15) where Z1, m1 = ion and Z2, m2 = substrate. B. Non-Local and Local Electronic

Class Handout #14 © 1999

EE212 1999-00 1 JDP, MDD, PBG

EE 212 FALL 1999-00

ION IMPLANTATION - Chapter 8

Basic Concepts

• Ion implantation is the dominant method ofdoping used today. In spite of creating enormouslattice damage it is favored because:• Large range of doses - 1011 to 1016 /cm2

• Extremely accurate dose control• Essential for MOS VT control• Buried (retrograde) profiles are possible• Low temperature process• Wide choice of masking materials

• There are also some significant disadvantages:• Damage to crystal• Anomalous transiently enhanced diffusion

(TED) upon annealing this damage• Charging of insulating layers

V

V

Ionsource

Analyzing magnet

Pump

Resolvingaperature

Accelerator

Focus

Neutralbeam gate

Neutraltrap X & Y

scanplates

Wafer

Faraday cup

Q0-30keV

0-200keV

Page 2: Ion Implantation Chpt 8, #14 - University of Washington · 28 10−15 1 2 1 23 2 2312 1 1 2 eV-cm2 (15) where Z1, m1 = ion and Z2, m2 = substrate. B. Non-Local and Local Electronic

Class Handout #14 © 1999

EE212 1999-00 2 JDP, MDD, PBG

A. Implant Profiles

• At its heart ion implantation is a random process.• High energy ions (1-1000keV) bombard the

substrate and lose energy through nuclearcollisions and electronic drag forces.

Range R

Projected rangeRP

Vacuum Silicon

• Profiles can often be described by a Gaussiandistribution, with a projected range and standarddeviation. (200keV implants shown below.)

1021

1020

1017

1019

1018

Con

cent

ratio

n (c

m-3

)

0 0.2 0.4 0.6 0.8 1Depth (µm)

SbAs P

B

0.606 CP∆RP

RP

Page 3: Ion Implantation Chpt 8, #14 - University of Washington · 28 10−15 1 2 1 23 2 2312 1 1 2 eV-cm2 (15) where Z1, m1 = ion and Z2, m2 = substrate. B. Non-Local and Local Electronic

Class Handout #14 © 1999

EE212 1999-00 3 JDP, MDD, PBG

C x Cx R

RPP

P( ) exp= −

−( )

2

22∆(1)

Q C x dx= ( )∫−∞

∞ or Q R CP P= 2π ∆ (2)

where Q is the dose in ions cm-2 and is measured bythe integrated beam current.

• The ranges and standard deviation ∆Rp of thecommon dopants in randomly oriented silicon areshown below.

0

0.05

0.1

0.15

0.2

0.25

0.3

0.35

0.4

0 40 80 120 160 200

Dep

th (µ

m)

Energy (keV)

B

P

Sb

As

Page 4: Ion Implantation Chpt 8, #14 - University of Washington · 28 10−15 1 2 1 23 2 2312 1 1 2 eV-cm2 (15) where Z1, m1 = ion and Z2, m2 = substrate. B. Non-Local and Local Electronic

Class Handout #14 © 1999

EE212 1999-00 4 JDP, MDD, PBG

0

0.02

0.04

0.06

0.08

0.1

0.12

0 40 80 120 160 200

Sta

ndar

d D

evia

tion

(µm

)

Energy (keV)

B

P

Sb

As

• Monte Carlo simulations of the randomtrajectories of a group of ions implanted at a spoton the wafer show the 3-D spatial distribution ofthe ions. (1000 phosphorus ions at 35 keV.)

ImplantBeam

x

y

z

80

40

0

-40

050

-100-50

040

80120

• This appears as an elongated ellipse because mostof the high energy ions undergo only small anglecollisions.

Page 5: Ion Implantation Chpt 8, #14 - University of Washington · 28 10−15 1 2 1 23 2 2312 1 1 2 eV-cm2 (15) where Z1, m1 = ion and Z2, m2 = substrate. B. Non-Local and Local Electronic

Class Handout #14 © 1999

EE212 1999-00 5 JDP, MDD, PBG

• Side view shows Rp and ∆Rp while the beamdirection view shows the lateral straggle.

zBeam direction

80

40

0

-40

y

050100 -100-50

y

-40

0

40

80

x

Side view

0 40 80 120

• The two-dimensional distribution is often assumedto be composed of just the product of the verticaland lateral distributions.

C x y C xyRvert, exp( ) = ( ) −

2

22∆(3)

• Now consider what happens at a mask edge - ifthe mask is thick enough to block the implant, thelateral profile under the mask is determined by thelateral straggle. (35keV and 120keV As implantsat the edge of a poly gate from Alvis et al.)

Page 6: Ion Implantation Chpt 8, #14 - University of Washington · 28 10−15 1 2 1 23 2 2312 1 1 2 eV-cm2 (15) where Z1, m1 = ion and Z2, m2 = substrate. B. Non-Local and Local Electronic

Class Handout #14 © 1999

EE212 1999-00 6 JDP, MDD, PBG

• The description of the profile at the mask edge isgiven by a sum of point response Gaussianfunctions, which leads to an error functiondistribution under the mask.

B. Masking Implants

How thick does a mask have to be?

CP*

RP*

Depth

xm

C*(xm)CB

Con

cent

ratio

n

• For masking,

C x Cx R

RCm P

m P

PB

* **

*exp( ) = −

−( )

≤2

22∆

(4)

• Calculating the required mask thickness,

x R RCC

R m Rm P PP

BP P= +

= +* **

* *ln∆ ∆2 (5)

Page 7: Ion Implantation Chpt 8, #14 - University of Washington · 28 10−15 1 2 1 23 2 2312 1 1 2 eV-cm2 (15) where Z1, m1 = ion and Z2, m2 = substrate. B. Non-Local and Local Electronic

Class Handout #14 © 1999

EE212 1999-00 7 JDP, MDD, PBG

• The dose that penetrates the mask is given by

QQ

R

x R

Rdx

Qerfc

x R

RPP

P

Px

m P

Pm

= − −

∫ = −

2 2 2 2

2

π∆ ∆ ∆*

*

*

*

*exp

(6)

• Real structures may be more complicated becausemask edges or implants are not vertical.

30 Degree Tilt

Dis

tanc

e (µ

m)

Distance (µm)

30˚ tilt

0 0.2 0.4 0.6 0.8 1.0

0.2

0

-0.2

-0.4

C. Profile Evolution During Annealing

• Comparing Eqn. (1) with the Gaussian profilefrom the last set of notes, we see that ∆Rp isequivalent to 2 Dt . Thus

C x tQ

R Dt

x R

R DtP

P

P

, exp( ) =+( )

−−( )

+( )

2 2 2 22

2

2π ∆ ∆(7)

Page 8: Ion Implantation Chpt 8, #14 - University of Washington · 28 10−15 1 2 1 23 2 2312 1 1 2 eV-cm2 (15) where Z1, m1 = ion and Z2, m2 = substrate. B. Non-Local and Local Electronic

Class Handout #14 © 1999

EE212 1999-00 8 JDP, MDD, PBG

∆RP

Implanted

After Diffusion

2Dt

• Thus if the implanted profile is Gaussian, laterthermal cycles produce a Gaussian profile as well(assuming the surface doesn't come into play).

• The only other profile we can calculateanalytically is when the implanted Gaussian isshallow enough that it can be treated as a deltafunction and the subsequent anneal can be treatedas a one-sided Gaussian. (Recall example indiffusion notes.)

1020

1019

Con

cent

ratio

n (c

m-3

)

1018

10170 0.05 0.1 0.15 0.2 0.25 0.3

Depth (µm)

Antimony 360 keV

Boron38 keV

Page 9: Ion Implantation Chpt 8, #14 - University of Washington · 28 10−15 1 2 1 23 2 2312 1 1 2 eV-cm2 (15) where Z1, m1 = ion and Z2, m2 = substrate. B. Non-Local and Local Electronic

Class Handout #14 © 1999

EE212 1999-00 9 JDP, MDD, PBG

• Real implanted profiles are more complex.• Light ions backscatter to skew the profile

upwards.• Heavy ions scatter deeper.

• 4 moment descriptions of these profiles are oftenused (with tabulated values for these moments).

Range: RQ

xC x dxP = ( )∫−∞

∞1(8)

Std. Dev: ∆RQ

x R C x dxP P= −( )∫ ( )−∞

∞1 2

(9)

Skewness: γ =−( ) ( )∫

−∞

∞x R C x dx

Q R

P

P

3

3∆(10)

Kurtosis: β =−( ) ( )∫

−∞

∞x R C x dx

Q R

P

P

4

4∆(11)

D. Implants in Real Silicon - Channeling

• At least until it is damaged by the implant, Si is acrystalline material.

• Channeling can produce unexpectedly deepprofiles.

Page 10: Ion Implantation Chpt 8, #14 - University of Washington · 28 10−15 1 2 1 23 2 2312 1 1 2 eV-cm2 (15) where Z1, m1 = ion and Z2, m2 = substrate. B. Non-Local and Local Electronic

Class Handout #14 © 1999

EE212 1999-00 10 JDP, MDD, PBG

• Screen oxides and tilting/rotating the wafer canminimize but not eliminate these effects. (7˚ tilt iscommon.)

0 0.1 0.2 0 .3 0 .4 0. 5 0.6 0 .7 0 .8Depth (µm)

Con

cent

ratio

n (c

m-3

) 2 x 1013 cm-2 scaled

2 x 1013 cm-2

2 x 1015 cm-2

1021

1020

1019

1018

1017

1016

1015

• Sometimes a dual Pearson profile description isuseful.

Page 11: Ion Implantation Chpt 8, #14 - University of Washington · 28 10−15 1 2 1 23 2 2312 1 1 2 eV-cm2 (15) where Z1, m1 = ion and Z2, m2 = substrate. B. Non-Local and Local Electronic

Class Handout #14 © 1999

EE212 1999-00 11 JDP, MDD, PBG

Modeling of Range Statistics

• The total energy loss during an ion trajectory isgiven by the sum of nuclear and electronic losses(these can be treated independently).

dEdx

N S Sn e= − +( ) (12)

R dxN

dES E S E

R

n e

E= ∫ =

+∫0 0

1 0

( ) ( )(13)

A. Nuclear Stopping

• An incident ion scatters off the core charge on anatomic nucleus, modeled to first order by ascreened Coulomb scattering potential.

V rq Z Z

rra

( ) exp= −

21 2

4πε(14)

TargetRecoil

Incidention

ScatteredIon

• This potential is integrated along the path of theion to calculate the scattering angle. (Look-uptables are often used in practice.)

Page 12: Ion Implantation Chpt 8, #14 - University of Washington · 28 10−15 1 2 1 23 2 2312 1 1 2 eV-cm2 (15) where Z1, m1 = ion and Z2, m2 = substrate. B. Non-Local and Local Electronic

Class Handout #14 © 1999

EE212 1999-00 12 JDP, MDD, PBG

• Sn(E) in Eqn. (13) can be approximated by

S E xZ Z

Z Z

mm mn( ) .

/ / /=+( ) +

−2 8 10 15 1 2

12 3

22 3 1 2

1

1 2 eV - cm2

(15)

where Z1, m1 = ion and Z2, m2 = substrate.

B. Non-Local and Local Electronic Stopping

• Drag force caused by charged ion in "sea" ofelectrons (non-local electronic stopping).

Dielectric Medium

Retarding E-field

Vion

• Collisions with electrons around atoms transfersmomentum and results in local electronicstopping.

Vion

Target

e-

Page 13: Ion Implantation Chpt 8, #14 - University of Washington · 28 10−15 1 2 1 23 2 2312 1 1 2 eV-cm2 (15) where Z1, m1 = ion and Z2, m2 = substrate. B. Non-Local and Local Electronic

Class Handout #14 © 1999

EE212 1999-00 13 JDP, MDD, PBG

• To first order,

S E cv kEe ion( ) /= = 1 2, k x≅ −0 2 10 15. ev cm1/2 2 (16)

C. Total Stopping Power

Ene

rgy

loss

(ke

V µm

-1)

10

100

1000

10 100 1000Energy (keV)

AsN

BN

SEPN

• The critical energy Ec when the nuclear andelectronic stopping are equal is

B: ≈ 17keVP: ≈ 150keVAs, Sb : > 500keV

Damage Production

• Consider a 30keV arsenic ion, which has a rangeof 25 nm, traversing roughly 100 atomic planes.

Page 14: Ion Implantation Chpt 8, #14 - University of Washington · 28 10−15 1 2 1 23 2 2312 1 1 2 eV-cm2 (15) where Z1, m1 = ion and Z2, m2 = substrate. B. Non-Local and Local Electronic

Class Handout #14 © 1999

EE212 1999-00 14 JDP, MDD, PBG

• The number of displaced particles created by anincoming ion is given by

nEE

ionsn

d= =

×=

230 0002 15

1000,

(17)

300 eV Si Knock-on

30 keVAs ion

100 atomic planes

Damage cylinder

t = 0.1 ps

t = 0.5 ps

t = 6.0 ps

• Molecular dynamics simulation of a 5keV Boronion implanted into silicon [de la Rubia, LLNL]

Page 15: Ion Implantation Chpt 8, #14 - University of Washington · 28 10−15 1 2 1 23 2 2312 1 1 2 eV-cm2 (15) where Z1, m1 = ion and Z2, m2 = substrate. B. Non-Local and Local Electronic

Class Handout #14 © 1999

EE212 1999-00 15 JDP, MDD, PBG

Amorphization

• For high enough doses, the crystal becomesamorphous and loses all long range order. At thispoint, the arrangement of lattice atoms is randomand the damage accumulation has saturated.

1E15 1.5E15 2E15 4E15 5E15 1E16

0.2µm

Cry

stal

line

Am

orph

ous

Surface

• Cross sectional TEM images of amorphous layerformation with increasing implant dose (300keVSi -> Si) [Rozgonyi]

Damage Annealing

Goals:

• Remove primary damage created by the implantand activate the dopants.

• Restore silicon lattice to its perfect crystallinestate.

• Restore the electron and hole mobility.• Do this without appreciable dopant redistribution.

Page 16: Ion Implantation Chpt 8, #14 - University of Washington · 28 10−15 1 2 1 23 2 2312 1 1 2 eV-cm2 (15) where Z1, m1 = ion and Z2, m2 = substrate. B. Non-Local and Local Electronic

Class Handout #14 © 1999

EE212 1999-00 16 JDP, MDD, PBG

10-8 10-6 10-4 10-2 1 100

0.1

1

10

100

Surface Vrecombination

Bulk I&Vrecombination

Surface Irecombination

Initial excess I & V

Ann

hila

ted

I & V

per

impl

ante

d io

n

Seconds

• Bulk and surface recombination take place on ashort time scale.

• "+1" I excess remains. These I coalesce into{311} defects which are stable for longer periods.

• {311} defects anneal out in sec - min at moderatetemperatures (800 - 1000˚C) but eject I ⇒ TED.

<311>

<110>

311 Capture radiusI-dimer

Ribbon-like defect

• Stable dislocation loops can form when thedamage is greater (amorphizing implant - seebelow).

Page 17: Ion Implantation Chpt 8, #14 - University of Washington · 28 10−15 1 2 1 23 2 2312 1 1 2 eV-cm2 (15) where Z1, m1 = ion and Z2, m2 = substrate. B. Non-Local and Local Electronic

Class Handout #14 © 1999

EE212 1999-00 17 JDP, MDD, PBG

Solid Phase Epitaxy

• If the substrate is amorphous, it can regrow bySPE.

5 min 10 min 15 min 20 min

Amorphous

100 nm

EORdamage

• Cross sectional TEM images of amorphous layerregrowth at 525˚C, from a 200keV, 6e15 cm-2 Sbimplant [Fletcher].

SPE regrowth

EOR loops

Residualdamage

Max damagebelow

amorphizationthreshold

a/c interface

Implanted Profile

Con

cent

ratio

n

DepthSurface

• BUT - the tail of damage beyond the a/c interfacecan nucleate stable, secondary defects and causetransient enhanced diffusion (TED).

Page 18: Ion Implantation Chpt 8, #14 - University of Washington · 28 10−15 1 2 1 23 2 2312 1 1 2 eV-cm2 (15) where Z1, m1 = ion and Z2, m2 = substrate. B. Non-Local and Local Electronic

Class Handout #14 © 1999

EE212 1999-00 18 JDP, MDD, PBG

Dopant Activation

• When the substrate is amorphous, SPE providesan ideal way of repairing the damage andactivating dopants.

• At lower implant doses, activation is much morecomplex because stable defects form.

400 500 600 700 800 900 0

0.2

0.4

0.6

0.8

1.0

TA (˚C)

Sub AmorphousAmorphous

3 x 1012 cm-2

1 x 1015 cm-2

1 x 1014 cm-2

Fra

ctio

n A

ctiv

e

8 x 1012 cm-2

2.5 x 1014

2 x 1015

ReverseAnnealing

1.0

0.1

0.01400 500 600700 800 900 1000

TA (˚C)

Fra

ctio

n A

ctiv

e

Page 19: Ion Implantation Chpt 8, #14 - University of Washington · 28 10−15 1 2 1 23 2 2312 1 1 2 eV-cm2 (15) where Z1, m1 = ion and Z2, m2 = substrate. B. Non-Local and Local Electronic

Class Handout #14 © 1999

EE212 1999-00 19 JDP, MDD, PBG

• Plot (above) of fractional activation versus annealtemperature for Boron. The intermediatetemperature range represents reverse annealing.

• Reverse annealing is though to occur because of acompetition between the native interstitial pointdefects and the boron atoms for lattice sites.

Transient Enhanced Diffusion

0 0.08 0.16 0.24 0.32 0.4Depth (µm)

10 sec 1000˚C

2 min 800˚C

Con

cent

ratio

n (c

m-3

)

1019

1018

1017

1016

• TED is the result of interstitial damage from theimplant enhancing the dopant diffusion for a brieftransient period.

• It is the dominant effect today that determinesjunction depths in shallow profiles.

• It is anomalous diffusion, because profiles candiffuse more at low temperatures than at hightemperatures for the same Dt.

Page 20: Ion Implantation Chpt 8, #14 - University of Washington · 28 10−15 1 2 1 23 2 2312 1 1 2 eV-cm2 (15) where Z1, m1 = ion and Z2, m2 = substrate. B. Non-Local and Local Electronic

Class Handout #14 © 1999

EE212 1999-00 20 JDP, MDD, PBG

• The basic model for TED assumes that all theimplant damage recombines rapidly, leaving only1 interstitial generated per dopant atom when thedopant atom occupies a substitutional site (the +1model) [Giles].

Con

cent

ratio

n (c

m-3

)

1019

1018

1017

1016

1020

1021

As profile

Boron profiles

InitialFinal

0 0.05 0.1 0.15 0.2 0.25 0.3 0.35 0.4Depth (microns)

• TED effects may be very non-local. Here the Asprofile recrystallizes by SPE without much TED.The buried boron layer is drastically affected bythe +1 interstitials in the As tail region.

Atomic Level Understanding Of TED

• {311} clusters form rapidly and then are stable forextended periods (sec - min), driving TED byemitting I while they shrink.

Page 21: Ion Implantation Chpt 8, #14 - University of Washington · 28 10−15 1 2 1 23 2 2312 1 1 2 eV-cm2 (15) where Z1, m1 = ion and Z2, m2 = substrate. B. Non-Local and Local Electronic

Class Handout #14 © 1999

EE212 1999-00 21 JDP, MDD, PBG

1011

1013

1015

Si

Sel

f-In

ters

titia

l Den

sity

(cm

-3)

1017

1019

0 0.2 0.4 0.6 0.8 1Depth (µm)

+1 Initial Damage10-6 sec

10-5

10-410-3

10-2

10-1

• By 0.1 sec (750˚C), the {311} defects haveformed and CI is down to ≈ 1013 cm-3 (SUPREM).

• But CI*≈ 108 cm-3 at 750˚C, so the enhancement is

> 105!

Si

Sel

f-In

ters

titia

l Den

sity

(cm

- 2)

815˚C738˚C705˚C670˚C

1 102 104 1061011

1012

1013

1014

1015

Time (seconds)

Page 22: Ion Implantation Chpt 8, #14 - University of Washington · 28 10−15 1 2 1 23 2 2312 1 1 2 eV-cm2 (15) where Z1, m1 = ion and Z2, m2 = substrate. B. Non-Local and Local Electronic

Class Handout #14 © 1999

EE212 1999-00 22 JDP, MDD, PBG

• This enhancement decays over a time period ofsec - min as the {311} clusters break up.

• Given this picture, we can model the {311}behavior as follows:

I Cl Cln n+ ⇔ +1 (18)

where Cln is a cluster with n interstitials.

− = = −

= −

∂∂

∂∂

Ct

Clt

k C Cl k Cl

growth shrinkage

If I r (19)

• The most important part of the transient is whilethe {311} clusters are evaporating I, maintaininga constant supersaturation of I.

• During this period, dopant diffusivityenhancements are ≈ constant and given by (seetext):

C

C a C

E EkT

I

I I

b Fmax

* exp= − −

1

4 3 0π(20)

Page 23: Ion Implantation Chpt 8, #14 - University of Washington · 28 10−15 1 2 1 23 2 2312 1 1 2 eV-cm2 (15) where Z1, m1 = ion and Z2, m2 = substrate. B. Non-Local and Local Electronic

Class Handout #14 © 1999

EE212 1999-00 23 JDP, MDD, PBG

500 600 700 800 900 1000 1100 1200Temperature C̊

106

105

104

102

103

Inte

rstit

ial S

uper

satu

ratio

n C

Imax

/CI*

Estimating the Duration of TED

• Over time the interstitial supersaturation decays tozero and TED ends.

1021

1020

1019

1017

1018

Con

cent

ratio

n (c

m-3

)

1016

105

104

As-implanted1

10

100

1000

0 0.1 0.2 0.3 0.4 0.5Depth (µm)

Interstitial Supersaturation R

atio

1 min, 750 C̊

10 min, 750̊ C

• Example - Boron TED. Note that CI/ CI* has

dropped from 104 to 102 in 10 min at 750˚C.

Page 24: Ion Implantation Chpt 8, #14 - University of Washington · 28 10−15 1 2 1 23 2 2312 1 1 2 eV-cm2 (15) where Z1, m1 = ion and Z2, m2 = substrate. B. Non-Local and Local Electronic

Class Handout #14 © 1999

EE212 1999-00 24 JDP, MDD, PBG

• The excess I diffuse into the bulk and recombineat the surface.

RP Diffusionflux

Surface

CImax

SurfaceRecombination

Flux

Dose Q

• The flux towards the surface is d C RI I Pmax / where

RP is the range of the implant.• The time to dissolve the clusters is given by the

dose divided by the flux (see text):

τ πenh

P

I

ba R Qd

EkT

=

4 3exp (21)

500 600 700 800 900 1000 1100 1200Temperature ˚C

106

104

102

10-2

1

Tim

e (s

econ

ds)

Page 25: Ion Implantation Chpt 8, #14 - University of Washington · 28 10−15 1 2 1 23 2 2312 1 1 2 eV-cm2 (15) where Z1, m1 = ion and Z2, m2 = substrate. B. Non-Local and Local Electronic

Class Handout #14 © 1999

EE212 1999-00 25 JDP, MDD, PBG

• Thus the general picture of TED that emerges is:

QP

τenh

Time

Enh

ance

men

t

10

100

1000

10,000

1

{311} s decay

Steady-state

Rapidexponential

decayCIm

ax/C

I*

• Because the {311} clusters exist for longer timesat low T, there can actually be greater dopantmotion during low T anneals.

1021

1020

1019

1018

Con

cent

ratio

n (c

m-3

)

1017

1016

104

105

0 0.1 0.2 0.3 0.4 0.5 0.6Depth (µm)

700C

800C

700C800C

900C

900C

Interstitial Supersaturation R

atio

1000

100

10

1