Ion Beam Lithogrpaphy Seminar New

download Ion Beam Lithogrpaphy Seminar New

of 55

Transcript of Ion Beam Lithogrpaphy Seminar New

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    1/55

    Presented bySudama Chaurasiya

    Rugmani M

    Pratheep P

    Shashank Chetty S

    Guided byDr. K. Suresh Babu

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    2/55

    MAGIC OF ION BEAM LITHOGRAPHY

    LITHOGRAPHY PROCESS

    NEXT GENERATION LITHOGRAPHY

    INTRODUCTION

    HISTORY OF ION BEAM TECHNOLOGY

    ION BEAM LITHOGRAPHY APPARATUS

    ION INTERACTIONS

    IONS FOR LITHOGRAPHY ???

    PHYSICAL PROPERTIES OF ION BEAMS

    REASON FOR POSITIVE IONS ???

    COMPARISON WITH OTHER

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    3/55

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    4/55

    Replication of a master pattern onto a substrate

    Coat the substrate with a radiation-sensitive polymer film (a resist)

    Expose specific area of film to radiation, which alters properties

    (solubility) of film.

    Strip

    Resist

    Develop

    Pattern

    Positive

    NegativeSubstrate

    Resist

    Ion BeamRadiation

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    5/55

    - Air environment

    - Complex maskfabrication ($4k-$12k)- Resists have lowsensivity- High cost X-raysources

    -vacuum environment- direct write systems

    (software masks)-slow writting over largeareas- very high system cost

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    6/55

    The ion-beam lithographic exposure tool is similar tothat of electron-beam exposure systems.

    The major differences being in the source anddeflection system.

    The key advantages of ion-beam lithography are highresolution and the fact that it can be used with resists

    with poor sensitivities. This implies that minimum energy is delivered to the

    substrate, in contrast to high energy electrons used inelectron-beam lithography, which penetrate relativelydeeply into the substrate and lose only a small fraction

    of their energy in exposing the resist. Thus, resolution in ion-beam lithography is primarily

    limited by the range of the secondary electronsproduced as the ion loses energy in the resist.

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    7/55

    o 1974: Seliger and Fleming recognized potential utilityof focused ion beam for maskless implantation of

    dopants into Si.

    minimum spot diameter was 3.5mm, beam energy:

    60 keV

    1975: Krohn and Ringo tested the feasibility of Ce, Ga,Hg as liquid sources

    most suitable: liquid Ga ("15nm spot size, effective source

    diameter "200nm

    1979: Seliger et al. reported a scanning ion probe

    system with a beam of gallium ions focused down to adiameter of 100 nm, current density 1.5A/cm2 (high

    brightness)

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    8/55

    1982: Anazawa et al. constructed a 35 kV Ga-source SIM(Scanning ion microscope).

    surface-sensitive SIM images

    1985: Orloff and Sudraud proposed focused ion beamsystem for lithography and implantation with 10nm source

    1985: Kato et al. pointed out advantages of the FIBtechnology for the fabrication of sub-micron structures and

    other maskless processes, including

    scanning ion microscopy

    maskless ion implantation

    maskless etching and deposition

    resist exposure (lithography)

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    9/55

    Ion Beam Source

    Ion Optics

    VacuumChamber

    Ion energies : 20 eV- 200 KeV

    Beam Current : up to500 A/cm2

    Ion Specimens : H,He, Ar, Hf, Ga, Si, Au,

    Co, Pr, P+, BF2+,

    etc

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    10/55

    ION-RESISTINTERACTION,

    SHOWING

    *SPUTTERINGOF

    NEUTRALATOMS,

    *EMISSIONOF

    ELECTRONS, *LATTICEDAMAGE, *HEAT

    GENERATION,*IMPLANTATION.

    In addition, the

    beam can

    generate

    secondaryelectrons that

    participate in bond

    breaking reactions

    in resist

    molecules.

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    11/55

    Ions have two principal features that make their use in

    Lithography valuable to considerresolution and sensitivity

    The resolution is intrinsically higher than for electrons

    because electrons suffer from the so-called "proximity"effect ( developed pattern, is wider than the scanned pattern).

    The lateral scattering of electrons and the creation of

    energetic secondary electrons both serve to broaden theeffective size of a focused beam as it penetrates a resist.

    In addition, backscattering of electrons from the resist orfrom the underlying substrate (If the resist is thin) provides abroad background about the incident beam.

    As a result one feature tend to smear into a neighboringfeature with a loss of contrast.

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    12/55

    Ions scatter much less and produce secondaryelectrons of only very low energy, thus reducing the

    spreading of exposure features in a resist to ~

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    13/55

    Forslow heavy ions (e.g.,30 keV Ga+), the interaction

    process is that

    altering the surfacestructure of a material,

    modifying surfacechemistry, and

    removing atoms from thesurface through sputtering.

    The primary interaction

    offast lighter ions(e.g., 100 keV3 MeV

    protons) is that

    deep penetration into

    the material, with aminimal amount ofsurface disruption.

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    14/55

    The diameter of an ion is much greater, of order 10-10 m,

    about the size of an atom. Generally, the diameter of a negative ion is somewhat

    greater than that of a positive ion.

    The radius of almost all negative ions is around (1.32.5)

    10-10

    m, while the radius of positive ions is about (0.11.7) 10-10 m.

    For example, the radius of a potassium ion, K+, is 0.133nm, while the radius of Cl- is 0.181 nm.

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    15/55

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    16/55

    THREE BASIC OPERATING MODES

    MAJOR COMPONENTS

    TYPES OF ION-BEAM LITHOGRAPHY

    FOCUSED ION BEAM TECHNOLOGY

    PROBLEMS IN USING FOCUSED ION BEAM

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    17/55

    Emission of secondary ions and electrons

    FIB imaging, low ion current Sputtering of substrate atoms

    FIB milling, high ion current

    Chemical interactions (gas assisted)

    FIB deposition.

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    18/55

    IMAGING

    ETCHING DEPOSITION

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    19/55

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    20/55

    Upper (condenser) lens : ions are focused and intoparallel beams.

    Mass separator: filter out unwanted ion species Drift tube: eliminates the ions that are not directed

    vertically.

    Lower (objective) lens: helps in reducing the spot size

    and in improving the focus.

    Electrostatic beam deflector: controls the finaltrajectory or landing location of the ions on the substrate.

    Nozzle: provide low energy non-focused electrons to

    neutralize the charged substrate.

    Multi-channel plate (MCP): recording the secondaryelectron emission and thereby, helps in viewing the

    substrate

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    21/55

    All the components are usually placed in a low-pressure

    chamber evacuated to the 10

    -7

    Torr. To prevent interference of the focused ions with particles

    in the chamber.

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    22/55

    (a)Focused ion beam lithography,

    (b)Proximity ion-beam lithography,

    (c)Ion projection lithography.

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    23/55

    Developed in the late 1970s. Utilizes slow focused heavy ion beam. (e.g., 30 keV

    Ga+ ions).

    The beam itself is powerful enough to etch away

    material. Therefore no resist and developing is needed. Used to sputter atoms or structurally modify the

    surface of a material to produce topographically orstructurally modified surface patterns.

    High-speed fabrication. Imaging resolution with Ga ions is limited to ~5 nm.

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    24/55

    A point beam

    can be focusedto a fine spot(10 nm) from abright point

    source and

    deflected on the

    surface, in an

    arrangementcalled focused

    ion beam

    (FIB),to expose

    the resistdirectlywithout amask.

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    25/55

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    26/55

    DEFLECTION OF BEAMThat is the time required for beam to find a new location in

    response to a new deflection signal more than for electron.

    STATISTICS AND MASK WRITING TIME.The writing time increases inversely as the square of the

    focused beam diameter.

    Both are related to writing speed

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    27/55

    FIB APPLICATION IN TEM

    ADVANTAGES

    PROXIMITY ION-BEAM LITHOGRAPHY

    ION PROJECTION LITHOGRAPHY

    ION SOURCES

    GAS FIELD IONIZATION SOURCE

    LIQUID METAL ION SOURCES

    ADVANTAGES OF LMIS

    GALLIUM: LIQUID METAL

    PAIR OF LIMITATIONS: SOURCE

    TWO MAJOR IMPROVEMENTS TO THE

    SOURCE

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    28/55

    1. Pt Deposition

    2. Coarse milling3. Fine milling

    4. Pre-thinning

    5. Lift out

    6. Transfer of specimen to TEM

    grid

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    29/55

    The imaging and high resolution milling capabilitiesof the FIB have made it possible to preselect a region

    and mill a TEM specimen with submicrometerprecision.

    Because thinning is uniform across the samplesurface are made available for TEM observation.

    TEM specimen can be prepared for analysis in 3 to 5hours.

    Minimizes artifact.

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    30/55

    The second way to employ ion beams in lithography is to

    place a stencil mask in close proximity to a surface andirradiate the mask with a collimated beam of ions.

    This is referred to as proximity ion-beam lithography, and it

    is a 1:1 shadow mask printing.

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    31/55

    The third way to employion beams in lithography

    is to combine the firsttwo techniques anduse an ion opticalcolumn to project theimage of the pattern of

    a stencil mask onto awafer.

    This is called ionprojection lithography(IPL), which was

    pioneered at IonMicrofabrication Systems(IMS) of Austria in thelate 1980s

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    32/55

    In any finely focused Ion beam system the ion source is thekey element - brightness must be high, since it limits themaximum beam intensity that can be brought into a focused

    spot. Energy spread of ions from the source must be small

    because of chromatic aberration in focusing lenses.

    Metals that have relatively low melting temperatures andlow reactivity.

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    33/55

    The ion sources that are currently available include Al,As, Au, Be, Bi, Cs, Cu, Ga, Ge, Er, Fe, In, Li, Ni, Pb, Pd,Pr, Pt, U, and Zn.

    Among these, Ga is the most popular ion species usedin IBL.

    In orderto lower the melting point and to control thereactivity, alloy sources, such as PdAs, PdAsB, AuSi,and AuSiBe, are frequently used to deliver thedopants for semiconductors.

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    34/55

    The source utilizes tips comparable to those used in fieldion microscopy.

    A sharp tip is held at a potential a few kilovolts positivewith respect to an aperture.

    The electric field at the tip is ~V/A hydrogen moleculefrom the gas that enters into the region of high field isboth attracted to the tip by polarization forces andionized.

    when it is very close to the tip (~A) and then repelled inthe repulsive field.

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    35/55

    The source depends upon a liquid metalwhich wets but does not react with asolid needle.

    In this case, a tungsten needle welded to atungsten wire hairpin (for heating) holds amolten gold ball at the crossing.

    The needle is sharpened to a radius of afew microns (a rather blunt pointcompared with the gas field ionization tip).

    Liquid gold wets the needle. When an appropriate voltage is applied

    between the needle and an aperture theelectric field forces on the liquid can exceedthe surface tension force and the liquidmetal is pulled into a cone shape.

    At the very tip of the cone the field thefield is high enough to produce fieldevaporation and ions of the liquid arepulled off at currents ~1-100A

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    36/55

    LMIS has high emission current density (highbrightness) and extremely small size of emissionarea.

    These are favorable characteristics for achieving finefocus of ion beam.

    Stable emission process

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    37/55

    Liquid metal sources have been made to yield ions of

    many different species in Al, As, Au, Be, Bi, Cs, Cu, Ga,

    Ge, Er, Fe, In, Li, Ni, Pb, Pd, Pr, Pt, U, and Zn. provide a source ofions of ~ 5 nm in diameter

    its low melting point ( 29.8 C)

    Its low volatility at the melting point conserves thesupply of metal and yields a long source life.

    its low vapor pressure

    it has excellent mechanical, electrical, and vacuumproperties.

    its emission characteristics enable small energy spread.

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    38/55

    The majority of the ions generated are blocked by thesides of the aperture, as their velocity vectors are not

    pointed along the direction of the beam.

    The ion emission current is strongly dependent on thetip radius and on the tip surface condition.

    The sharper the tip is, the higher the field; and the higher

    the field, the stronger the ion emission.

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    39/55

    Hanson and Siegel have introduced Major Improvement

    (Cold field emission guns). First, by running the tip at 4 K and introducing H2 at ~10 -2 Torr,

    H2 condenses on the tungsten needle.

    The H2 moves along the needle to the tip where it is field

    desorbed as a molecular ion.

    This flow along the tungsten needle greatly increases the

    available H2 at the tip and hence the current.

    The system is differentially pumped to prevent Ion molecule

    collusions after ionization and acceleration

    Secondly Its very important that that the ion beams areproduced from very end of the tip ( which has leastatom).

    This greatly enhances the axial ion density.

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    40/55

    MASK AND RESIST

    ADVANTAGES OF ION BEAM LITHOGRAPHY

    ION CHANNELING

    EFFECT ON MATERIAL REMOVAL RATE

    EFFECT OF ANGULAR SPREAD

    DRAWBACK OF ION BEAM LITHOGRAPHY

    CHALLENGING INTEREST

    CONCLUSION

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    41/55

    The mask needs to be made from an ion-transparent

    substrate with a pattern made up of an absorbersurface (Vive versa).

    The silicon acting as the absorber.

    Polymethyl methacrylate (PMMA) is the most popular

    type ofIBL resist. They have the better resolution, sensitivity, and etch

    resistivity.

    RESIST IN ION BEAM LITHOGRAPHY

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    42/55

    RESIST IN ION BEAM LITHOGRAPHY

    Typical process flow for resist-based IBL

    The pattern definition is performed by the physical modification of the

    resist irradiated by ions.The key elements in the process are thus the employed resist and its

    interaction with the beam employed for exposure.

    Resist material behaves under ion beam irradiation largely depends on the

    form of energy deposition.

    MASK PREPARATION IN ION BEAM LITHOGRAPHY

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    43/55

    The main property required for

    membrane Preparation is Coefficient

    of Thermal expansion and Youngmodulus:

    For this most preferred is Silica,

    Alumina and Gold.

    Alumina exhibit very low etch rate with

    favorable Coefficient of thermal

    expansion and young modulus.

    MASK PREPARATION IN ION BEAM LITHOGRAPHY

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    44/55

    Comparison of limiting resolutions

    Line Width [m]

    0.01 0.1 1

    con

    tras

    t

    0.0

    0.1

    0.2

    0.3

    0.4

    0.5

    0.6

    0.7

    0.8

    0.9

    1.0

    multilayer resistsingle layer resist

    ION

    X-RAY

    OPTICS

    E-BEAM

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    45/55

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    46/55

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    47/55

    When ions are implanted along the principal crystallineaxis, they may collide with lattice atoms in a similarway.

    At each collision, the ion suffers only small-anglescattering and can penetrate the solid to deeperdistances. This phenomenon is called CHANNELING.

    since the diameter of a positive ion is 0.02 0.34 nm, if a

    channel presents an opening greater than the iondiameter then the ion can be implanted in the opencrystalline direction to a greater depth.

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    48/55

    Ion dose:A threshold valueexists.

    48

    3 x 1016 cm-3

    Threshold

    More (=>swelling)

    Less (=>net MRR)

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    49/55

    Material removal rate m

    Particle velocity V (known)

    Particle diameter d (known)

    Particle indentation depth w (known)

    Semi contact radius of the indented surface a

    Angle subtended by the arc at the center of the particle2

    Area ploughed by the particle in the direction A.

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    50/55

    Distance from slit center [m]

    -1.0 -0.8 -0.6 -0.4 -0.2 0.0 0.2 0.4 0.6 0.8 1.0

    numberofeventsa

    twafer(=protondo

    se)

    0

    5000

    10000

    15000

    20000

    25000

    slit width = 1m

    0.8

    0.60.4

    0.2

    0.1

    0.05

    0.02

    slit patternmembrane

    wafer

    400keV proton

    10m

    develop untilthis dose region

    TRIMsimulation

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    51/55

    Ion-beam lithography is not without its drawbacks,

    especially when compared to electron-beam lithography.

    Shot noise is a major issue for ion-beam lithography, justas it is for electron-beam lithography.

    Since energetic ions carry enough energy to displace

    crystal lattice atoms, they can also cause considerabledamage to the substrate when subjected to verythinresists.

    Due to interaction of ions with each other within the ion

    optical column leads to resolution limit issues.

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    52/55

    New technology with no commercial instruments

    available as yet

    Difficulties in focusing MeV ions to sub-100nmdimensions

    With the advent of compact magnetic quadrupole lenssystems, these difficulties have recently been overcome

    first prototype p-beam writer has recently been designedand constructed at Centre for Ion Beam Applications

    (CIBA), Singapore.

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    53/55

    Ion beam lithography is not yet a technology that isneeded at the feature sizes ofintegrated circuits currently

    being produced.Its used in various applications like doping,photomasksrepair, material addition, (re)deposition, etc.

    It is very low on the learning curve in comparison to

    other advanced techniques such as e-beam or X-raylithography.

    Its major advantage of high spatial resolution becauseof the absence of a proximity effect will become

    important when feature sizes drop.

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    54/55

    Nanofabrication: Principles, Capabilities and Limits by

    Zheng Cui (2008).

    Micro-Nanofabrication Technologies and Application by

    Zheng Cui (2005).

    Principles of Lithography by Harry J. Levinson (2010).

    Focused ion beam system: Basics and Applications by

    Nan yao (2007).

  • 7/30/2019 Ion Beam Lithogrpaphy Seminar New

    55/55

    6 microns

    8 microns

    line width in the letters of the word Washington is 100 nm(close to the resolution limit)