Intro Electronic A Digital

64
Introducci´ on a la Electr´ onica Digital. Ventura Gr´ andez Henry Edison. ING ELECTR ´ ONICA UNI-FIEE. PAET MECATR ´ ONICA CTIC-UNI. February 24, 2009 Abstract Este manual est´ a pensado fundamentalmente para aquellas per- sonas que, consientes de la importancia que la electr´ onica digital est´ a teniendo d´ ıa a d´ ıa en la sociedad moderna, desean adquirir una visi´ on en conjunto de tan sobresaliente especialidad t´ ecnica. El presente material est´ a organizado en varios cap´ ıtulos que abor- dan los conceptos b´ asicos para iniciarse en el mundo de la electr´ onica digital, es por ello que primeramente comenzamos definiendo que es electr´ onica anal´ ogica y electr´ onica digital, a si mismo trataremos sus caracter´ ısticas esenciales de cada una de ellas y sus aplicaciones. En la secci´ on siguiente trataremos algunos t´ erminos utilizados en in- form´ atica, tales como bit, nibles, bites, works y otros. Ya que dichos erminos tambi´ en son utilizados en electr´ onica para manejar infor- maci´ on de manera digital. De manera similar tocaremos los sistemas de numeraci´ on y los distintos c´ odigos, tales como el BCD, GRAY, AYKEN y otros. Tambi´ en trataremos unos de los temas del algebra para el an´ alisis de los sistemas digitales, nos referimos al algebra Booleana, su utilizaci´ on ha trascendido por muchas ´ areas de la matem´ atica y ahora nos apo- yaremos en ella para la s´ ıntesis de los circuitos digitales y el an´ alisis de los circuitos de conmutaci´ on. Como un m´ etodo alternativo detal- laremos la simplificaci´ on de funciones de conmutaci´ on por los mapas K. Detallaremos las compuertas l´ ogicas y las distintas familias l´ ogicas a las que ellas pertenecen, nos referimos a la serie TTL y la serie CMOS. Trataremos las nociones b´ asicas de los circuitos combinacionales tales como: aritm´ etica binaria, en los que se tratara sumadores, resta- dores, comparadores de magnitud, y de manera similar los codifi- cadores, decodificadores, multiplexores, demiltiplexores. Y para cul- minar trataremos las caracteisticas internas de las familias logicas. Anexaremos tambien algunos proyectos electr´ onicos, datasheet de los integrados utilizados en el curso y ampliaci´ on de algunos temas rela- cionados con la electr´ onica digital.

Transcript of Intro Electronic A Digital

Page 1: Intro Electronic A Digital

Introduccion a la Electronica Digital.

Ventura Grandez Henry Edison.

ING ELECTRONICA UNI-FIEE.

PAET MECATRONICA CTIC-UNI.

February 24, 2009

Abstract

Este manual esta pensado fundamentalmente para aquellas per-sonas que, consientes de la importancia que la electronica digital estateniendo dıa a dıa en la sociedad moderna, desean adquirir una visionen conjunto de tan sobresaliente especialidad tecnica.El presente material esta organizado en varios capıtulos que abor-dan los conceptos basicos para iniciarse en el mundo de la electronicadigital, es por ello que primeramente comenzamos definiendo que eselectronica analogica y electronica digital, a si mismo trataremos suscaracterısticas esenciales de cada una de ellas y sus aplicaciones.En la seccion siguiente trataremos algunos terminos utilizados en in-formatica, tales como bit, nibles, bites, works y otros. Ya que dichosterminos tambien son utilizados en electronica para manejar infor-macion de manera digital. De manera similar tocaremos los sistemasde numeracion y los distintos codigos, tales como el BCD, GRAY,AYKEN y otros.Tambien trataremos unos de los temas del algebra para el analisis delos sistemas digitales, nos referimos al algebra Booleana, su utilizacionha trascendido por muchas areas de la matematica y ahora nos apo-yaremos en ella para la sıntesis de los circuitos digitales y el analisisde los circuitos de conmutacion. Como un metodo alternativo detal-laremos la simplificacion de funciones de conmutacion por los mapasK.Detallaremos las compuertas logicas y las distintas familias logicas alas que ellas pertenecen, nos referimos a la serie TTL y la serie CMOS.Trataremos las nociones basicas de los circuitos combinacionales talescomo: aritmetica binaria, en los que se tratara sumadores, resta-dores, comparadores de magnitud, y de manera similar los codifi-cadores, decodificadores, multiplexores, demiltiplexores. Y para cul-minar trataremos las caracteisticas internas de las familias logicas.Anexaremos tambien algunos proyectos electronicos, datasheet de losintegrados utilizados en el curso y ampliacion de algunos temas rela-cionados con la electronica digital.

1 INTRODUCCION

La electronica digital es hoy en dıa una de las tecnologıas mas avanzadasdentro de la electronica como tal, hoy en dıa el mundo analogico que nos rodeaha sido transformado por el hombre para su observacion, transformaciony dominio en simples valores discretos que permiten hacer una traduccionidentica de este mundo analogico en el que vivimos. Hoy todas las tecnologıasestan dando un vuelco total a la electronica digital en todos los campos deldesarrollo humano, tales como las comunicaciones, la medicina, la industria,la astronomıa, la aviacion, robotica, etc. Podemos decir que practicamentetodos los ambientes en los que se desenvuelve el ser humano esta formandoparte la electronica digital. De ahı la trascendental importancia de conocersus principios, dispositivos, herramientas y tecnologıas.

1

Page 2: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

Figure 1: LABORATORIO DE DIGITALES

1.1 ELECTRONICA DIGITAL

La electronica digital es una parte de la electronica que se encarga de sis-temas electronicos en los cuales la informacion esta codificada en dos unicosestados. A dichos estados se les puede llamar ”verdadero” o ”falso”, o mascomunmente 1 y 0, refiriendose a que en un circuito electronico hay (1- ver-dadero) tension de voltaje o hay ausencia de tension de voltaje ( 0 - falso).Electronicamente se les asigna a cada uno un voltaje o rango de voltaje deter-minado, a los que se les denomina niveles logicos, tıpicos en toda senal digital.Por lo regular los valores de voltaje en circuitos electronicos pueden ir desde1.5, 3, 5, 9 y 18 Volts dependiendo de la aplicacion, ası por ejemplo, en unradio de transistores convencional las tensiones de voltaje son por lo regularde 5 y 12 Volts al igual que se utiliza en los discos duros IDE de computadora.

Se diferencia de la electronica analogica en que, para la electronica digitalun valor de voltaje codifica uno de estos dos estados, mientras que para laelectronica analogica hay una infinidad de estados de informacion que codi-ficar segun el valor del voltaje.

Esta particularidad permite que, usando Algebra Booleana y un sistema denumeracion binario, se puedan realizar complejas operaciones logicas o ar-itmeticas sobre las senales de entrada, muy costosas de hacer empleandometodos analogicos.

La electronica digital ha alcanzado una gran importancia debido a que esutilizada para realizar automatas y por ser la piedra angular de los sistemasmicroprogramados como son los ordenadores o computadoras.

Los sistemas digitales pueden clasificarse del siguiente modo:

• Sistemas cableados que constan de los circuitos, Combinacionales, Se-

VENTURA GRANDEZ HENRY. CEFIEE

Page 3: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

cuenciales. Memorias, Convertidores

• Sistemas programados que abarcan, microprocesadores y Microcontro-ladores.

Es entonces en este contexto la necesidad, definir que es en si las senalesanalogicas y digitales, ya que en nuestro medio abundan dichas senales. Porejemplo las senales que proviene de la vos humana, la temperatura de unhorno electrico, el grado de humedad de la tierra, las pulsaciones de loslatidos del corazon son ejemplos de senales.

1.2 SENAL ANALOGICA.

Una senal analogica es un tipo de senal generada por algun tipo de fenomenoelectromagnetico y que es representable por una funcion matematica continuaen la que es variable su amplitud y periodo (representando un dato de in-formacion) en funcion del tiempo. Algunas magnitudes fısicas comunmenteportadoras de una senal de este tipo son electricas como la intensidad, latension y la potencia, pero tambien pueden ser hidraulicas como la presion,termicas como la temperatura, mecanicas, etc.

Figure 2: SENAL ANALOGICA

1.2.1 DESVENTAJAS EN TERMINOS ELECTRONICOS

Las senales de cualquier circuito o comunicacion electronica son susceptiblesde ser modificadas de forma no deseada de diversas maneras mediante elruido, lo que ocurre siempre en mayor o menor medida. Para solucionar estola senal suele ser acondicionada antes de ser procesada.La gran desventaja respecto a las senales digitales es que en las senalesanalogicas cualquier variacion en la informacion es de difıcil recuperacion, yesta perdida afecta en gran medida al correcto funcionamiento y rendimientodel dispositivo analogico.

VENTURA GRANDEZ HENRY. CEFIEE

Page 4: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

Un sistema de control (ya pueda ser un ordenador, etc.) no tiene capacidadalguna para trabajar con senales analogicas, de modo que necesita convertir-las en senales digitales para poder trabajar con ellas.

1.3 SENAL DIGITAL.

Una senal digital es un tipo de senal generada por algun tipo de fenomenoelectromagnetico en que cada signo que codifica el contenido de la mismapuede ser analizado en termino de algunas magnitudes que representan val-ores discretos, en lugar de valores dentro de un cierto rango. Por ejemplo,el interruptor de la luz solo puede tomar dos valores o estados: abierto ocerrado, o la misma lampara: encendida o apagada (vease circuito de con-mutacion).

Los sistemas digitales, como por ejemplo el ordenador, usan logica de dosestados representados por dos niveles de tension electrica, uno alto, H y otrobajo, L (de High y Low, respectivamente, en ingles). Por abstraccion, dichosestados se sustituyen por ceros y unos, lo que facilita la aplicacion de la logicay la aritmetica binaria. Si el nivel alto se representa por 1 y el bajo por 0,se habla de logica positiva y en caso contrario de logica negativa.

Cabe mencionar que, ademas de los niveles, en una senal digital estan lastransiciones de alto a bajo y de bajo a alto, denominadas flanco de subida yde bajada, respectivamente. En la figura se muestra una senal digital dondese identifican los niveles y los flancos.

Figure 3: SENAL DIGITAL

Referido a un aparato o instrumento de medida, decimos que es digital cuandoel resultado de la medida se representa en un visualizador mediante numeros(dıgitos) en lugar de hacerlo mediante la posicion de una aguja, o cualquierotro indicador, en una escala.

VENTURA GRANDEZ HENRY. CEFIEE

Page 5: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

1.3.1 Conversion analogica-digital.

Una conversion analogica-digital (CAD) (o ADC) consiste en la transcripcionde senales analogicas en senales digitales, con el proposito de facilitar suprocesamiento (codificacion, compresion, almacenamiento, etc.) y hacer lasenal resultante (la digital) mas inmune al ruido y otras interferencias a lasque son mas sensibles las senales analogicas.

1.3.2 Conversor digital-analogico

Un conversor digital analoga o DAC (Digital to Analogue Converter) es undispositivo para convertir datos digitales en senales de corriente o de tensionanalogica. Se utilizan profusamente en los reproductores de discos compactos,en los reproductores de sonido y de cintas de vıdeo digitales, y en los equiposde procesamiento de senales digitales de sonido y vıdeo. La mayorıa de losDAC utilizan alguna forma de red reostatica. Los datos digitales se aplicana los reostatos en grupos de bits. Las resistencias varıan en proporcionesdefinidas y el flujo de corriente de cada uno esta directamente relacionadocon el valor binario del bit recibido.

1.3.3 Comparacion de las senales analogica y digital

Una senal analogica es aquella que puede tomar una infinidad de valores(frecuencia y amplitud) dentro de un lımite superior e inferior. El terminoanalogico proviene de analogo. Por ejemplo, si se observa en un osciloscopio,la forma de la senal electrica en que convierte un microfono el sonido quecapta, esta serıa similar a la onda sonora que la origino.

En cambio, una senal digital es aquella cuyas dimensiones (tiempo y am-plitud) no son continuas sino discretas, lo que significa que la senal nece-sariamente ha de tomar unos determinados valores fijos predeterminados enmomentos tambien discretos. Estos valores fijos se toman del sistema binario,lo que significa que la senal va a quedar convertida en una combinacion deceros y unos, que ya no se parece en nada a la senal original. Precisamente,el termino digital tiene su origen en esto, en que la senal se construye a partirde numeros (dıgitos).

1.3.4 Ventajas de la senal digital.

1. Ante la atenuacion, la senal digital puede ser amplificada y al mismotiempo reconstruida gracias a los sistemas de regeneracion de senales.

VENTURA GRANDEZ HENRY. CEFIEE

Page 6: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

2. Cuenta con sistemas de deteccion y correccion de errores, que se uti-lizan cuando la senal llega al receptor; entonces comprueban (uso deredundancia) la senal, primero para detectar algun error, y, algunossistemas, pueden luego corregir alguno o todos los errores detectadospreviamente.

3. Facilidad para el procesamiento de la senal. Cualquier operacion esfacilmente realizable a traves de cualquier software de edicion o proce-samiento de senal.

4. La senal digital permite la multigeneracion infinita sin perdidas de cal-idad. Esta ventaja solo es aplicable a los formatos de disco optico; lacinta magnetica digital, aunque en menor medida que la analogica (quesolo soporta como mucho 4 o 5 generaciones), tambien va perdiendo in-formacion con la multigeneracion.

1.3.5 Inconvenientes de la senal digital.

1. Se necesita una conversion analogica-digital previa y una decodificacionposterior, en el momento de la recepcion.

2. La transmision de senales digitales requiere una sincronizacion precisaentre los tiempos del reloj del transmisor, con respecto a los del re-ceptor. Un desfase cambia la senal recibida con respecto a la que fuetransmitida.

Ejercicios propuestos.

1.- ¿Cuales de las siguientes entidades son analogicas y cuales son digitales?

a. Oscilador de una radiob. Salida del audio del telefono celularc. Temperaturad. Control de volumen de una radio con potenciometro

2.-¿Que es una senal analogica?.

3.-¿Que es una senal digital?.

4.-¿Que es un conversor DAC Y CAD?.

5.-Para digitalizamos una senal.

VENTURA GRANDEZ HENRY. CEFIEE

Page 7: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

6.-Que es un sistema combinacional.

7.- Que es un sistema secuencial.

8.-Que es un microprocesador

9.- Que es un micro controlador

2 SISTEMAS NUMERICOS Y CODIGOS.

2.1 CONCEPTOS PREVIOS.

En terminos matematicos un valor puede tomar un numero arbitrario debits, pero las computadoras por el contrario, generalmente trabajan con unnumero especıfico de bits, desde bits sencillos pasando por grupos de cuatrobits (llamados nibbles), grupos de ocho bits (bytes), grupos de 16 bits (words,o palabras) y aun mas. Como veremos mas adelante, existe una buena razonpara utilizar este orden. ¿pero en si que es un bit?.

Figure 4: Los microprocesadores transfiren la informacion es bits

2.1.1 Bits.

La mas pequena cantidad de informacion en una computadora binaria es elbit, este solamente es capaz de representar dos valores diferentes, sin em-bargo esto no significa que exista una cantidad muy reducida de elementosrepresentables por un bit, todo lo contrario, la cantidad de elementos quese pueden representar con un solo bit es infinito, considere esto, podemosrepresentar por ejemplo, cero o uno, verdadero o falso, encendido o apagado,masculino o femenino. Y para ir aun mas lejos, dos bits adyacentes pueden

VENTURA GRANDEZ HENRY. CEFIEE

Page 8: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

representar cosas completamente independientes entre sı, lo que se debe teneren cuenta es que un bit sencillo solo puede representar dos cosas a la vez.Esta caracterıstica otorga a las computadoras binarias un campo infinito deaplicaciones.

2.1.2 Nibbles .

Un nibble es una coleccion de cuatro bits, esto no representarıa una estructurainteresante si no fuera por dos razones: El Codigo Binario Decimal (BCD porsus siglas en ingles) y los numeros hexadecimales. Se requieren cuatro bitspara representar un solo dıgito BCD o hexadecimal. Con un nibble se puedenrepresentar 16 valores diferentes, en el caso de los numeros hexadecimales,cuyos valores 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E, y F son representadoscon cuatro bits. El BCD utiliza diez dıgitos diferentes (0, 1, 2, 3, 4, 5, 6, 7,8, 9) e igualmente se requiere de cuatro bits. De hecho se puede representar16 elementos diferentes con un solo nibble pero los dıgitos hexadecimales yBCD son los principales representados por un nibble.

2.1.3 Bytes .

Todavıa se puede decir que el byte es la estructura de datos mas importanteutilizada por los procesadores 80x86. Un byte esta compuesto de ocho bits yes el elemento de dato mas pequeno direccionable por un procesador 80x86,esto significa que la cantidad de datos mas pequena a la que se puede teneracceso en un programa es un valor de ocho bits. Los bits en un byte seenumeran del cero al siete de izquierda a derecha, el bit 0 es el bit de bajoorden o el bit menos significativo mientras que el bit 7 es el bit de alto or-den o el bit mas significativo. Nos referimos al resto de los bits por su numero.

Figure 5: Equivalencias entre las unidades basicas

Como un byte contiene ocho bits, es posible representar 28, o 256 val-ores diferentes. Generalmente utilizamos un byte para representar valoresnumericos en el rango de 0 255, numeros con signo en el rango de -128

VENTURA GRANDEZ HENRY. CEFIEE

Page 9: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

+127, codigos de caracter ASCII y otros tipos de datos especiales que norequieran valores diferentes mayores que 256.

2.1.4 Words (palabras).

Una palabra (word) es un grupo de 16 bits enumerados de cero hasta quince,y al igual que el byte, el bit 0 es el bit de bajo orden en tanto que el numeroquince es el bit de alto orden. Una palabra contiene dos bytes, el de bajoorden que esta compuesto por los bits 0 al 7, y el de alto orden en los bits 8 al15. Naturalmente, una palabra puede descomponerse en cuatro nibbles. Con16 bits es posible representar 216 (65,536) valores diferentes, estos podrıan serel rengo comprendido entre 0 y 65,535, o como suele ser el caso, de -32,768hasta +32,767. Tambien puede ser cualquier tipo de datos no superior a65,536 valores diferentes.

2.2 SISTEMAS NUMERICOS.

Los modernos equipos de computo actuales no utilizan el sistema decimalpara representar valores numericos, en su lugar se hace uso del sistema bina-rio, tambien llamado complemento de dos. Es importante entender como rep-resentan las computadoras los valores numericos, en este capıtulo analizare-mos varios conceptos importantes incluyendo los sistemas binario y hexadeci-mal, la organizacion binaria de datos (bits, nibbles, bytes, palabras y palabrasdobles), sistemas numericos con signo y sin signo, operaciones aritmeticas,logicas, de cambio (shift) y rotacion en valores binarios, campos de bits,empaquetado de datos y el juego de caracteres ASCII.

2.2.1 El sistema numerico decimal .

Hemos utilizado el sistema decimal (de base 10) por tanto tiempo que practicamentelo tomamos como algo natural. Cuando vemos un numero, por ejemplo el123, no pensamos en el valor en sı, en lugar de esto hacemos una repre-sentacion mental de cuantos elementos representa este valor. En realidad, elnumero 123 representa:

123=1*102 + 2*101 + 3*100 o lo que es lo mismo: 123=100 + 20 + 3

Cada dıgito a la izquierda del punto decimal representa un valor entre cero ynueve veces una potencia incrementada de diez. Los dıgitos a la derecha delpunto decimal por su parte representan un valor entre cero y nueve veces unapotencia decrementada de diez. Por ejemplo, el numero 123.456 representa:

VENTURA GRANDEZ HENRY. CEFIEE

Page 10: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

123.456=1*102 + 2*101 + 3*100 + 4*10-1 + 5*10-2 + 6*10-3

2.2.2 El sistema numerico binario .

Los sistemas de computo modernos trabajan utilizando la logica binaria. Lascomputadoras representan valores utilizando dos niveles de voltaje (general-mente 0V. y 5V.), con estos niveles podemos representar exactamente dosvalores diferentes, por conveniencia utilizamos los valores cero y uno. Estosdos valores por coincidencia corresponden a los dıgitos utilizados por el sis-tema binario. El sistema binario trabaja de forma similar al sistema decimalcon dos diferencias, en el sistema binario solo esta permitido el uso de losdıgitos 0 y 1 (en lugar de 0 9) y en el sistema binario se utilizan potencias de2 en lugar de potencias de 10. De aquı tenemos que es muy facil convertir unnumero binario a decimal, por cada ”1” en la cadena binaria, sume 2n donde”n” es la posicion del dıgito binario a partir del punto decimal contando apartir de cero. Por ejemplo, el valor binario 110010102 representa:

1*27 + 1*26 + 0*25 + 0*24 + 1*23 + 0*22 + 1*21 + 0*20

=128 + 64 + 8 + 2 =20210

Para convertir un numero decimal en binario es un poco mas difıcil. Serequiere encontrar aquellas potencias de 2 las cuales, sumadas, producenel resultado decimal, una forma conveniente es trabajar en ”reversa” porejemplo, para convertir el numero 1359 a binario se aplica el metodo dedivisiones sucesivas.

2.2.3 El sistema numerico hexadecimal .

Un gran problema con el sistema binario es la verbosidad. Para representar elvalor 20210 se requieren ocho dıgitos binarios, la version decimal solo requierede tres dıgitos y por lo tanto los numeros se representan en forma mucho mascompacta con respecto al sistema numerico binario. Desafortunadamentelas computadoras trabajan en sistema binario y aunque es posible hacer laconversion entre decimal y binario, ya vimos que no es precisamente una tareacomoda. El sistema de numeracion hexadecimal, o sea de base 16, resuelveeste problema (es comun abreviar hexadecimal como hex aunque hex significabase seis y no base dieciseis). El sistema hexadecimal es compacto y nosproporciona un mecanismo sencillo de conversion hacia el formato binario,debido a esto, la mayorıa del equipo de computo actual utiliza el sistema

VENTURA GRANDEZ HENRY. CEFIEE

Page 11: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

numerico hexadecimal. Como la base del sistema hexadecimal es 16, cadadıgito a la izquierda del punto hexadecimal representa tantas veces un valorsucesivo potencia de 16, por ejemplo, el numero 123416 es igual a:

123416 = 1*163 + 2*162 + 3*161 + 4*160

lo que da como resultado:

4096 + 512 + 48 + 4 = 466010

Cada dıgito hexadecimal puede representar uno de dieciseis valores entre 0 y1510. Como solo tenemos diez dıgitos decimales, necesitamos ”inventar” seisdıgitos adicionales para representar los valores entre 1010 y 1510. En lugarde crear nuevos sımbolos para estos dıgitos, utilizamos las letras A a la F.La conversion entre hexadecimal y binario es sencilla, considere la siguientetabla:

2.3 CODIGOS.

2.3.1 Codigo BCD.

Para poder compartir informacion, que esta en formato digital, es comunutilizar las representaciones binaria y hexadecimal. Hay otros metodos derepresentar informacion y una de ellas es el codigo BCD. Con ayuda de lacodificacion BCD es mas facil ver la relacion que hay entre un numero deci-mal (base 10) y el numero correspondiente en binario (base 2)

El codigo BCD utiliza 4 dıgitos binarios (ver en los dos ejemplos que siguen)para representar un dıgito decimal (0 al 9). Cuando se hace conversion debinario a decimal tıpica no hay una directa relacion entre el dıgito decimaly el dıgito binario.

2.3.2 Codigo BCD Aiken.

El codigo BCD Aiken es un codigo similar al codigo BCD natural con los”pesos” o ”valores” distribuidos de manera diferente. En el codigo BCDnatural, los pesos son: 8 - 4 - 2 - 1, en el codigo Aiken la distribucion es:2 - 4 - 2 - 1 La razon de esta codificacion es la de conseguir simetrıa entreciertos numeros.

VENTURA GRANDEZ HENRY. CEFIEE

Page 12: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

2.3.3 Codigo BCD Exceso 3.

El codigo BCD Exceso 3 se obtiene sumando 3 a cada combinacion del codigoBCD natural. Ver la tabla inferior a la derecha. El codigo BCD exceso 3es un codigo en donde la ponderacion no existe (no hay ”pesos” como enel codigo BCD natural y codigo Aiken). Al igual que el codigo BCD Aikencumple con la misma caracterıstica de simetrıa. Cada cifra es el complementoa 9 de la cifra simetrica en todos sus dıgitos.Ver la simetrıa en el codigo exceso 3 correspondiente a los decimales: 4 y 5,3 y 6, 2 y 7, 1 y 8, 0 y 9Es un codigo muy util en las operaciones de resta y division.

Ejercicios propuestos.

1.- Que es un codigo binario, bcd, Ayken, Exceso 3.

2.- Represente posicionalmente la cantidad ”dieciseis unidades” en las bases3, 7,8 y 16.

3 .- Represente el numero decimal 23 .75 en las bases 2, 5, 6, 8 y 16 .

4.- Convierta los siguientes numeros a base 10:a) 100.111010 (2); b) 50(8), c) 1011(2); d) 198F(16)

5.-Se cuenta que un rey, encantado con el juego, ofrecio al inventor del ajedrezel premio que desease. El inventor solo pidio 1 grano de arroz por la primeracasilla del tablero, 2 granos por la segunda, 4 por la tercera y ası, el doblecada vez, hasta llegar a la ultima casilla (la numero 64) . Los matematicosdel reino concluyeron que no habıa arroz suficiente para pagar al inventor.¿Sabrıa decir cuantos granos de arroz se necesitaban?

6.- Represente el 6 en los siguientes casos:a) Codigo Gray asumiendo que se representan del 0 al 7.b) Codigo Gray asumiendo que se representan del 0 al 9 .c) Codigo Gray asumiendo que se representan del 0 al 15 .

7.-Represente los numeros del 0 al 15 en el codigo 8, 4, -2, -1 .

8.- Represente los siguientes numeros decimales en base 2 y compruebe elresultado: a) 17 b) 94

9.- Represente el numero decimal 8620.(a) En BCD, (b) en codigo exceso 3, (c) en codigo 2, 4, 2, 1 y (d) comonumero binario.

VENTURA GRANDEZ HENRY. CEFIEE

Page 13: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

3 ANALISIS DE CIRCUITOS LOGICOS.

Cuando se trabaja con circuitos digitales es muy comun que al final de undiseno se tenga un circuito con un numero de partes (circuitos integrados yotros) mayor al necesario. Para lograr que el circuito tenga la cantidad departes correcta (la menor posible) hay que optimizarlo (reducirlo).

Un diseno optimo causara que:- El circuito electronico sea mas simple- El numero de componentes sea el menor- El precio de proyecto sea el mas bajo- La demanda de potencia del circuito sea menor- El mantenimiento del circuito sea mas facil.- Es espacio necesario (en el circuito impreso) para la implementacion delcircuito sera menor.En consecuencia que el diseno sea el mas economico posible.

Para asegurarse de que la reduccion del circuito electronico fue exitosa, sepuede utilizar la tabla de verdad que debe dar el mismo resultado para elcircuito simplificado y el original.

En 1854 George Boole introdujo una notacion simbolica para el tratamientode variables cuyo valor podrıa ser verdadero o falso (variables binarias) Asıel algebra de Boole nos permite manipular relaciones proposicionales y canti-dades binarias. Aplicada a las tecnicas digitales se utiliza para la descripciony diseno de circuitos mas economicos.Las expresiones booleanas seran una representacion de la funcion que realizaun circuito digital. En estas expresiones booleanas se utilizaran las tres op-eraciones basicas ( AND, OR NOT ) para construir expresiones matematicasen las cuales estos operadores manejan variables booleanas (lo que quieredecir variables binarias).

3.1 FUNDAMENTOS DEL ALGEBRA BOOLEANA.

La herramienta fundamental para el analisis y diseno de circuitos digitaleses el Algebra Booleana. Este algebra es un conjunto de reglas matematicas(similares en algunos aspectos al algebra convencional), pero que tienen lavirtud de corresponder al comportamiento de circuitos basados en disposi-tivos de conmutacion (interruptores, relevadores, transistores, etc.).

VENTURA GRANDEZ HENRY. CEFIEE

Page 14: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

3.1.1 POSTULADOS BASICOS.

Los postulados para las tres operaciones basicas, AND, OR Y NOT, son su-ficientes para deducir cualquier relacion boleana, pero adicionalmente men-cionaremos lasotas de conmutatividad y asociatividad.

Figure 6: POSTULADOS BASICOS.

3.1.2 DUALIDAD.

Los postulados y teoremas presentados anteriormente estan representados enpares. La razon es que cada teorema posee lo que llamamos un dual. Eldual de una expresion se obtiene intercambiando las ocurrencias de OR porAND, 0 por 1 y viceversa.. Si un teorema es valido, tambien lo sera su dual,En efecto siguiendo el dual de la demostracion del teorema, se obtiene lademostracion del dual del teorema.

Por ejemplo dado el postulado 0+0 = 0, se obtiene el dual haciendo 1·1 = 1

En clase se utilizaran los postulados y teoremas del algebra de Boole paraminimizar funciones booleanas. La simplificacion de estas funciones con eluso de algebra de Boole es sin duda uno de los procesos que requiere muchodel Raciocinio y la destresa del ser humano”.No existe un algoritmo que uno pueda seguir para garantizar que el resultadollegue a dar la forma mas simple de expresion mınima. Con la practica se vaaprendiendo a reconocer patrones que nos guıan hacia la solucion.

3.1.3 TEOREMAS FUNDAMENTALES DEL ALGEBRA BOOLEANA.

Teoremas:

1. Regla del cero y la unidad.

a) X + 0 = Xb) X + 1 = 1

VENTURA GRANDEZ HENRY. CEFIEE

Page 15: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

Figure 7: Dualidad.

c) X · 1 = Xd) X · 0 = 0

2. Idempotencia o potencias iguales.

a) X + X = Xb) X · X = X

3. Complementacion.

a) X +X’ = 1b) X ·X’ = 0

4. Involucion.

X”=X

5. Conmutatividad.

a) Conmutatividad del( +)X + Y = Y + X

b) conmutatividad del (·)X · Y = Y · X

6. Asociatividad.

a) asociatividad del (+)

VENTURA GRANDEZ HENRY. CEFIEE

Page 16: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

X + (Y + Z) = (X + Y) + Z

b) asociatividad del ( ·)X · (Y · Z) = (X · Y) · Z

7. Distribuitividad.

a) distribuitividad del (+)X + (Y · Z) = (X + Y) · (X + Z)b) distribuitividad del ( ·)X · (Y + Z) = (X · Y) + (X · Z)

8. Leyes de absorcion.

a) X · (X + Y)= Xb) X · ( + Y)= X·Yc) · (X + Y)= ·Yd) (X + Y) · (X + )= Xe) X + X·Y = Xf) X + ·Y = X + Yg) + X·Y = + Yh) X·Y + X· = X

9. Teoremas de De Morgan.a) (A + B)’ = A’ . B’b) (A . B)’ = A’ + B’

3.2 FUNCIONES DE CONMUTACION.

Antes de proceder a detallar la forma de minimizar expresiones estudiaremoslas diferentes representaciones de las funciones booleanas.

Existen infinitas maneras de representar una funcion booleana. Ası por ejem-plo la funcion G = X + Y Z puede tambien representarse como G = X + X+ YZ.Otras veces se suele utilizar la forma negada o el complemento de la funcion.Para esto es se niegan los literales y se intercambian los AND y OR.

Por ejemplo, el complemento de: A+B’.C, es: A’(B+C’)El complemento de una funcion no es la misma funcion, es la forma negadade la funcion.

VENTURA GRANDEZ HENRY. CEFIEE

Page 17: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

En el algebra de Boole es fundamental la existencia de una forma algebraicaque proporcione explıcitamente el valor de una funcion para todas las com-binaciones de los valores de las variables. Es esta la forma canonica de lafuncion. Veamos antes algunos conceptos.

3.2.1 TABLA DE VERDAD.

La tabla de valores de verdad, tambien conocida como tabla de verdad, esuna herramienta desarrollada por Charles Peirce en los anos 1880, siendosin embargo mas popular el formato que Ludwig Wittgenstein desarrollo en1921. Se emplean en logica para determinar los posibles valores de verdadde una expresion o proposicion molecular. O si un esquema de inferencia,como argumento, es formalmente valido mostrando que, efectivamente, esuna tautologıa. Considerando dos proposiciones A y B, cada una como untodo (sea como proposicion atomica o molecular) y asimismo cada una consus dos posibles valores de verdad V (Verdadero) y F (Falso), para nuestrocaso cero y uno y considerando su relacion ”F” como variable de cualquierrelacion sintactica posible que defina una funcion de verdad, podrıan sucederlos casos siguientes:

Negacion (-)Consiste en cambiar el valor de verdad de una variable proposicional.ConjuncionLa proposicion molecular sera verdadera solo cuando ambas variables proposi-cionales sean verdaderas.(Columna 8 de la tabla de funciones posibles)DisyuncionLa proposicion molecular sera verdadera cuando una o ambas variables proposi-cionales sean verdaderas.(Columna 2 de la tabla de funciones posibles)

Figure 8: TABLA DE VERDAD.

3.2.2 FORMAS ALGEBRAICAS DE FUNCIONES DE CON-MUTACION.

Algunas definiciones previas.

VENTURA GRANDEZ HENRY. CEFIEE

Page 18: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

A)Literal: se refiere a una variable o a su complemento (por ej. A, X, X’)

B)Termino producto: es un grupo de literales que se encuentran rela-cionados entre si por un AND (por ej. A·B, C·A, X’·Y·Z ).

C)Termino suma: es un grupo de literales que se encuentran relacionadosentre si por un OR (por ej. A+B, C+A, +Y+Z ).

D)Termino normal: termino producto o termino suma en el que un lit-eral no aparece mas de una vez.

E)Termino canonico: termino en el que se encuentra exactamente uno decada uno de los literales de la funcion.Si el termino canonico es un producto,se denominara mintermino. Si es una suma se denominara maxtermino.

F)Forma normal de una funcion: es la que esta constituida por terminosnormales. Puede estar en la forma suma de terminos productos o productosde terminos sumas.

G)Forma canonica de una funcion: es aquella constituida exclusiva-mente por terminos canonicos que aparecen una sola vez.

H)Forma canonica de funciones booleanas: La importancia de laforma canonica estriba en el hecho de ser UNICA. Como vimos anterior-mente una funcion puede tener infinidad de representaciones, pero solo unarepresentacion en forma canonica.

Existen dos formas canonicas de una funcion: Suma De Productos o Productode Sumas. (Tambien de una manera mas formal Suma de minterminos o Pro-ducto de maxterminos). Para obtener algebraicamente la forma canonica deuna funcion podemos utilizar los teoremas de expansion canonica:

Teorema 1:Para obtener la forma canonica de una funcion suma de productos se multi-plicara por un termino de la forma (X + X’ ) donde falte un literal para queel termino sea canonico.

Teorema 2:Para obtener la forma canonica de una funcion producto de sumas se sumaraun termino de la forma X · X’ donde falte un literal para que el termino seacanonico.

Forma canonica suma de productos: Es aquella constituida exclusiva-mente por terminos canonicos productos (minterminos) sumados que apare-cen una sola vez.

VENTURA GRANDEZ HENRY. CEFIEE

Page 19: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

Por ejemplo: F(X,Y,Z) = X Y’Z + X’YZ + X Y’Z’ + X’YZ’ + X’Y’Z’

Para simplificar la escritura en forma de suma canonica de productos, seutiliza una notacion especial. A cada mintermino se le asocia un numero bi-nario de n bits resultante de considerar como 0 las variables complementadasy como 1 las variables no complementadas.Ası por ejemplo el mintermino Z corresponde a combinacion X=0, Y=0,Z=1 que representa el numero binario 001, cuyo valor decimal es 1. A estemintermino lo identificaremos entonces como m1.De esta forma, la funcion :

F(X,Y,Z) = X’Y’Z + X Y’Z’+ X Y’Z + X Y Z’+ X Y Z

se puede expresar como:

F (X, Y Z) =∑

m(1, 4, 5, 6, 7)

que quiere decir la sumatoria de los minterminos 1,4,5,6,7Forma canonica producto de sumas:

Es aquella constituida exclusivamente por terminos canonicos sumas (max-terminos) multiplicados que aparecen una sola vez.

Por ejemplo F(X,Y,Z) = (X + Y + Z ) ( X + Y’+ Z ) ( X + Y’+ Z’)

Analogamente al caso anterior, podemos simplificar la expresion de la funcion,indicando los maxterminos. Sin embargo, en este caso se hace al contrario deantes. A cada maxtermino se le asocia un numero binario de n bits resultantede considerar como 1 las variables complementadas y como 0 las variables nocomplementadas. Ası por ejemplo el maxtermino X’ + Y + Z correspondea combinacion X=1, Y=0, Z=0 que representa el numero binario 100, cuyovalor decimal es 4. A este maxtermino lo identificaremos entonces como M4.

De esta forma, la funcion:

F(X,Y,Z) = ( X + Y + Z ) ( X + Y’+ Z ) ( X + Y’+ Z’)

se puede expresar como:

F (X, Y Z) =∏

M(0, 2, 3)

que quiere decir el producto de los maxterminos 0,2,3

VENTURA GRANDEZ HENRY. CEFIEE

Page 20: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

En resumen, cada mintermino se asocia con la combinacion de entrada parala que la funcion producirıa un 1, y cada maxtermino con la combinacionpara la que producirıa un 0.En la tabla de la derecha se muestran los minterminos y los maxterminosasociados con cada combinacion en una tabla de verdad de 3 variables.De acuerdo con esta tabla para determinar el termino producto o suma sehace lo siguiente: para los minterminos cada variable no complementada seasocia con un 1 y cada variable complementada se asocia con 0. Para losmaxterminos la regla es la inversa.

Figure 9: Equivalencia entre maxterminos y minterminos.

3.3 CIRCUITOS DE CONMUTACION.

En electricidad y electronica, las leyes del algebra de Boole y de la logicabinaria, pueden estudiarse mediante circuitos de conmutacion. Un circuitode conmutacion estara compuesto por una serie de contactos que represen-taran las variables logicas de entrada y una o varias cargas que represen-taran las variables logicas o funciones de salida. Los contactos pueden sernormalmente abiertos (NA) o normalmente cerrados (NC). Los primeros per-maneceran abiertos mientras no se actue sobre ellos (por ejemplo al pulsarsobre interruptor, saturar un transistor, etc.). Los contactos NC funcionaranjustamente al contrario. Esto significa que si se actua sobre un contacto NAse cerrara y si se hace sobre uno NC se abrira.

VENTURA GRANDEZ HENRY. CEFIEE

Page 21: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

3.3.1 COMPUERTAS LOGICAS ELECTRONICAS .

En todas las ingenierıas se utilizan planos que describen los disenos. En ellosaparecen dibujos, letras y sımbolos. Mediante estos planos o esquemas, elIngeniero representa el diseno que tiene en la cabeza y que quiere construir.En electronica analogica se utilizan distintos sımbolos para representar losdiferentes componentes: Resistencias, condensadores, diodos, transistores...Algunos de estos sımbolos se pueden ver en la figura.

Figure 10: Algunos sımbolos utilizados en electronia analogica.

En electronica digital se utilizan otros sımbolos, los de las puertas logicas,para representar las manipulaciones con los bits. A continuacion se represen-taran las puertas logicas fundamentales junto con su sımbolo esquematico yla tabla de verdad que las representa. En la tabla de verdad se representanlos estados de la salida de la puerta dependiendo del valor que tomen lasvariables de entrada.

Puerta Y (AND)

La operacion Y se ejecuta exactamente en la misma forma que la multi-plicacion ordinaria de unos y ceros. Una salida igual a 1 ocurre solo en elunico caso donde todas las entradas son 1. La salida es 0 en cualquier casodonde una o mas entradas son 0. La compuerta Y se muestra en la siguientefigura, en forma simbolica una compuerta Y de dos entradas. La salida dela compuerta Y es igual al producto Y de las entradas logicas; es decir: x=A B

Puerta O (OR)

La compuerta O es un circuito que tiene dos o mas entradas y cuya sal-ida es igual a la suma de las entradas. La siguiente figura muestra el sımbolocorrespondiente a una compuerta O de dos entradas. Las entradas A y Bson niveles de voltaje logicos y la salida (o resultado) x es un nivel de voltajelogico cuyo valor es el resultado de la adicion O de A y B; esto es: x=A + BEn otras palabras, la compuerta O opera en tal forma que su salida sea

VENTURA GRANDEZ HENRY. CEFIEE

Page 22: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

Figure 11: Puerta logica AND.

ALTA si las entradas A, B o ambas estan en un nivel logico 1. La salida dela compuerta O sera BAJA si todas las entradas estan en el nivel logico 0.

Figure 12: Puerta logica OR.

Puerta NOT

La operacion NO difiere de las operaciones Y y O en que esta puede efectuarsecon una sola variable de entrada. Por ejemplo, si la variable A se somete ala operacion NO, el resultado x se puede expresar como:, donde la barra sobrepuesta representa la operacion NO. La operacion NO seconoce ası mismo como inversor o complemento y estos terminos se puedenutilizar como sinonimos. La compuerta NO se muestra en la siguiente figura,la cual se conoce comunmente como INVERSOR (INVERTER). Este circuitosiempre tiene solo una entrada y su nivel logico de salida siempre es contrarioal nivel logico de esta entrada.

Figure 13: Puerta logica NOT.

Puerta NAND

VENTURA GRANDEZ HENRY. CEFIEE

Page 23: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

El sımbolo correspondiente a una compuerta No Y de dos entradas se muestraen la siguiente figura. Es el mismo que el de la compuerta Y, excepto por elpequeno circulo en su salida. Una vez mas, este cırculo denota la operacionde inversion. De este modo la compuerta No Y opera igual que la Y seguidade un INVERSOR.

Figure 14: Puerta logica NAND.

Puerta NOR

El sımbolo correspondiente a una compuerta No O de dos entradas se muestraen la siguiente figura. Es el mismo que el de la compuerta O, excepto por elpequeno circulo en su salida. Una vez mas, este cırculo denota la operacionde inversion. De este modo la compuerta No O opera igual que la O seguidade un inversor.

Figure 15: Puerta logica NOR.

Puerta OR EXCLUSIVO

Existe otra compuerta es la O EXCLUSIVA y su complemento o dual NoO EXCLUSIVA. La primera nos indica que la salida sera un 1 solamente siuna del total de las entradas esta en 1 o el numero de entradas con valor1 es impar, y sera la salida un 0 si el numero de las entradas en 1 es par otodas las entradas estan en 0; aquı se aplica una frase de la logica de proposi-ciones, para dos entradas, ”una entrada u otra pero no ambas”. El sımbolopropuesto para la compuerta O EXCLUSIVA se muestra a continuacion, laexpresion de salida de la compuerta es:Puerta NOR EXCLUSIVO

La compuerta No O EXCLUSIVA, en realidad combina las operaciones de

VENTURA GRANDEZ HENRY. CEFIEE

Page 24: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

Figure 16: Puerta logica OR EXCLUSIVO.

una compuerta O EXCLUSIVA y NO. El sımbolo correspondiente a unacompuerta No O EXCLUSIVA de dos entradas se muestra en la siguientefigura. Es el mismo que el de la compuerta O EXCLUSIVA, excepto por elpequeno cırculo en su salida. Una vez mas este cırculo denota la operacionde inversion. De este modo la compuerta No O EXCLUSIVA opera igual quela O EXCLUSIVA seguida de un INVERSOR.

Figure 17: Puerta logica NOR EXCLUSIVO.

3.3.2 COMPONENTES FUNCIONALES BASICOS.

A continuacion mostramos algunos componentes funcionales basicos para laimplementacion de circuitos logicos digitales

4 SIMPLIFICACION DE FUNCIONES DE

CONMUTACION.

Suponiendo que conozcamos la tabla de la verdad de un circuito combina-cional, a partir de la cual deseamos disenar dicho circuito, lo mas corrientees tener que buscar una expresion simplificada de la funcion o funciones aimplementar. En este capitulo tratare de explicar como ello es posible de unaforma sencilla gracias al empleo de un metodo de simplificacion grafico muyextendido (extendido precisamente por esto, por su facilidad de uso). Paraello me ayudare de una tabla ejemplo mediante la cual ire explicando todo

VENTURA GRANDEZ HENRY. CEFIEE

Page 25: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

Figure 18: Componebtes funcionales basicos.

lo referente a este tipo de simplificacion de funciones logicas. Pero antes, unpoco de teorıa necesaria:

4.1 MAPAS DE KARNAUGH.

Un mapa de Karnaugh (tambien conocido como tabla de Karnaugh o di-agrama de Veitch, abreviado como K-Mapa o KV-Mapa) es un diagramautilizado para la minimizacion de funciones algebraicas booleanas. El mapade Karnaugh fue inventado en 1950 por Maurice Karnaugh, un fısico ymatematico de los laboratorios Bell. Los mapas K aprovechan la capaci-dad del cerebro humano de trabajar mejor con patrones que con ecuacionesy otras formas de expresion analıtica. Externamente, un mapa de Karnaughconsiste de una serie de cuadrados, cada uno de los cuales representa unalınea de la tabla de verdad. Puesto que la tabla de verdad de una funcion deN variables posee 2N filas, el mapa K correspondiente debe poseer tambien2N cuadrados. Cada cuadrado alberga un 0 o un 1, dependiendo del valorque toma la funcion en cada fila. Las tablas de Karnaugh se pueden utilizarpara funciones de hasta 6 variables ya que si adicionamos mas variables elmetodo se vuelva muy complicado de analizar .

El metodo de Karnaugh convierte una expresion a otra mas simplificada. Ennuestro caso, convierte una suma de productos en otra mınima denominada

VENTURA GRANDEZ HENRY. CEFIEE

Page 26: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

Minimal Sum Product (MSP o suma de productos minimal) . Tiene comocaracterısticas:o Un mınimo numero de terminos en la expresion.o Un mınimo numero de variables en cada termino de dicha expresion.Inicialmente poseemos una expresion booleana constituida por una suma deproductos de variables, que pueden tomar unicamente los valores de cero ouno. El resultado de esta expresion es un valor booleano para cada uno delos valores que tomen dichas variables. Dichos valores se van almacenandoen una tabla de verdad como la que ilustramos en el siguiente ejemplo:

F(x, y, z) = x y z + x’z’

1.png

Figure 19: TABLA Y MAPA K.

Podemos hacer una representacion grafica de dicha tabla de verdad, mediantela matriz que se encuentra al lado, denominada mapa de Karnaugh. Asıel resultado en rojo obtenido en la tabla de verdad se corresponde con laposicion indicada en rojo en la matriz. Cada valor en esta matriz recibe elnombre de implicante siendo los valores uno minterm.

4.1.1 REGLAS DE SIMPLIFICACION.

1. Las agrupaciones son exclusivamente de unos. Esto implica queningun grupo puede contener ningun cero.

2. Las agrupaciones unicamente pueden hacerse en horizontal yvertical. Esto implica que las diagonales estan prohibidas.

3. Los grupos han de contener 2n elementos. Es decir que cada grupotendra 1,2,4,8... numero de unos.

VENTURA GRANDEZ HENRY. CEFIEE

Page 27: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

Figure 20: REGLAS 1 Y 2.

Figure 21: REGLA 3.

4. Cada grupo ha de ser tan grande como sea posible. Tal y comolo ilustramos en el ejemplo.

5. Todos los unos tienen que pertenecer como mınimo a un grupo.Aunque pueden pertenecer a mas de uno.

6. Pueden existir solapamiento de grupos.

7. La formacion de grupos tambien se puede producir con lasceldas extremas de la tabla. De tal forma que la parte inferior se podrıaagrupar con la superior y la izquierda con la derecha tal y como se explicaen el ejemplo.

8. Tiene que resultar el menor numero de grupos posibles siem-pre y cuando no contradiga ninguna de las reglas anteriores. Estoes el numero de grupos ha de ser mınimo.

VENTURA GRANDEZ HENRY. CEFIEE

Page 28: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

Figure 22: REGLA 4,5,6,7,8.

4.1.2 Mapas de Karnaugh para dos, tres, cuatro y cinco variables.

De izquierda a derecha y de arriba a abajo aparecen los mapas para dos, tres,cuatro y cinco variables.Note que en cada mapa existe una lınea diagonal en la esquina superiorizquierda. Por encima y por debajo de dicha lınea aparecen los nombresde las variables implicadas (en este caso a, b, c, d y/o e, segun el mapa,aunque pudieran ser otros diferentes), de tal forma que para el mapa decuatro variables, por ejemplo, las combinaciones de ceros y unos de la partesuperior del mapa son las combinaciones posibles de las variables a y b, eneste orden, y las combinaciones de dıgitos binarios del lateral izquierdo sonla posibles combinaciones de las variables c y d, tambien en ese orden.El aspecto de los mapas de Karnaugh es el de la siguiente figura:

Ejercicios propuestos.

1.- Demuestre los teoremas booleanos en base a la definicion del algebra.

2.- Obtenga la tabla de verdad de las siguientes expresiones:a) f = wyz+xy+wy)

VENTURA GRANDEZ HENRY. CEFIEE

Page 29: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

Figure 23: MAPAS K PARA MAS VARIABLES.

b) f= (w+x+y) (x+z) (w+x).

3.- Obtenga los mapas de las siguientes funciones :

a)f =∑

m(5, 6, 7, 12)

b)f =∏

M(10, 13, 14, 15)

c)f =∑

m(1, 2, 3, 8, 12, 23)

4.- Obtenga las formas normales en suma de productos y producto de sumasde las siguientes expresiones :a) f = (ab+ac)(ab))b) f = xy(v+w)[(x+y) v] .c) f = (x+yz)d) f = (a+b+c)(d+a)+bc+ a c .

5.- Determine y exprese en forma de minterminos y maxterminos las fun-ciones f, + f 2 y f, - f 2, siendo:

f1 =∏

M(1, 2, 3, 5, 6, 7, 13, 14, 15).

f2 =∑

m(0, 4, 8, 9, 10, 14, 15)

6.- Obtenga las expresiones algebraicas de las siguientes funciones:7.- Obtener las expresiones logicas que describen las relaciones entre las vari-ables de entrada X, Y y Z y las variables de salida A y B en el circuito de lafigura.

VENTURA GRANDEZ HENRY. CEFIEE

Page 30: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

Figure 24: Problema no 6.

Figure 25: Problema no 7.

9.-Empleando diagramas de Karnaugh minimıcense las funciones de cuatrovariables que aparecen expresadas como suma de terminos minimos:

F(A,B,C,D) = min(0,l,4,5,7,8,10,12,14,15)

F(A,B,C,D) = min(0,2,5,7,8,10,13,15)

10.-Minimizar las siguientes funciones expresadas como producto de terminosmaximos, empleando directamente estos terminos sobre el diagrama de Kar-naugh:F1 = max(0,3,4,5,6,7,11,13,14,15)

F2 = max(1,3,4,5,6,7,9,11,13).

5 LOGICA COMBINACIONAL MODULAR.

Los sistemas digitales contienen datos o informacion que esta en alguna formade codigo binario,los cuales se operan de alguna manera. En este capıtulo seexaminan circuitos combinatorios cuyas aplicaciones incluyen:1. Cambio de datos de una forma a otra.2. Tomar datos y enrutarlos a uno de varios destinos.3. Decodificacion de datos para despliegues visuales.

VENTURA GRANDEZ HENRY. CEFIEE

Page 31: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

Muchos de los circuitos logicos que cumplen estas funciones estan ahora comocircuitos integrados en la categorıa de Mediana Escala de Integracion (MSI- Medium Scale Integration). Por esta razon, no nos concentraremos en eldiseno de estos circuitos, sino que investigaremos como se usan solos o encombinacion, para cumplir varias operaciones sobre datos digitales. Algunasde las operaciones que se discuten son decodificacion, codificacion, conversionde codigos, multiplexado y demultiplexado.

Los circuitos MSI son los que estan constituidos por un numero de puertaslogicas comprendidos entre 12 y 100. En este capıtulo veremos una serie decircuitos combinaciones que se utilizan mucho en electronica digital y que sonla base para la creacion de disenos mas complejos. Aunque se pueden disenara partir de puertas logicas, estos circuitos se pueden tratar como ”compo-nentes”, asignandoles un sımbolo, o utilizando una cierta nomenclatura. Lomas importante es comprender para que sirven, como funcionan y que bitsde entrada y salida utilizan. Estos circuitos los podrıamos disenar perfecta-mente nosotros, puesto que se trata de circuitos combinacionales y por tantopodemos aplicar todo lo aprendido en capitulos anteriores.

5.1 CODIFICADORES.

Los codifcadores nos permiten ”compactar” la informacion, generando uncodigo de salida a partir de la informacion de entrada. Y como siempre, lomejor es verlo con un ejemplo. Imaginemos que estamos disenando un cir-cuito digital que se encuentra en el interior de una cadena de musica. Estecircuito controlara la cadena, haciendo que funcione correctamente.

Una de las cosas que hara este circuito de control sera activar la radio, el CD,la cinta o el Disco segun el boton que haya pulsado el usuario. Imaginemosque tenemos 4 botones en la cadena, de manera que cuando no estan pulsados,generan un ’0’ y cuando se pulsan un ’1’ (Botones digitales). Los podrıamosconectar directamente a nuestro circuito de control la cadena de musica,como se muestra en la figura .

Figure 26: Circuito de control de una cadena de musica.

VENTURA GRANDEZ HENRY. CEFIEE

Page 32: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

Sin embargo, a la hora de disenar el circuito de control, nos resultarıa massencillo que cada boton tuviese asociado un numero. Como en total hay 4botones, necesitarıamos 2 bits para identifcarlos. Para conseguir esta aso-ciacion utilizamos un codifcador, que a partir del boton que se haya pulsadonos devolvera su numero asociado:

Figure 27: Circuito de control con codificador.

Fijemonos en las entradas del codifcador, que estan conectadas a los botones.En cada momento, solo habra un boton apretado, puesto que solo podemosescuchar una de las cuatro cosas. Bien estaremos escuchando el CD, bien lacinta, bien la radio o bien un disco, pero no puede haber mas de un botonpulsado1. Tal y como hemos hecho las conexiones al codifcador, el CD tieneasociado el numero 0, la cinta el 1, la radio el 2 y el disco el 3 (Este numerodepende de la entrada del codificador a la que lo hayamos conectado). A lasalida del codificador obtendremos el numero del boton apretado. La tablade verdad sera ası:

Figure 28: Tabla de verdad del codificador de 4 a 2.

El circuito de control de la cadena ahora solo tendra 2 bits de entrada paradeterminar el boton que se ha pulsado. Antes necesitabamos 4 entradas. Elcodificador que hemos usado tiene 4 entradas y 2 salidas, por lo que se llamacodificador de 4 a 2. Existen codificadores de mayor numero de entradas,como el que vamos a ver en el siguiente ejemplo.Imaginemos que ahora queremos hacer un circuito para monitorizar la situacionde un tren en una vıa. En una zona determinada, la vıa esta dividida en 8tramos. En cada uno de ellos existe un sensor que indica si el tren se en-cuentra en ese tramo (el sensor devuelve 1) o fuera de el (valor 0). Se ve

VENTURA GRANDEZ HENRY. CEFIEE

Page 33: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

claramente que cuando uno de los sensores este activado, porque que el trense encuentre en ese tramo, el resto de sensores devolveran un ’0’ (No detectanal tren).Si conectamos todas las entradas de los sensores a un codificador de 8 a 3, loque tendremos es que a la salida del codificador saldra un numero que indicael tramo en el que se encuentra el tren. El circuito de control que conectemosa las salidas de este codificador solo necesita 3 bits de entrada para conocerel tramo en el que esta el tren, y no es necesario 8 bits. ¡Su diseno sera massimple!. La tabla de verdad es:

Figure 29: Tabla de verdad para un codificador de 8 a 3.

5.1.1 Ecuaciones.

A continuacion deduciremos las ecuaciones de un codificador de 4 a 2, y luegoutilizaremos un metodo rapido para obtener las ecuaciones de un codi?cadorde 8 a 3.El codificador de 4 a 2 que emplearemos es el siguiente:

Figure 30: Codificador 4 a 2.

Las ecuaciones las obtenemos siguiendo el mismo metodo de siempre: primeroobtendremos la tabla de verdad completa y aplicaremos el metodo de Kar-naugh. Con ello obtendremos las ecuaciones mas simplificadas para las sal-idas y. Al hacer la tabla de verdad, hay que tener en cuenta que muchas

VENTURA GRANDEZ HENRY. CEFIEE

Page 34: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

de las entradas NO SE PUEDEN PRODUCIR. En las entradas de un de-codificador, una y solo una de las entradas estara activa en cada momento.Utilizaremos esto para simplificar las ecuaciones. Se ha utilizado una X paraindicar que esa salida nunca se producira:

Figure 31: Tabla del circuito.

C1 y C0 siempre valen ’x’ excepto para 4 filas. Los mapas de Karnaugh queobtenemos son:

Figure 32: Mapas K para el circuito.

Las casillas que tienen el valor ’x’ podemos asignarles el valor que mas nosconvenga, de forma que obtengamos la expresion mas simpli?cada. Las ecua-ciones de un decodificador de 4 a 2 son:

Co = E2 + E3

C1 = E1 + E3

La manera ”rapida” de obtenerlas es mirando la tabla simplificada, como laque se muestra en el ejemplo de la cadena de musica. Solo hay que fijarse enlos ’1’ de las funciones de salida (como si estuviesemos desarrollando por la

VENTURA GRANDEZ HENRY. CEFIEE

Page 35: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

primera forma canonica) y escribir la variable de entrada que vale ’1’. Habratantos sumandos como ’1’ en la funcion de salida.Las ecuaciones para un codificador de 8 a 3, utilizando el metodo rapido,son:

Co = E1 + E2 + E5 + E7

C1 = E2 + E3 + E6 + E7

C2 = E4 + E5 + E6 + E7

5.2 DECODIFICADORES.

Un decodificador es un circuito logico combinacional, que convierte un codigode entrada binario de N bits en M lıneas de salida (N puede ser cualquierentero y M es un entero menor o igual a 2 elevado a la potencia N), tales quecada lınea de salida sera activada para una sola de las combinaciones posiblesde entrada. La Figura , muestra el diagrama general de un decodificador conN entradas y M salidas.

Figure 33: Diagrama general del decodificador.

Puesto que cada una de las entradas puede ser 0 o 1, hay 2 a la N posiblescombinaciones o codigos de entrada. Para cada una de estas combinacionesde entrada solo una de las M salidas estara activada 1, para logica positiva;todas las otras salidas estaran en 0. Muchos decodificadores se disenan paraproducir salidas 0 activas, logica negativa, donde la salida seleccionada es 0mientras que las otras son 1. Esto ultimo, se indica siempre por la presen-cia de pequenos cırculos en las lıneas de salida del diagrama del decodificador.

Algunos decodificadores no usan todos los 2 ala N codigos posibles de entrada,sino solo algunos de ellos. Por ejemplo, un decodificador BCD a DECIMAL,

VENTURA GRANDEZ HENRY. CEFIEE

Page 36: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

tiene un codigo de entrada de 4 bits, el cual usa solo diez grupos codificadosBCD, 0000 hasta 1001.Algunos de estos decodificadores se disenan de tal manera, que si cualquierade los codigos no usados se aplican a la entrada, ninguna de las salidas seactivara.La Figura 34, muestra la circuiterıa para un decodificador con 3 entradas y8 salidas. Como solo usan compuertas Y, las salidas activadas son 1. Paratener salidas activas 0, deberıan usarse compuertas No Y.

Figure 34: Decoficador de binario a octal.

Puede hacerse referencia a este codificador de distintas maneras, todas ellasvalidas y usuales. Puede llamarse un decodificador de 3 lıneas a 8 lıneas (3x 8), porque tiene tres lıneas de entrada y ocho de salida.Tambien recibe el nombre de convertidor o decodificador binario a octal,porque toma un codigo de entrada binario de tres entradas y produce un 1en una de las ocho (octal) salidas correspondientes a ese codigo. A veces sehace referencia al circuito como un decodificador 1 de 8, porque 1 de las 8salidas se activa a la vez. A continuacion se muestra la Tabla funcional delafigura 35 para este decodificador (74138):Si se tiene una funcion reducida, debera primero obtenerse su forma canonicapara poderla realizar con un decodificador. La mayorıa de estos circuitostienen solo dos niveles de conmutacion. La tabla funcional queda en funcionde minterminos por utilizarse logica positiva.Es por esta razon que a los decodificadores se les conoce como generadoresde minterminos.

VENTURA GRANDEZ HENRY. CEFIEE

Page 37: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

Figure 35: Tabla funcional.

Figure 36: Decodificador como generador de minterminos.

5.3 MULTIPLEXORES.

Un multiplexor o selector de datos es un circuito logico que acepta varias en-tradas de datos y permite que solo una de ellas pase en un tiempo a la salida.El enrutamiento de la entrada de datos hacia la salida esta controlado porlas entradas de seleccion (a las que se hace referencia a veces como entradasde direccion). La Figura muestra el diagrama general de un multiplexor. Eneste diagrama las entradas y salidas se dibujan como flechas gruesas paraindicar que pueden ser una o mas lıneas.El multiplexor actua como un conmutador multiposicional controlado digi-talmente, donde el codigo digital aplicado a las entradas de SELECCION,controla cuales entradas de datos seran conmutadas hacia la salida. Porejemplo, la salida Z sera igual a la entrada de datos I0 para algun codigo deentrada particular de seleccion; Z sera igual a I1 para otro codigo particularde seleccion de entrada y ası sucesivamente. Establecido de otra manera, unmultiplexor selecciona 1 de N fuentes de entrada de datos y transmite losdatos seleccionados a un solo canal de salida. Esto se llama multiplexion o

VENTURA GRANDEZ HENRY. CEFIEE

Page 38: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

Figure 37: Diagrama general de un multiplexor digital.

multiplexaje.

5.3.1 MULTIPLEXOR BASICO DE DOS ENTRADAS.

La figura muestra la circuiterıa logica de un multiplexor de dos entradas, I0e I1 y entrada de SELECCION S. El nivel logico que se aplica a la entrada Sdetermina que compuerta Y se habilita de manera que su entrada de datosatraviese la compuerta O hacia la salida Z. Observando esto desde otro puntode vista, la expresion booleana de la salida es:

Figure 38: Multiplexor basico de dos entradas.

Z = Io.S’ + I1.S,

Con S = 0, esta expresion se convierte en:

Z = Io.1 + I1.0 = Io

VENTURA GRANDEZ HENRY. CEFIEE

Page 39: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

lo cual indica que Z sera identica a la senal de entrada I0, que puede ser unnivel logico fijo o bien, una senal logica que varıa con el tiempo. Con S = 1,la expresion se transforma en:

Z = Io.0 + I1.1=I1

lo cual muestra que la salida Z sera identica a la senal de entrada I1.

5.3.2 MULTIPLEXOR DE CUATRO ENTRADAS.

Se puede aplicar la misma idea basica para formar el multiplexor de cuatroentradas que se muestra en la figura . Aquı se tienen cuatro entradas, que setransmiten en forma selectiva a la salida con base en las cuatro combinacionesposibles de las entradas de seleccion S1S0. Cada entrada de datos se accedecon una diferente combinacion de niveles de entrada de seleccion. I0 secaptura con S1S0 negadas las dos, de manera que I0 pase a traves de sucompuerta Y hacia la salida Z solo cuando S1 = 0 y S0 = 0. La tabla de lafigura da las salidas de otros tres codigos de seleccion de entrada.

Figure 39: Multiplexor de cuatro entradas.

En las familias logicas TTL y CMOS se dispone regularmente de multiplex-ores de dos, cuatro, ocho y dieciseis entradas. Estos circuitos integradosbasicos pueden ser combinados para la multiplexacion de un gran numero deentradas.

5.4 DEMULTIPLEXORES(DISTRIBUIDOR DE DATOS).

Un multiplexor toma varias entradas y transmite una de ellas a la salida.Un demultiplexor toma una sola entrada y la distribuye sobre varias salidas.La Figura, muestra el diagrama general para un demultiplexor. Las flechas

VENTURA GRANDEZ HENRY. CEFIEE

Page 40: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

grandes para entradas y salidas pueden representar una o mas lıneas. Elcodigo de entrada seleccion determina a cual salida sera transmitida la en-trada datos. En otras palabras, el demultiplexor toma una fuente de datosde entrada y la distribuye en forma selectiva a 1 de N canales de salida.

Figure 40: Diagrama general de un demultiplexor digital.

La Figura 41, muestra el logigrama para un demultiplexor que distribuyeuna lınea de entrada a ocho lıneas de salida. La sola lınea de entrada dedatos I se conecta a todas las ocho compuertas Y, pero una sola de ellas seracapacitada por las lıneas de entrada seleccion. Por ejemplo, para S2 S1 S0= 0 0 0, solo la primera compuerta Y sera habilitada y la entrada de datosI aparecera en la salidas O0. Para otros codigos de seleccion, la entrada Iestara presente en otras salidas.

Figure 41: Logigrama de un multiplexor de 1 a 8.

El demultiplexor de la Figura 41, es realmente una modificacion del circuito

VENTURA GRANDEZ HENRY. CEFIEE

Page 41: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

decodificador de la Figura 34 . Si se anade una cuarta entrada a todaslas compuertas decodificadoras, esta entrada puede usarse como la entradacomun de datos I y las entradas A, B y C pueden servir como las lıneasde seleccion. Muchos decodificadores proveen esta entrada comun extra (lla-mada generalmente la entrada habilitadora), ası el decodificador puede usarsetambien como un demultiplexor.

5.5 Juntando multiplexores y demultiplexores.

Vamos a ver una aplicacion tıpica de los multiplexores y los demultiplexores.Imaginemos que tenemos 4 sistemas, que los llamaremos a,b,c y d, y quenecesitan enviar informacion a otros 4 dispositivos A,B,C y D. La comuni-cacion es uno a uno, es decir, el sistema a solo envıa informacion al sistemaA, el b al B, el c al C y el d al D. ¿Que alternativas hay para que seproduzca este envıo de datos?

Una posibilidad es obvia, y es la que se muestra en la figura . Directamentese tiran cables para establecer los canales de comunicacion.

Figure 42: Metodo alternativo sin Mux.

Pero esta no es la unica solucion. Puede ser que podamos tirar los 4 cables,porque sean muy caros o porque solo haya un unico cable que comuniqueambas parte, y sera necesario llevar por ese cable todas las comunicaciones.La solucion se muestra en la figura 43. Vemos que los sistemas a, b, c y d seconectan a un multiplexor.Un circuito de control, conectado a las entradas de seleccion de este mul-tiplexor, selecciona periodicamente los diferentes sistemas, enviando por lasalida el canal correspondiente. Podemos ver que a la salida del multiplexorse encuentra la informacion enviada por los 4 sistemas. Se dice que estainformacion esta multiplexada en el tiempo. Al final de esta lınea hay undemultiplexor que realiza la funcion inversa. Un circuito de control selec-ciona periodicamente por que salidas debe salir la informacion que llega por

VENTURA GRANDEZ HENRY. CEFIEE

Page 42: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

Figure 43: Comunicacion por Mux.

la entrada.Lo que hemos conseguido es que toda la informacion enviada por un sistema,llega a su homologo en el extremo anterior, pero solo hemos utilizado ununico canal de datos.

5.6 Resumen.

En este capıtulo hemos visto los multiplexores y los demultiplexores, con-stituidos internamente por puertas logicas. Los multiplexores nos permitenseleccionar entre uno de varios canales de entrada (tuberıas) para sacarlo porla salida. Por ello disponen de unas entradas de datos (por donde entra elagua), unas entradas de seleccion (Llaves de paso) y un canal de salida. Estoscanales de datos pueden ser de varios bits, sin embargo, en este capıtulo noshemos centrado en los multiplexores que tienen canales de datos de 1 bits,puesto que a partir de ellos podemos construir multiplexores con canales dedatos de mayor cantidad de bit, ası como multiplexores que tienen mayorcantidad de canales de entrada.Tambien hemos visto los demultiplexores, que realizan la funcion inversa. Uncanal de entrada (tuberıa) se puede conectar a una de las diferentes salidas,segun el valor introducido por las entradas de seleccion (llaves de paso).Los multiplexores pueden tener opcionalmente una entrada de validacion,que puede ser activa a nivel alto o a nivel bajo y actua como una especie deinterruptor que permite que el multiplexor funcione o no. Si esta activada, elmultiplexor funciona normalmente. Si la entrada de validacion esta desacti-vada, por la salida del multiplexor siempre hay un ’0’. Por ultimo hemos vistoque con un multiplexor tambien se pueden implementar funciones logicas, yes otra alternativa que tenemos ademas de las puertas logicas. Mediante elmetodo de las tablas de verdad, podemos saber facilmente que variables hayque conectar a las entradas del multiplexor.

VENTURA GRANDEZ HENRY. CEFIEE

Page 43: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

5.7 ELEMENTOS DE ARITMETICA BINARIA.

Son dispositivos MSI que pueden realizar operaciones aritmeticas (suma,resta, multiplicacion y division) con numeros binarios. De todos los dis-positivos, nos centraremos en los comparadores de magnitud, detectores ygeneradores de paridad y sumadores .

5.7.1 SUMADORES.

El sumador digital es un circuito combinacional que realiza la operacion ar-itmetica de sumar dos o mas datos. La operacion suma es la base de lasunidades de computo en un sistema de procesamiento digital debido a quelas operaciones de resta, multiplicacion y division pueden crearse a partir deesta.

Por ejemplo, la resta de dos numeros binarios se puede expresar como la sumadel minuendo mas el complemento a dos del sustrayendo; por otra parte elproducto y la division de dos numeros se obtienen realizando operacionesrecursivas de sumas y restas respectivamente.

SEMISUMADOREn la figura se muestra un bloque sumador generico de un bit, donde losdatos a sumar son de un bit cada uno. El circuito debe tener una salida quecorresponde con el resultado aritmetico y otra que senala el acarreo de laoperacion.Debido a que no posee acarreo de entrada, el circuito se conoce como semisumador;y esto hace que no pueda ser acoplado en cascada directamente con otros blo-ques del mismo tipo.

Figure 44: Tabla y mapas K del circuito semisumador.

Su logigrama del semisumador y su circuito topologico es:Sin embargo, el acoplamiento de los bloques semisumadores puede obtenersea traves de circuitos de compuertas. La solucion a este problema se resuelveen la figura donde se agrega un bit de acarreo en la entrada del circuito

VENTURA GRANDEZ HENRY. CEFIEE

Page 44: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

Figure 45: Logigrama del circuito semisumador.

de forma que pueda ser utilizado para realizar expansiones de sumadoresdigitales con varios bloques de un solo bit acoplados en serie o en cascada.SUMADOR COMPLETO DE UN BIT.El circuito de la figura es un sumador completo de un bit; este circuito puedeacoplarse directamente en cascada para obtener sumadores de varios bits. Elinconveniente del acoplamiento es el retardo de tiempo que se origina en cadabloque y que trae consigo una propagacion total del circuito equivalente alproducto del retardo de un bloque por la cantidad que van ha ser conectadosen serie.

Figure 46: Tabla y mapas K del circuito sumador.

Su simplificacion por los mapas K y su logigrama es:Donde la compuerta O de tres entradas se obtuvo a partir de dos compuer-tas O de dos entradas, y su circuito topologico se muestra en la grafica 47.Donde el diodo LED 1 es S y el diodo LED 2 es C.

5.7.2 RESTADORES .

En la diferencia, cada bit del sustraendo se resta de su correspondiente bitdel minuendo para formar el bit de la diferencia. El prestamo ocurre cuandoel bit del minuendo es menor al bit del sustraendo, de tal forma que se prestaun 1 de la siguiente posicion significativa.

VENTURA GRANDEZ HENRY. CEFIEE

Page 45: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

Figure 47: Logigrama del circuito sumador.

La resta se implementa mediante un sumador. El metodo consiste en llevaral minuendo a una de las entradas y el sustraendo en complemento 2 a laotra entrada.

SEMI RESTADOR El circuito combinacional que realiza la resta de dosbits se denomina Restador medio. El circuito tiene dos entrada binaria ydos salidas. La figura 3.9.5 muestra el sımbolo logico de Restador medio.En el circuito las entradas son A(minuendo) y B(sustraendo) y la salida Dcorresponde a la diferencia y P al prestamo de salida. Si A B, existen tresposibilidades 0-0=0, 1-0=0 y 1-1=1. El resultado es el bit de diferencia D.Si A¡B se tiene 0-1 y es necesario prestar un 1 de la siguiente posicion signi-ficativa de la izquierda. El prestamo agrega 2 al bit del minuendo de manerasimilar cuando en el sistema decimal se agrega 10 al dıgito del minuendo.

Figure 48: Tabla y mapas K del circuito semirestador.

El grafico nos muestra el logigrama del semirestador. Donde el diodo LED 1es R y el diodo LED 2 es P.RESTADOR COMPLETOEl Restador completo realiza la resta entre dos bits, considerando que seha prestado un 1 de un estado menos significativo. En la tabla 3.9.4. lasentradas A, B y C denotan el minuendo, el sustraendo y el bit prestado. Lassalidas D y P representan a la diferencia y el prestamo.Logigrama del restador completo y su Circuito topologico:

VENTURA GRANDEZ HENRY. CEFIEE

Page 46: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

Figure 49: Circuito del semirestador.

Figure 50: Tabla y mapas K del circuito restador completo.

El diodo LED 1 es R y el diodo LED 2 es P.

Donde nuevamente la compuerta O de tres entradas se puede obtener a partirde dos compuertas O de dos entradas.

5.7.3 COMPARADORES DE MAGNITUD.

Los comparadores de magnitud son circuitos que comparan el valor binariode dos numeros, proporcionando informacion de cual es mayor, menor, o siambos son iguales. Son sistemas muy usados en ingenierıa.

Existen comparadores de 4 bits y de 8 bits. Ademas de las correspondientesentradas de datos disponen de tres entradas mas que pueden informar sobreuna situacion anterior, y que se usan para conectar en cascada distintoscomparadores, de manera que pueda construirse uno de mayor capacidad.

5.7.4 DETECTORES Y GENERADORES DE PARIDAD.

Los detectores y generadores de paridad son circuitos MSI que detectan sien la entrada hay un numero par o impar de ”unos”, o sea, detectan la pari-dad de una palabra digital. Se basan en la funcion EX-OR. Su aplicacionprincipal se basa en la transmision y deteccion de codigos en las comunica-

VENTURA GRANDEZ HENRY. CEFIEE

Page 47: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

Figure 51: Circuito de un restador completo.

ciones digitales. Un tipo de codigo muy usado en las transmisiones digitaleses aquel que a una palabra digital le anade un bit que indique la paridad dela palabra.Cuando nuestro circuito genere el bit de paridad, funcionara como trans-misor, y cuando tenga que detectarlo, funcionara como receptor. Supong-amos que vamos a trasmitir la palabra de 7 bits [1011110] con paridad par,el bit que debemos anadir debe ser un 1, para que el total de unos sea par.Como Transmisor: 1011110 1 no de unos 6En el receptor recibimos una palabra de 8 bits [10111101] detectamos suparidad y si es par (como ocurre en este caso), admitimos la palabra comocorrecta.

Ejercicios propuestos.

1.- Las normas de seguridad de los modernos aviones exigen que, para senalesde vital importancia para la seguridad del aparato, los circuitos deben estartriplicados para que el fallo de uno de ellos no produzca una catastrofe. Encaso de que los tres circuitos no produzcan la misma salida, esta se escogeramediante votacion. Disene el circuito ”votador” que ha de utilizarse paraobtener como resultado el valor mayoritario de las tres entradas.

2.- Las cuatro lıneas de entrada de un circuito combinacional correspondena un numero natural codificado en binario natural. Disene un circuito quesirva para detectar cuando un numero es una potencia de dos.

3.- Disene un circuito combinacional que acepte un numero de tres bits ygenere un numero binario de salida igual al cuadrado del numero de entrada.

4.- Se ha disenado una puerta de tres entradas llamada bomba (cuyas carac-terısticas se muestran) con un resultado desafortunado. Experimentalmente

VENTURA GRANDEZ HENRY. CEFIEE

Page 48: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

se encuentra que las combinaciones de entrada 101 y 010 hacen explotar lapuerta.Determine si hay que inutilizar las puertas o, por el contrario, pueden sermodificadas externamente (anadiendo un circuito) de forma que sea fun-cionalmente completa y que sin embargo no explote.

5.- Florencio va a ir a una fiesta esta noche, pero no solo. Tiene cuatronombres en su agenda: Ana, Bea, Carmen y Diana. Puede invitar a mas deuna chica pero no a las cuatro. Para no romper corazones, ha establecido lassiguientes normas:- Si invita a Bea, debe invitar tambien a Carmen.- Si invita a Ana y a Carmen, debera tambien invitar a Bea o a Diana.- Si invita a Carmen o a Diana, o no invita a Ana, debera invitar tambien aBea.Antes de llamarlas por telefono, quiere utilizar un circuito que le indiquecuando una eleccion no es correcta. Ayudele a disenar el circuito optimo endos niveles con puertas NAND.

6.- Una luz se enciende cuando su senal de excitacion esta en nivel bajo.Esta senal esta controlada por un circuito de cuatro entradas: x1:orden deencender la luz, activa en bajo; x2:orden de inhibir la luz, activa en bajo ;x3: orden de emergencia, activa en bajo; x4:aviso del estado de la luz en lacalle : ”1” si es de dıa, ”0” si es de noche. La luz se debe iluminar cuandohaya orden de encenderla, el estado de la luz exterior sea el apropiado y nohaya inhibicion, excepto si hay emergencia, en cuyo caso la luz se enciendeindependientemente de las otras senales.De una tabla de verdad del circuitoque controla la luz disenandolo con los elementos que estime oportunos.

7.-Realice las siguientes funciones haciendo uso de los dispositivos que se danen cada uno de los apartados:F=Min (0,9,11,15)F= Max (0, 3,5)a) Utilizando un decodificador con salidas activas en nivel alto y puertasOR.b) Utilizando un decodificador con salidas activas en nivel bajo y puertasAND.c) Utilizando un decodificador con salidas activas en alto y puertas NOR.d) Utilizando un decodificador con salidas activas en bajo y puertas NAND.

8.-Encuentre un diseno mınimo para cada una de las siguientes funciones sisolo disponemos de un decodificador 3 :8 y de puertas de dos entradas .

VENTURA GRANDEZ HENRY. CEFIEE

Page 49: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

a) F = Min (0, 9, 11, 15).b) F = Max (0, 3, 5).c) F = Max (1, 3, 4, 6, 9, 11).d) F = Max (1, 2, 3, 7, 8, 9).

9.- Disene los siguientes convertidores de codigo:a) BCD - EXCESO-3.b) BCD -2 de5.

10.- Se pretende disenar un circuito comparador de 2 numeros de 2 bits,A=(a1, a0) y B = (b1, bo). Dicho circuito debera tener tres salidas M, p,m, de tal forma que:M = 1siA ≥ B,p = 1siA ≡ B,m = 1siA ≤ B,Disenese exclusivamente con puertas NOR.

11.- Obtener los circuitos semisumador y sumador completo usando solo puer-tas NAND.

12.- Un circuito tiene como entradas dos numeros binarios de dos bits cadauno: Y= y1yo; X= x1xo. Se desea que tenga salidas 11 si Y ≡ X, 10 siY ≥ X y 01 si Y ≤ X . Disene un circuito con un decodificador de 3 a 8 consalidas activas en alto, un numero no determinado de puertas NAND de dosentradas y dos puertas NAND de un numero de entradas no limitado.Anada una senal de habilitacion (enable). Las entradas estan en unico raıl.Utilize obligatoriamente el decodificador.

13.- Se dispone de decodificadores 2 a 4 con senal de habilitacion activa ennivel alto. Disene, con las mismas caracterısticas:a) Un decodificador 1:2.b) Un decodificador 3:8.c) Un decodificador de 4:16.

14.- Utilizando decodificadores 74138 (utilizar data sheet) y el menor numerode puertas posible, ¿Como disenarıas?a) Un decodificador 4 a 16.b) Un decodificador 5 a 32.

VENTURA GRANDEZ HENRY. CEFIEE

Page 50: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

6 CARACTERISTICAS INTERNAS DE LAS

FAMILIAS LOGICAS.

Los circuitos integrados digitales estan caracterizados por la tecnologıa defabricacion utilizada. La base de esta integracion es el silicio que junto aotros materiales, usados como aditivos, ionizan y dan caracterıstica electricatransitoria y permanente de corriente, tension, retardo de tiempo, etc.

Los componentes basicos de la integracion son: transistores bipolares, FET,resistencias y diodos; estos originan comportamientos de tipo analogico en elcircuito integrado digital. Los niveles logicos 0 y 1 estan supeditados a ran-gos de corriente y voltaje que van ha depender de las cargas que se conectenen esas lıneas digitales y, especıficamente, de la tecnologıa de fabricacion eintegracion utilizada en la construccion del chip.

Las familias logicas mas utilizadas en el diseno de circuitos digitales son:TTL, CMOS y ECL. Las diferencias entre ellas determinan el tipo de apli-cacion en la implementacion del diseno logico digital y el rendimiento delmismo. Existen actualmente otras subfamilias de circuitos integrados quetrabajan con voltajes bajos y altas frecuencias como lo son las series LVC yLVT que trabajan con tensiones entre 2.5 y 5.0 Voltios. En este manual nose estudiaran estos dispositivos; no obstante, se sugiere consultar los man-uales de fabricantes como Texas Instruments (2.5V-5V Standard Logic IC”SN74LV-A series 2000) o la direccion electronica: www.ti.com/sclogic/iva.

6.1 Parametros electricos de un circuito integrado dig-ital.

Los parametros de las compuertas logicas estan determinados por el fabri-cante del circuito integrado y alguno de estos parametros comprenden valoresy rangos de corriente, voltaje, retardo de tiempo, disipacion de potencia, mar-gen de ruido, fan-out.Todos ellos determinan las condiciones de operacion del circuito: consumo decorriente que suministra la fuente, temperatura de trabajo, tiempo de propa-gacion de las senales en los acoplamientos de compuertas, ruido externo, etc.Los tipos de tecnologıas (familias logicas TTL, CMOS, ECL, etc.) diferen-cian estas condiciones de operacion, y es aquı donde el disenador debe tomarlas precauciones necesarias a la hora de implementar un circuito digital.

VENTURA GRANDEZ HENRY. CEFIEE

Page 51: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

6.1.1 Niveles logicos.

Los niveles alto y bajo (H y L) de las entradas y salidas digitales tienen ran-gos fijos dentro de una misma familia logica. Sin embargo, existen pequenasvariaciones entre las subfamilias de los circuitos y compuertas digitales comunmentedenominadas series de la familia logica. En la figura se muestran los rangos devoltaje entrada/salida (Input/Output) de los circuitos digitales; los valoresde estos estan dados en los manuales de caracterısticas tecnicas del fabricantey se definen de la siguiente forma:

ViH (mın): Voltaje de entrada mınimo reconocido como un nivel logicoalto (1 o H). Las tensiones por debajo de este valor no garantiza una tension,ViH valida.

ViL(max): Voltaje de entrada maximo reconocido como un nivel logico bajo(0 o L). Las tensiones por encima de este valor no garantiza una tension, ViLvalida.

VoH(mın): Voltaje de salida mınimo reconocido como un nivel logico alto(1 o H). Las tensiones por debajo de este valor no garantiza una tension,VoH valida.

VoL(max): Voltaje de salida maximo reconocido como un nivel logico bajo(0 o L). Las tensiones por encima de este valor no garantiza una tension, VoLvalida.

Figure 52: Rangos definidos para los niveles logicos de voltaje .

Los valores correspondientes de (VCC, VDD) y (VEE, VSS) se establecencon la fuente de poder, dependiendo de la familia utilizada. Del mismo modo,las lıneas de los circuitos integrados digitales drenan y conducen corrientesque dependen de la familia utilizada, y de los niveles logicos.

IiH(max): Corriente de entrada maxima cuando la lınea o compuerta dig-

VENTURA GRANDEZ HENRY. CEFIEE

Page 52: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

ital esta en nivel logico alto.

IiL(max): Corriente de entrada maxima cuando la lınea o compuerta digi-tal esta en nivel logico bajo.

IoH(max): Corriente de salida maxima cuando la lınea o compuerta digitalesta en nivel logico alto.

IoL(max): Corriente de salida maxima cuando la lınea o compuerta digitalesta en nivel logico bajo.Estos parametros, dados por los fabricantes de circuitos integrados, debenser respetados, ya que de ello dependera el buen funcionamiento del circuitodigital implementado.

De hecho, los fabricantes garantizan compatibilidad cuando se acoplan oconectan circuitos integrados de una misma Subfamilia o Serie. Por ejemplo,con VCC=+5V y VEE=0V no deben aparecer tensiones por encima del VCCni voltajes negativos por debajo del VEE; estas variaciones en la fuente depoder o en los niveles de entrada y salida ocasionan danos irreparables en loscircuitos integrados.Los voltajes de entrada/salida que se muestran en la figura comprenden losvalores que se deben aplicar en cualquier circuito digital:

6.1.2 Conexion de salida (fan - out).

El acoplamiento directo de compuertas tiene limitaciones que determinan lacantidad de entradas que se pueden conectar a una salida. Esto es debido aque la corriente suministrada y absorbida en los distintos niveles de tensionde las compuertas.

En la figura 54 se observa el acoplamiento de varias entradas de compuertasinversoras a una salida de compuerta NAND. Los cambios en las entradas dela NAND hacen que la salida pase de un nivel logico a otro.Este es un acoplamiento estatico de compuertas, ya que solamente se tomanen cuenta las corrientes y tensiones DC de las mismas. De esta forma seranecesario saber solamente la cantidad de compuertas que se pueden conectara la salida de un chip perteneciente a una familia o serie especıfica.El fan - out de una familia es el numero maximo de lıneas de entrada que sele pueden conectar a la salida de un circuito o compuerta. En la figura 4.2se obtiene el valor del fan-out para un nivel logico alto y bajo en la salida S:

VENTURA GRANDEZ HENRY. CEFIEE

Page 53: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

Figure 53: Conectividad o fan-out de las compuertas digitales.

6.1.3 Margenes de ruido.

Los componentes y circuitos electronicos son susceptibles a ruidos que puedenser producidos por: variaciones de temperatura, ruido ambiental, induccionde transformadores, motores, relays, conmutadores electricos, etc.Los fabricantes de circuitos integrados preven estas posibilidades de gen-eracion de ruido y por consiguiente incluyen en el diseno, una diferenciaentre la entrada y la salida de las compuertas; con la finalidad de mantenerla conectividad y los niveles logicos H y L de entrada / salida de las mismas.Esta diferencia se conoce como margen de ruido y esta indicada en la figura55.

Margen de ruido estatico en nivel alto (VNSH): Es la maxima variacionpermitida en el nivel alto de salida, dentro de la cual queda garantizado elreconocimiento como nivel alto en la entrada del otro circuito o compuertade la misma familia.Margen de ruido estatico en nivel bajo (VNSL): Es la maxima variacionpermitida en el nivel alto de salida, dentro de la cual queda garantizado elreconocimiento como nivel bajo en la entrada del otro circuito o compuertade la misma familia.

La tecnologıa utilizada por el fabricante busca siempre aumentar el margende ruido para poder obtener mas inmunidad al ruido.

6.1.4 Disipacion de potencia y consumo de corriente.

Las fuentes de alimentacion son las encargadas de suministrar corriente a loscircuitos integrados que conforman, internamente, a las compuertas logicasdigitales; a esta corriente se le denomina ICC. La potencia disipada o con-sumida es muy pequena y esta por el orden de los miliwatts (mW); el termino

VENTURA GRANDEZ HENRY. CEFIEE

Page 54: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

Figure 54: Margenes de ruidos estaticos.

utilizado para el consumo de corriente, cuando todas las compuertas se en-cuentran en nivel bajo, es ICCL y para el nivel alto es ICCH.No obstante, el consumo de corriente continua (DC) en todas las compuertasse promedia asumiendo que ellas, se encuentran el mismo tiempo en nivelalto que en nivel bajo, y por lo tanto, la corriente suministrada por la fuentedebe ser:

Icc =Iccl + Icch

2

En consecuencia, la disipacion o consumo de potencia estatica esta expresadapor:

Pd = Icc ∗ V c

o

Pd = Idd ∗ V dd

Por lo general, los circuitos digitales son utilizados para conmutar de unestado a otro; en el momento que son acoplados generan transiciones, pro-ducen cambios en el consumo de corriente y en la disipacion de potencia.Esta forma de consumo de energıa se conoce como disipacion de potenciadinamica ”PDD” y es igual a la energıa almacenada en el condensador queorigina la carga acoplada a la compuerta ”CL”, multiplicada por el cuadradodel voltaje; siendo proporcional al numero de transiciones por segundo (fre-cuencia ”f”).

Pdd = C1 ∗ (V cc)2 ∗ f

A medida que aumenta la frecuencia tambien incrementa el promedio deconsumo de corriente y por lo tanto mas calentamiento habra en el circuito.Al conectar compuertas aumentamos la capacitancia parasita acoplada y,como se vera mas adelante, la potencia dinamica reducira el fan-out de lascompuertas.

VENTURA GRANDEZ HENRY. CEFIEE

Page 55: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

6.2 LOGICA TTL

La logica transistor transistor (TTL) es un tipo de tecnologıa bipolar queutiliza transistores para generar las distintas funciones logicas. Esta formadapor las variantes denominadas series de la familia TTL, mostradas en la tabla4.1.

Figure 55: Serie de la familia TTL.

La serie militar 54 trabaja en un rango de temperatura bastante amplio [-55◦C +125 ◦C], es utilizada en la industria militar y equipos medicos. La serie74 indica un rango de temperatura menor [0 ◦C 70 ◦C], es la mas utilizadacomercialmente y tiene menor costo. En la figura 4.4 se muestra la forma denumerar los chips TTL.Estructura de la Fecha: El codigo de la fecha es otro codigo que trae el circuitointegrado junto al que lo describe, indica lugar y fecha de la manufacturacion.Con una o mas letras especıfica el paıs, en la parte numerica, las dos primerascifras indican el ano y las dos ultimas se refieren a la semana de fabricacion.Por ejemplo, el chip [SN74LS00J 9532] indica que se trata de una compuertaNAND de dos entradas, serie de bajo consumo Schotty con rango de temper-

VENTURA GRANDEZ HENRY. CEFIEE

Page 56: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

Figure 56: Nomenclatura de los chips TTL.

atura desde 0 ◦C hasta 70 ◦C y fue fabricado por Texas Instruments el ano1995 semana 32.

6.2.1 Conectividad, Margen de ruido, consumo de corriente, re-tardo de tiempo de las series TTL.

La familia TTL posee compatibilidad de corriente, tension y retardo detiempo entre las series que la componen. No obstante, es recomendableutilizar circuitos integrados pertenecientes a una misma serie para que suscaracterısticas tecnicas sean exactamente iguales y por ende, se disminuyanlos errores de propagacion de senales en los acoplamientos de los dispositivos.Por ejemplo, al acoplar en paralelo dos compuertas de distinta serie, la com-puerta mas rapida colocara primero la senal en la salida, ocasionando que elcircuito alimentado por esta responda a mayor frecuencia. En la tabla 60 semuestran las caracterısticas mas comunes de las compuertas pertenecientesa la familia TTL.

Conectividad (Fan-out):La tabla 60 indica el numero de entradas de compuertas que se pueden conec-tar a una lınea de salida, las que tienen mayor conectividad son las series:FAST£ 33; LS£ 20; L£ 20 y ALS£ 40. Sin embargo, esta cantidad debe serreducida para asegurar que la corriente de salida no supere el 80o/o de IOL eIOH (max) y de esta manera garantizar el buen funcionamiento del circuitointegrado.

Margen de ruido:En la seccion anterior de la, figura 60, se definen los parametros de margenesde ruido VNSL y VNSH; este ultimo, determina la diferencia entre las ten-siones mınimas del nivel logico alto VoH(mın) y por tanto, muy fundamentalpara poder determinar la inmunidad al ruido. El VNSH de las series FAST,LS, AS, y ALS es igual a 0.7 V lo que implica una mayor inmunidad al ruidoque las series L, H y estandar donde el margen de ruido VNSH es de 0.4 V.

Consumo de corriente:

VENTURA GRANDEZ HENRY. CEFIEE

Page 57: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

Figure 57: Especificaciones de algunos fabricantes.

Las series que manejan mayor corriente son las FAST, AS y H. Estan disenadaspara este proposito, la corriente que soportan en nivel bajo IoL es menor oigual que 20 mA; la diferencia con respecto a las series de tecnologıa L, LS yALS es, efectivamente, la baja corriente IOL (3.6mA, 8mA, 8mA) que circulaa traves de ellas. En este aspecto los chips de mayor consumo de corrienteson mas rapidos, pero con el inconveniente de generar mayor calor en el cir-cuito integrado y ruido de picos de corriente en la fuente de alimentacion. Laventaja de la serie FAST es que puede soportar cargas mayores a las otrasseries TTL y de este modo, mejorar el fan-out.

Retardo de tiempo (tp):La caracterıstica de retardo de tiempo esta intrınsecamente ligado a los ma-teriales semiconductores con que fabrican los circuitos integrados. Una capadelgada de material N o P hace que los portadores minoritarios necesitenmenor tiempo para conmutar de un estado de encendido hacia la condicionde apagado.Los tiempos de retardo que ocasionan los perıodos de almacenamiento y

VENTURA GRANDEZ HENRY. CEFIEE

Page 58: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

Figure 58: Especificaciones.

transicion de la union NP o PN determinan la respuesta transitoria de lascompuertas TTL. Esto se conoce como tiempo de propagacion ( tp) o re-tardo de tiempo y es una caracterıstica muy importante que el disenadordebe tomar en cuenta a la hora de realizar el diseno digital.

En la representacion, aproximada, de la onda cuadrada con niveles TTL dela figura 4.8 se puede observar, la respuesta S de un inversor 74LS04. Si enla entrada E se inyecta un pulso de esta onda; la senal de salida se inviertey se propaga en el tiempo.

El instante t1 y t3, son tomados respectivamente del 10o/o y 90o/o de larampa de subida; esta diferencia de tiempo t3-t1=tr es conocida como tiempode subida (tr: time rising), flanco de subida o transicion positiva (TSP). Deigual forma, la diferencia t7-t5=tf se conoce como tiempo de bajada (tf: timefalling), flanco de bajada o transicion negativa (TSN).

El tiempo de propagacion de la senal de entrada, a la mitad de la rampa(50o/o), con respecto a la salida; cuando esta cambia del nivel alto al nivelbajo, se conoce como tpHL. La figura 4.8 indica el tpHL como la diferenciade tiempo t4-t2. Del mismo modo t8-t6 es tpLH y ocurre cuando la senalde salida pasa de un nivel bajo a un nivel alto. El tiempo de propagacion seobtiene sacando el promedio de estos dos valores:

tp =Tphl + Tplh

2

La frecuencia maxima de trabajo o frecuencia de corte de la compuerta es elinverso de:

f(max) =1

tp

Los tiempos de propagacion de las series: AS, F, H y ALS estan por debajode los 10 ns lo que permite colocarlas como las mas rapidas de la familiaTTL.Una caracterıstica importante de los circuitos integrados de compuertas dig-itales es el factor formado por producto del tiempo de propagacion y el con-sumo promedio de potencia. Este factor debe ser lo mas pequeno posible;los fabricantes de circuitos integrados, a traves de las tecnologıas, buscanconstantemente la forma de disminuirlo.

Factor = tp ∗ P

VENTURA GRANDEZ HENRY. CEFIEE

Page 59: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

Figure 59: Algunas caracteristicas tecnicas promediadas de la familia TTL.

De la tablade la figura 60 se obtiene el producto para estas series:- High Speed H (132 ns.mW).- Estandar (100 ns.mW).- Fast F (60 ns.mW).- Low power Schottky LS (36 ns.mW).- Low power: bajo consumo L (33 ns.mW).- Avanzada Schottky AS (32 ns.mW).- Avanzada de bajo consumo Schottky ALS (6.5 ns.mW).

La serie que tiene mejor factor es la ALS (6.5) y el factor mas pobre es el de laserie H (132). Al mejorar la velocidad de respuesta de un circuito integradose debe sacrificar, por otra parte, el consumo de potencia y viceversa.Las nuevas tecnologıas de fabricacion buscan la forma de aumentar la veloci-dad de los dispositivos y al mismo tiempo disminuir el consumo de potenciacon el fin de mejorar el Factors.p.Una de las alternativas que se han aplicado es la de disminuir la tension dealimentacion de los circuitos, con la finalidad de poder utilizar capas mas

VENTURA GRANDEZ HENRY. CEFIEE

Page 60: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

delgadas de silicio y reducir el tiempo de almacenamiento de los portadoresminoritarios en las uniones de los semiconductores. De esta forma, se puedenver en el mercado circuitos integrados digitales y analogicos con tensiones dealimentacion menores a 5 voltios.

6.3 LOGICA CMOS

Los circuitos integrados CMOS estan constituidos por MOSFET de canal N yMOSFET de canal P. Presentan gran impedancia de entrada y su capacidadde integracion los coloca en el renglon de la tecnologıa de mediana y altaescala de integracion. En la figura 4.20(a) se observa el corte transversalde un MOSFET canal N de enriquecimiento; la circulacion de corriente Idsse establece cuando la tension VGSN supera la tension umbral VThN. Pordebajo de esta tension el MOSFET queda en corte y, la completa conduccionse establece cuando:

V thn ≤ V gsn ≤ V dd

Las figuras 4.20(a) y (b) muestran dos sımbolos utilizados por los transistoresMOSFET de enriquecimiento y de agotamiento.

Figure 60: MOSFET canal N y MOSFET canal P.

El significado de CMOS (Complementary Metal Oxide Semiconductor) im-plica que deben ser utilizados, dos tipos de canal ”NMOS” y ”PMOS”, parafabricar los circuitos integrados logicos. Los electrodos (S: source: fuente);(G: gate: puerta); (D: drain: fuente), sirven para polarizar el dispositivo.Estos transistores estan formados por tecnologıas de enriquecimiento, lastensiones umbrales de Q1 y Q2 son VThP y VThN y los parametros de con-duccion Kp y Kn.

VENTURA GRANDEZ HENRY. CEFIEE

Page 61: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

Figure 61: Inversor CMOS y la grafica del transistor NMOS.

6.3.1 Caracterısticas de las compuertas CMOS.

Los circuitos integrados CMOS han evolucionado en el proceso de fabri-cacion. El avance fundamental ha sido la reduccion del tamano del area defabricacion del material semiconductor; han reducido el area de la compuertaestandar (4XXX) casi a la mitad por lo que el canal de conduccion se ha re-ducido tambien. Las compuertas CMOS estandar se realizan en una capa dematerial base (silicio) de 120 micrones y los chips de alta velocidad CMOS(HCXXXX) son fabricados sobre una capa de 65 micrones. Esto hace au-mentar la integracion de la serie HC; reduce el solapamiento de capas que sehacia anteriormente en la serie estandar para aumentar la cantidad de puer-tas; disminuye la capacitancia intrınseca y por ende disminuyen los tiemposde respuestas de estos dispositivos. Tambien se han integrados diodos deproteccion en los pines de entrada del chip con la finalidad de dar proteccioncontra los choques electrostaticos.

Los resultados de estos cambios se muestran en la tabla 4.5 donde los dis-positivos HC son comparados con las series estandar CMOS, LSTTL y ALS.Existe tambien una sub-serie con la nomenclatura HCT de la gran familiaCMOS que es compatible pin a pin con los circuitos integrados de la fa-milia TTL. Esto significa que poseen internamente elementos que igualan lasimpedancias de entrada y salida para que puedan ser compatibles en voltajesy corrientes con los chips TTL.

6.3.2 Disipacion de potencia de las compuertas CMOS.

El inversor CMOS y los dispositivos logicos en general se utilizan para excitara otros circuitos, la impedancia de estos dispositivos se puede modelar comouna capacitancia. Por lo que, durante la conmutacion de los niveles logicos,esta carga capacitiva se debe cargar y descargar. respectivamente. Aquıse asume, como condicion inicial, que el condensador esta descargado total-

VENTURA GRANDEZ HENRY. CEFIEE

Page 62: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

mente. El consumo de potencia de los dispositivos CMOS (series Estandary HC), los cuatro factores mas importantes son:

Voltaje de la fuente de alimentacion (VCC o VDD).Como se observa en la tabla 4.5 las caracterısticas de los circuitos CMOSEstandar y HC varıan de rango en funcion del valor de tension de la fuente.En la serie Estandar el rango va desde 3.0 hasta 18 voltios y para la serie HCel rango va desde 2.0 hasta 6.0 voltios.

Frecuencia de operacion ( f ).Los dispositivos CMOS consumen energıa solo en las transiciones de los nive-les logicos. Por esto al aumentar la frecuencia en las senales de entradatambien se incrementa el consumo del dispositivo. La frecuencia debe estardada en MHz.

Capacitancia interna ( CPD ).Es la capacitancia intrınseca de la fabricacion del dispositivo. Por lo general,esta dada en pico faradios pf.

Capacitancia de la carga ( CL ).Carga total capacitiva presente en el pin de salida. Se debe sumar todas lascapacidades que se encuentres en la lınea y se maneja en pf.

6.3.3 Margen de ruido de las compuertas CMOS.

En los circuitos integrados CMOS el margen de ruido aumenta a medida quese incrementa la tension de alimentacion (VCC o VDD); esto es una ventajapara el diseno con dispositivos de esta familia de chips. Sin embargo, el au-mento de tension incrementa la disipacion de potencia y como consecuencia,reduce la respuesta de frecuencia del chip. El disenador debe sopesar losrequerimientos de disipacion, voltaje, frecuencia y consumo de corriente delcircuito digital a la hora de realizar el prototipo.

En este particular los simuladores basicos digitales no ofrecen mucha ayudadebido a que estan hechos con modelos matematicos logicos que no tomanen cuenta estos margenes de ruido de senales y variaciones electricas. Noobstante, los simuladores profesionales mixtos (Analogicos - Digitales) comoel SPICE si pueden ser configurados para tomar en cuenta las variaciones,ruidos y tolerancias electricas a las que deba ser sometido el diseno antes derealizar el prototipo.

La tabla 4.6 muestra los margenes de ruido que posee la compuerta 74HC08.Aquı se observa que el VNSH=VOH(mın)-ViH(mın) y VNSL=ViL(max)-VOL(max), con una alimentacion de 2 Voltios es 0.4 Voltios y, con una

VENTURA GRANDEZ HENRY. CEFIEE

Page 63: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

Figure 62: Caracteristicas tecnicasde las familias LSTTL,ALS,EstandarCMOS y HC.

tension de alimentacion de 6 Voltios el VNSH y VNSL es igual a 1.7 Voltios.

6.3.4 Tiempo de propagacion de los dispositivos CMOS.

El problema de la tecnologıa CMOS son los tiempos de retardo en las re-spuestas de las senales digitales. La tabla 4.5 muestra el retardo de la serieEstandar CMOS (tp=125 ns) y la serie HC (tp=8.0 ns) esta ultima igualay hasta mejora los tiempos de propagacion de la serie LSTTL. En la tablade la grafica 63 se describen los tiempos de propagacion tpHL y tpLH dealgunas compuertas de la serie HC.

6.3.5 Conectividad de las compuertas CMOS (fan out).

El factor de carga estatico de los chips CMOS es bastante alto, debido aque la corriente promedio de entrada y salida de una compuerta de la serie

VENTURA GRANDEZ HENRY. CEFIEE

Page 64: Intro Electronic A Digital

UNIVERSIDAD NACIONAL DE INGENIERIA UNI-FIEE

HC es 1mA y 5 mA respectivamente. Esto significa que se deberıan acoplar5000 compuertas a una salida CMOS. Sin embargo, la capacitancia de estosdispositivos disminuye significativamente su rendimiento y en consecuenciatambien reduce el fan out. Se debe considerar un factor que involucre el efectode la capacitancia acoplada conjuntamente con los tiempos de transicion yla frecuencia de trabajo de las senales aplicadas. Este se conoce como factordinamico de carga de los chips CMOS o fan-out y se utiliza para saber cuantasentradas de compuertas o pines del chip se pueden conectar a la salida deotra de una misma familia u otra del tipo equivalente.

Nuestro Agradecimiento A traves de estas lıneas quiero agradecer aDios, a mis padres y toda mi familia por darme prioridad de haberme ded-icado pensando en ti, y haber realizado este pequeno pero significativo es-fuerzo. Amigo lector, tu que me estas observando, te pido que tengas laamabilidad de una sana participacion, ası te entendere que estas colabo-rando no tan solo por mı, sino por ese paraıso floreciente de ideas.Ası mismo, gratos recuerdos plasmaran tanto en mı, en ti y llevando en altoa nuestra gran UNIVERSIDAD NACIONAL DE INGENIERIA.

References

[1] Analisis y Diseno de Circuitos Logicos Dgitales Nel-son,Troy,Carrol,David.

[2] Diseno Digital M.Morris Mano.

[3] Manual de laboratorio de Electronica Digital de la facultad de Electricay Electronica.

VENTURA GRANDEZ HENRY. CEFIEE