High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド...

80
High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガ イ ド Vivado Design Suite PG188 2017 10 4 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に よっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきまし ては、必ず最新英語版をご参照ください。

Transcript of High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド...

Page 1: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2

LogiCORE IP 製品ガイド

Vivado Design Suite

PG188 2017 年 10 月 4 日

この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきましては、必ず最新英語版をご参照ください。

Page 2: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 2PG188 2017 年 10 月 4 日 japan.xilinx.com

目次

IP の概要

第 1 章: はじめに機能概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

アプリ ケーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

サポート されていない機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

ラ イセンスおよび注文情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

第 2 章: 製品仕様パフォーマンス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8

リ ソースの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8

ポートの説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8

第 3 章: コアを使用するデザイン一般的なデザイン ガイ ド ライン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16

ク ロ ッキング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16

リセッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22

プロ ト コルの説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24

第 4 章: デザイン フローの手順コアのカスタマイズおよび生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25

出力ファイルの生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64

コアへの制約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65

シ ミ ュレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66

合成およびインプリ メンテーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66

第 5 章: サンプル デザイン

第 6 章: テストベンチ

付録 A: 検証、 互換性、 相互運用性シ ミ ュレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70

ハードウェア テス ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70

付録 B: アップグレードVivado Design Suite でのアップグレード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71

付録 C: デバッグザイ リ ンクス ウェブサイ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72

Vivado Design Suite のデバッグ機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73

ハードウェア デバッグ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74

Page 3: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 3PG188 2017 年 10 月 4 日 japan.xilinx.com

付録 D: その他のリソースおよび法的通知ザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75

Xilinx Documentation Navigator およびデザイン ハブ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75

参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76

改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77

法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80

Page 4: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 4PG188 2017 年 10 月 4 日 japan.xilinx.com Production 製品仕様

はじめに

LogiCORE™ IP High Speed SelectIO™ Wizard は、 SelectIO テク ノ ロジと UltraScale™ および UltraScale+™ デバイスをベース とする高速システム デザインの統合を容易にする IP コアです。 このウ ィザードは、 物理層側インターフェイス (PHY) アーキテクチャに存在する RX_BITSLICE、 TX_BITSLICE、RXTX_BITSLICE、 BITSLICE_CONTROL、 PLL ブロッ ク といった I/O およびクロ ッキング ロジッ クをインスタンシエートおよび構成する Verilog HDL ファ イルを作成します。 さ らに、 このコアは、 コンフ ィギュレーシ ョ ン済みインターフェイスのピン プランニングを提供し、 制約に基づいてレジスタ転送レベル (RTL) を更新します。

機能

• TX のみ、 RX のみ、 および TX、 RX と BIDIR (ベータ ) を組み合わせたバス方向などのインターフェイス タイプをユーザー選択可能

• RX および BIDIR (ベータ ) インターフェイスの場合、 クロ ッ ク /ス ト ローブとデータの関係性をプロ ト コル設定に従って選択可能

• 8 (Div4) および 4 (Div2) のシ リ アライズ係数をサポート

• 位相ロッ ク ループ (PLL) ク ロ ッ クのソースは、 グローバル ク ロ ッ ク (GC) ピンまたは BUFG を介して駆動されるインターコネク トが可能

• 特定のデータ速度に応じて PLL 入力クロ ッ ク周波数範囲をユーザー選択可能

• I/O 遅延を設定可能

• レジスタ インターフェイス ユニッ ト (RIU) インターフェイスおよびビッ ト ス リ ップ ロジッ クを選択可能

• SelectIO ロジッ クの要件が満たされるよ うデザイン ルール チェッ クを用いて、 バンクの選択ならびにバンクで利用可能なすべてのピンのピン プランニングを実施

IP の概要

この LogiCORE IP について

コアの概要

サポート される

デバイス ファ ミ リ(1)

Virtex® UltraScale+、 Kintex® UltraScale+、Zynq® UltraScale+、 Virtex UltraScale、

Kintex UltraScale

サポート される

ユーザー インターフェイス

RIU

リ ソースPerformance and Resource Utilization (ウェブ ページ)

コアに含まれるもの

デザイン ファイル RTL

サンプル デザイン Verilog

テス トベンチ Verilog

制約ファイル ザイ リ ンクス デザイン制約ファ イル (XDC)

シ ミ ュレーシ ョ ン モデル

なし

サポート される ソフ ト ウェア ド ラ イバー (2)

なし

テスト済みデザイン フロー (3)

デザイン入力 Vivado® Design Suite

シ ミ ュレーシ ョ ン

サポート されるシ ミ ュレータについては、

『Vivado Design Suite ユーザー ガイ ド : リ リー

ス ノート、 インス トールおよびライセンス』

を参照

合成 Vivado 合成

サポート

ザイ リ ンクス サポート ウェブ ページで提供

注記:1. サポート されているデバイスの一覧は、 Vivado IP カタログを参

照して ください。

2. スタンドアロン ド ライバーの詳細は、 ソフ ト ウェア開発キッ ト (SDK) のディ レク ト リ (<install_directory>/SDK/<release>/data/embeddedsw/doc/xilinx_drivers.htm) を参照して ください。 Linux OS およびド ライバー サポートの情報は、 ザイ リ ンクス Wiki ページを参照してください。

3. サポート されているツールのバージ ョ ンは、 『Vivado Design Suite ユーザー ガイ ド : リ リース ノート、 インス トールおよびラ

イセンス』 を参照してください。

Page 5: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 5PG188 2017 年 10 月 4 日 japan.xilinx.com

第 1 章

はじめにHigh Speed SelectIO™ Wizard は、ネイティブ モードの TX、 RX および RXTX ビッ ト スライスのソース HDL ラ ッパーを作成します。 合成およびインプ リ メンテーシ ョ ン用の LOC 制約も生成します。

機能概要

コンフ ィギュレーシ ョ ン設定

• バス方向、 RX 外部クロ ッ ク とデータ、 インターフェイス速度、 PLL ク ロ ッ ク ソース、 PLL 入力クロ ッ ク周波数、 データ幅、 バンク、 TX/RX ピンでの遅延タイプ/遅延値、 ト ラ イステートおよびス ト ローブ/ク ロ ッ ク ト ライステート を設定。

• RIU インターフェイスおよびビッ ト スラ イスも設定可能。

ピンの選択

各バイ ト グループごとに、 ピン選択、 バス方向、 信号タイプ、 データ /ス ト ローブ、 および信号名を設定します。 同じ設定で TX/RX/BIDIR バス方向を選択できます。

各 I/O バンクには 52 本のピンが含まれ、 これらは TX/RX/BIDIR に設定できます。 このウ ィザードでは、 ピン レベルで次の設定が可能です。

• TX/RX/BIDIR

• シングルエンド /差動

• データ /ス ト ローブ/入力クロ ッ ク /ク ロ ッ ク フォワード

• カスタマイズ可能な信号名

• TX/RXTX ピンのシ リ アル ラインのパワーオン値

• 初期化用のリセッ ト ステート マシン

アプリケーシ ョ ン

このソ リ ューシ ョ ンは、 ASIC エミ ュレーシ ョ ンやチップ間の相互作用といった高速 I/O インターフェイス要件および 300Mb/s ~ 1,600Mb/s のライン レートで動作するシ リ アル プロ ト コルに有用です。

Page 6: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 6PG188 2017 年 10 月 4 日 japan.xilinx.com

第 1 章: はじめに

サポート されていない機能

• カウン ト モード (遅延の方式)

• サンプル デザインでのダイナミ ッ ク位相アライ メン ト (DPA) 機能

ライセンスおよび注文情報

このザイ リ ンクス LogiCORE™ IP モジュールは、ザイ リ ンクス エンド ユーザー ライセンス規約のも とザイ リ ンクス Vivado® Design Suite を使用して追加コス ト なしで提供されています。 この IP およびその他のザイ リ ンクス LogiCORE IP モジュールに関する情報は、 IP コア ページから入手できます。 その他のザイ リ ンクス LogiCORE IP モジュールやツールの価格および提供状況については、 お近くのザイ リ ンクス販売代理店にお問い合わせください。

Page 7: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 7PG188 2017 年 10 月 4 日 japan.xilinx.com

第 2 章

製品仕様UltraScale™ デバイスの各 I/O バンクには 52 本のピンが含まれ、 これらは入力、 出力、 または双方向に使用できます。 High Speed SelectIO™ (HSSIO) Wizard は、 ユーザーが選択したコンフ ィギュレーシ ョ ン設定についてネイティブ モードの TX_BITSLICE、 RX_BITSLICE、 RXTX_BITSLICE、 および BITSLICE_CONTROL を使用するラ ッパーを生成する多様なオプシ ョ ンを提供します。 このウ ィザードは PLL を使用するクロ ッキング回路もコンフ ィギュレーシ ョ ンします。 図 2-1 に、 TX_BITSLICE、 RX_BITSLICE、 RXTX_BITSLICE および BITSLICE_CONTROL を使用する代表的なデザインを示します。

HDL ラ ッパーは I/O バンクに関連するすべてのビッ ト スライスをインスタンシエート します。 選択したピンに従って、対応するビッ ト スライスが汎用インターコネク トおよび I/O に接続されます。 このウ ィザードは最上位ポートに LOC 制約も与えます。

X-Ref Target - Figure 2-1

図 2-1: 代表的なデザイン

X14107

Page 8: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 8PG188 2017 年 10 月 4 日 japan.xilinx.com

第 2 章: 製品仕様

パフォーマンス

HSSIO ウ ィザードのパフォーマンスは、 自身がサポート可能なデータ速度で判断されます。 それが、 デバイスで選択した I/O で実現される性能という こ とです。 パフォーマンス特性の詳細は、 『UltraScale アーキテクチャ SelectIO リソース : ユーザー ガイ ド』 (UG571) [参照 1] を参照し くてださい。

このコアは、 シ リ アライズ係数 8 の場合は 1,600Mb/s、 シ リ アライズ係数 4 の場合は 1,600Mb/s の最大データ速度をサポート します。 汎用インターコネク ト ロジッ クはインターフェイス速度クロ ッ クの 1/8 または 1/4 ク ロ ッ クで動作します。

リソースの使用

リ ソース使用状況の詳細は、 Performance and Resource Utilization (ウェブ ページ) をご覧ください。

このコアは、 回路が安定してから実データが I/O に送信されるよ う、 フ リ ップフロ ップを使用して PLL の clkoutphyen を生成します。 ほかに使用するブロッ クは FPGA ハード ブロ ッ クです。

ポートの説明

表 2-1 および表 2-2 に、 High Speed SelectIO ウ ィザードの入力および出力ポート を示します。 ポートの利用可否は、ユーザーの選択で制御されます。

表 2-1 では、 FPGA I/O に接続される最上位ポート を リ ス ト しています。 ポート名は変更できるため、 デザイン内にコアのインスタンスが複数ある場合、 ピンに対する LOC 制約の競合を回避できます。

表 2-1: FPGA の I/O に接続されるポート

ポート 方向クロック ド メイン

説明

グローバル ポート

clk_p 入力 NAPLL へ接続される差動クロ ッ ク入力 P 側。 このポートは、 PLL がコアにインスタンシエート されている場合にのみ利用できます。

clk_n 入力 NAPLL へ接続される差動クロ ッ ク入力 N 側。 このポートは、 PLL がコアにインスタンシエート されている場合にのみ利用できます。

clk 入力 NAPLL に接続されるシングルエンド ク ロ ッ ク。 このポートは、PLL がコアにインスタンシエート されている場合にのみ利用できます。

rst 入力 riu_clk

グローバル リセッ ト ピン。 リセッ トは非同期でアサート されます。 RIU ク ロ ッ クがコアへの入力と して供給される場合、 RIU ク ロ ッ クに同期してディアサート されます。最小パルス幅は 5ns です。

詳細は、 『Kintex UltraScale FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS892) [参照 2] の 「PLL のスイ ッチ特性」 セクシ ョ ンを参照してください。

Page 9: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 9PG188 2017 年 10 月 4 日 japan.xilinx.com

第 2 章: 製品仕様

bg<x>_pin<y>_<pin num>入力/

出力/入力 NA

I/O ピンへ接続されるデータ /入力クロ ッ ク /ス ト ローブ/ク ロ ッ ク フォワード ポート。I/O ピンウ ィザード IP のポート名は、 [Append Pin No to IOs] がオンのと きに Vivado® 統合設計環境 (IDE) でユーザーがバンクでのピン番号を付加して指定します。 つま り、 生成時にウ ィザードによって pin_num (0 ~ 51 の値、 バンク内に存在するピンの数) がポート名に付加されます。 デフォルトのポート名は bg<x>_pin<y>_<pin num> です (デフォルトのポート名はデフォルト値から上書き可能)。 この命名規則では、 x はバイ ト グループ (0 ~ 3 の値)、 y はバイ ト グループ内のピン位置 (0 ~ 12 の値) を表します。 たとえば、 13 番目のピンを選択し、 信号名が bg1_pin0 の場合、 実際のポート名は bg1_pin0_13 とな り ます。 インターコネク ト側の対応する信号名は選択したピンの方向により data_from_fabric_bg1_pin10_13 または data_to_fabric_bg1_pin10_13 とな り ます。

bg<x>_pin<y>_nc 入力 NA

推論されたビッ ト スライスのポート。 ウ ィザードは、 バイ ト グループ内におけるス ト ローブ伝搬のためにニブルの bitslice0 を推論します。 <x> はバイ ト グループ (0、 1、 2、 3)、 <y> は bitslice0 の位置 (下位ニブルは 0、 上位ニブルは 6) を表します。 これらのポートにデータを駆動する必要はあ り ません。 ポートは、 デザインのインスタンシエート時に接続し、 推論されたビッ ト スライスが正常に機能するよ うに最上位の階層構造に引き出す必要があ り ます。

注記: この IOB はほかの用途に使用できません。

ク ロ ッキング ガイ ド ラインは、 『UltraScale アーキテクチャ SelectIO リ ソース : ユーザー ガイ ド』 (UG571) [参照 1] を参照してください。

表 2-1: FPGA の I/O に接続されるポート (続き)

ポート 方向クロック ド メイン

説明

Page 10: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 10PG188 2017 年 10 月 4 日 japan.xilinx.com

第 2 章: 製品仕様

表 2-2: FPGA 汎用インターコネク ト ロジックに接続されるポート

ポート 方向 クロック ド メイン 説明

データ ポート

data_from_fabric_<sig_name>_<y> [sf -1:0] 入力

pll0_clkout0 または app_clk

インターコネク ト ロジッ クから TX/BIDIR TX ビッ ト スライスへのパラレル データ入力。 <sig_name> は、 カスタマイズ時に TX/BIDIR バス方向用に設定された信号名です。

<y> は、 [Append Pin No to IOs] がオンのと きにウ ィザードによって付加されるピン番号 (0 ~ 51 の値) です。 sf はシリ アライズ係数です。

data_to_fabric_<sig_name>_<y>[sf-1:0] 出力

pll0_clkout0 または app_clk

RX/BIDIR RX ビッ ト スライスから汎用インターコネク ト ロジッ クへのパラレル データ出力。 <sig_name> は、 カスタマイズ時に RX/BIDIR バス方向用に設定された信号名です。

<y> は、 [Append Pin No to IOs] がオンのと きにウ ィザードによって付加されるピン番号 (0 ~ 51 の範囲) です。 sf はシ リ アライズ係数です。 差動 RX ピンの場合、 N ピンに対応するパラレル データも利用できます。

クロック ポート

pll0_clkout0 出力 NA

汎用インターコネク ト ロジッ ク用に PLL CLKOUT0 を分周したクロ ッ ク。 この周波数は、 データ速度/シ リ アライズ係数とな り ます。 このクロ ッ クは汎用インターコネクト ロジッ ク用のクロ ッ ク と して使用できます。

pll0_clkout1 出力 NA

PLL0 からの汎用インターコネク ト ロジッ ク用に PLL CLKOUT1 を分周したクロ ッ ク。 この周波数は、 Vivado IDE で、 選択したデータ速度についてサポート されている周波数を示すリ ス トから設定できます。

pll1_clkout0 出力 NA

PLL1 からの汎用インターコネク ト ロジッ ク用に PLL CLKOUT0 を分周したクロ ッ ク。 この周波数は、 Vivado IDE で、 選択したデータ速度についてサポート されている周波数を示すリ ス トから設定できます。

clock_from_ibuf 出力 NA

このポートは、 [RX External Clock and Data] が [ASYNC/NONE] に設定され、 [PLL Clock Source] が [GC Pin] に設定されている場合にのみ利用できます。 入力バッファーからのクロ ッ ク出力は外部ポート と して与えられます。

Page 11: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 11PG188 2017 年 10 月 4 日 japan.xilinx.com

第 2 章: 製品仕様

RX/BIDIR RX 遅延制御ポート

rx_clk 入力 NA RX の LOAD、 CE および INC のサンプリ ングに使用される遅延クロ ッ ク。

rx_cntvalue_in_<i> [8:0] 入力 rx_clk/bidir_rx_clkRX の動的にロード可能なタ ップ値と して FPGA ロジッ クから渡されるカウンター値。 <i> は、 RX/BIDIR バス方向が選択されている、 対応するピン番号です。

rx_cntvalue_out_<i>[8:0] 出力 rx_clk/bidir_rx_clkRX のタ ップ値をモニタ リ ングするために FPGA へ渡すカウンター値。 <i> は、 RX/BIDIR バス方向が選択されている、 対応するピン番号です。

rx_ce_<i> 入力 rx_clk/bidir_rx_clkRX の IDELAY レジスタ ク ロ ッ クのクロ ッ ク イネーブル信号。 <i> は、 RX/BIDIR バス方向が選択されている、 対応するピン番号です。

rx_en_vtc<i> 入力 rx_clk/bidir_rx_clk

RX 遅延制御の新しい遅延値をロードするため、 電圧および温度に対して遅延を維持する DELAYCTRL をイネーブルにするアクティブ High の信号。 <i> は、 RX/BIDIR バス方向が選択されているピン番号です。

rx_inc<i> 入力 rx_clk/bidir_rx_clk RX の現在の遅延タップの設定をインク リ メン ト します。<i> は、 RX/BIDIR バス方向が選択されているピン番号です。

rx_load<i> 入力 rx_clk/bidir_rx_clk RX の CNTVALUEIN からのカウン ト値をロードします。 <i> は、 RX/BIDIR バス方向が選択されているピン番号です。

RX 拡張遅延制御ポート

rx_cntvalue_in_ext_<i>[8:0] 入力 rx_clkRX の動的にロード可能なタ ップ値と して FPGA ロジッ クから渡されるカウンター値 (拡張)。 <i> は、 RX バス方向が選択されている、 対応するピン番号です。

rx_cntvalue_out_ext_<i>[8:0] 出力 rx_clkRX のタ ップ値をモニタ リ ングするために FPGA へ渡すカウンター値 (拡張)。 <i> は、 RX バス方向が選択されている、 対応するピン番号です。

rx_ce_ext_<i> 入力 rx_clkRX の IDELAY レジスタ ク ロ ッ クのクロ ッ ク イネーブル信号 (拡張)。 <i> は、 RX バス方向が選択されている、 対応するピン番号です。

rx_en_vtc_ext_<i> 入力 rx_clk

RX 遅延制御の新しい遅延値をロードするため、 電圧および温度に対して遅延を維持する DELAYCTRL をイネーブルにするアクティブ High の信号 (拡張)。 <i> は、 RX バス方向が選択されているピン番号です。

rx_inc_ext_<i> 入力 rx_clk RX の現在の遅延タップの設定をインク リ メン ト します (拡張)。 <i> は、 RX バス方向が選択されているピン番号です。

rx_load_ext_<i> 入力 rx_clk RX の CNTVALUEIN からのカウン ト値をロード します (拡張)。 <i> は、 RX バス方向が選択されているピン番号です。

TX/BIDIR TX 遅延制御ポート

tx_clk 入力 N/A TX の LOAD、 CE および INC のサンプリ ングに使用される遅延クロ ッ ク。

tx_cntvalue_in_<i>[8:0] 入力 tx_clk/bidir_tx_clkTX の動的にロード可能なタ ップ値と して FPGA ロジッ クから渡されるカウンター値。 <i> は、 TX/BIDIR バス方向が選択されている、 対応するピン番号です。

表 2-2: FPGA 汎用インターコネク ト ロジックに接続されるポート (続き)

ポート 方向 クロック ド メイン 説明

Page 12: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 12PG188 2017 年 10 月 4 日 japan.xilinx.com

第 2 章: 製品仕様

tx_cntvalue_out_<i>[8:0] 出力 tx_clk/bidir_tx_clkTX のタ ップ値をモニタ リ ングするために FPGA へ渡すカウンター値。 <i> は、 TX/BIDIR バス方向が選択されている、 対応するピン番号です。

tx_ce_<i> 入力 tx_clk/bidir_tx_clkTX の ODELAY レジスタ ク ロ ッ クのクロ ッ ク イネーブル信号。 <i> は、 TX/BIDIR バス方向が選択されている、 対応するピン番号です。

tx_en_vtc<i> 入力 tx_clk/bidir_tx_clk

TX 遅延制御の新しい遅延値をロードするため、 電圧および温度に対して遅延を維持する DELAYCTRL をイネーブルにするアクティブ High の信号。 <i> は、 TX/BIDIR バス方向が選択されているピン番号です。

tx_inc<i> 入力 tx_clk/bidir_tx_clk TX の現在の遅延タップの設定をインク リ メン ト します。<i> は、 TX/BIDIR バス方向が選択されているピン番号です。

tx_load<i> 入力 tx_clk/bidir_tx_clk TX の CNTVALUEIN からのカウン ト値をロード します。<i> は、 TX/BIDIR バス方向が選択されているピン番号です。

BIDIR 遅延クロック ポート

bidir_tx_clk 入力 N/A TX の LOAD、 CE および INC のサンプリ ングに使用される遅延クロ ッ ク。

bidir_rx_clk 入力 N/A RX の LOAD、 CE および INC のサンプリ ングに使用される遅延クロ ッ ク。

TXBITSLICE_TRI 遅延制御ポート

bidir_tx_bs_tri_clk 入力 bidir_tx_clk LOAD、 CE および INC のサンプリ ングに使用される遅延クロ ッ ク。

bidir_tx_bs_tri_cntvaluein<n>[8:0] 入力 bidir_tx_clk動的にロード可能なタ ップ値と して FPGA ロジッ クから渡されるカウンター値 (ニブルにつき 1)。 <n> は 0 ~ 7 のニブル番号を表します。

bidir_tx_bs_tri_cntvalueout<n>[8:0] 出力 bidir_tx_clk遅延制御のタップ値をモニタ リ ングするために FPGA ロジッ クへ渡すカウンター値 (ニブルにつき 1)。<n> は 0 ~ 7 のニブル番号を表します。

bidir_tx_bs_tri_ce<n> 入力 bidir_tx_clk ODELAY レジスタ ク ロ ッ クのクロ ッ ク イネーブル信号 (ニブルにつき 1)。 <n> は 0 ~ 7 のニブル番号を表します。

bidir_tx_bs_tri_en_vtc<n> 入力 bidir_tx_clk

TXBITSLICE TRI 遅延制御の新しい遅延値をロードするため、 電圧および温度に対して遅延を維持する DELAYCTRL をイネーブルにするアクティブ High の信号。<n> は 0 ~ 7 のニブル番号を表します。

bidir_tx_bs_tri_inc<n> 入力 bidir_tx_clk 現在の遅延タップの設定をインク リ メン ト します (ニブルにつき 1)。 <n> は 0 ~ 7 のニブル番号を表します。

bidir_tx_bs_tri_load<n> 入力 bidir_tx_clk CNTVALUEIN からのカウン ト値をロード します (ニブルにつき 1)。 <n> は 0 ~ 7 のニブル番号を表します。

表 2-2: FPGA 汎用インターコネク ト ロジックに接続されるポート (続き)

ポート 方向 クロック ド メイン 説明

Page 13: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 13PG188 2017 年 10 月 4 日 japan.xilinx.com

第 2 章: 製品仕様

ト ライステート ポート

tri_tbyte<n>[3:0] 入力

pll0_clkout0 または app_clk

シ リアライズされた ト ライステート入力 (ニブルにつき 1)。<n> は 0 ~ 7 のニブル番号を表します。

data_tri = 0 または clk_tri が有効の場合、 これらのポートは multi_bank インターフェイス機能にではなく、tri_bitslice_tri 機能にのみ使用されます。

注記: これらのポートはマルチ バンク機能に使用できます。

tri_t<i> 入力pll0_clkout0 または app_clk

組み合わせデータ ト ラ イステート入力 (ニブルにつき 1)。<i> は、 BIDIR または TX バスが選択されているピン番号です。

RIU ポート

riu_addr_bg<m>[5:0] 入力 riu_clk RIU レジスタのアドレス。 <m> はバイ ト グループを表します。 0 ~ 3 で示されます。

riu_clk 入力 N/A

汎用インターコネク トからのシステム ク ロ ッ ク。 <m> はバイ ト グループを表します。 0 ~ 3 で示されます。 Vivado IDE で、 PLL を riu_clk を生成する供給元と して選択できます。 PLL_CLKOUT1 が riu_clk のソース と して使用されます。 デザインには riu_clk が必要です。

riu_nibble_sel_bg<m>[1:0] 入力 riu_clk上位 (ロジッ ク 1 または下位ニブル 0) に対する RIU 読み出し /書き込みをイネーブルにするためのニブルの選択。<m> はバイ ト グループを表します。 0 ~ 3 で示されます。

riu_wr_data_bg<m>[15:0] 入力 riu_clk レジスタへの書き込みデータの入力。 <m> はバイ ト グループを表します。 0 ~ 3 で示されます。

riu_wr_en_bg<m> 入力 riu_clk レジスタ ライ ト イネーブル信号 (アクティブ High)。 <m> はバイ ト グループを表します。 0 ~ 3 で示されます。

riu_rd_data_bg<m>[15:0] 出力 riu_clk コン ト ローラーへの読み出しデータの出力。 <m> はバイ ト グループを表します。 0 ~ 3 で示されます。

riu_valid_bg<m> 出力 riu_clk コン ト ローラーへの読み出し Valid 信号の出力。 <m> はバイ ト グループを表します。 0 ~ 3 で示されます。

ステータス/制御

pll0_locked 出力 N/A ロジッ ク High で、 PLL が目的のクロ ッ ク周波数にロッ クされているこ とを示します。

dly_rdy_bsc<n> 出力 riu_clk 固定遅延のキャ リブレーシ ョ ン完了を示します。

vtc_rdy_bsc<n> 出力 riu_clk

PHY キャ リブレーシ ョ ンが完了しています (VTC は準備完了 – EN_VTC のイネーブル後)。

注記: VTC は Voltage and Temperature Control (電圧および温度の制

御) です。

en_vtc_bsc<n> 入力 riu_clk新しい遅延値をロードするため、 電圧および温度に対して遅延を維持する DELAYCTRL をイネーブルにするアクティブ High の信号。

fifo_empty_<i> 出力pll0_clkout0 または app_clk

各ビッ ト スライスからの FIFO Empty フラグ。 <i> は、 RX/BIDIR RX が選択されているピン番号です。

表 2-2: FPGA 汎用インターコネク ト ロジックに接続されるポート (続き)

ポート 方向 クロック ド メイン 説明

Page 14: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 14PG188 2017 年 10 月 4 日 japan.xilinx.com

第 2 章: 製品仕様

fifo_rd_en_<i> 入力pll0_clkout0 または app_clk

各ビッ ト スライスの FIFO 読み出しイネーブル信号。 <i> は、 RX/BIDIR RX が選択されているピン番号です。 このポートは、Vivado IDE で [FIFO Read Enable User Control] をオンにした場合にのみ利用できます。

fifo_rd_clk_<i> 入力pll0_clkout0 または app_clk

各ビッ ト スライスの FIFO 読み出しクロッ ク。 <i> は、 RX/BIDIR RX が選択されているピン番号です。

fifo_rd_data_valid 出力 fifo_rd_clkdata_to_fabric バスが検証されました。 このポートは、Vivado IDE で [FIFO Read Enable User Control] をオフにした場合にのみ利用できます。

start_bitslip 入力 N/A

ビッ ト ス リ ップ ロジッ クの リセッ ト 。 アクティブ Low。最上位のリセッ ト ピンがアサート される と、 Low に駆動されます。 start_bitslip ポートは、 シ リ アル ラインの送信パートナーがビッ ト ス リ ップ ト レーニング パターンを送信している場合にのみディアサートする必要があ り ます。

bitslip_error_<i> 出力 fifo_rd_clk_<i>

ビッ ト ス リ ップのエラー出力。 8 ビッ ト シリアライズについてビッ ト ス リ ップが 8 回実行され、 4 ビッ ト シリアライズについてビッ ト ス リ ップが 4 回実行されると、 この出力は High にパルスされます。

rxtx_bitslip_sync_done 出力 fifo_rd_clk ビッ ト ス リ ップ ト レーニング パターンがデザインのすべての双方向ピンで受信されることを示します。

rx_bitslip_sync_done 出力 fifo_rd_clk ビッ ト ス リ ップ ト レーニング パターンがデザインのすべての RX ピンで受信されるこ とを示します。

fifo_wr_clk_<n> 出力 N/A

ス ト ローブを利用できる各ニブルの bitslice0 からの FIFO 書き込みクロ ッ ク。 このピンは、 Vivado IDE で [PLL0_FIFO_WRITE_CLK_OUT] がオンにされている場合にのみ利用できます。

rst_seq_done 出力 riu_clk

リセッ ト シーケンスが完了し、 ウ ィザードが準備完了しているこ とを示します。 この信号が High にアサート される と、 汎用インターコネク ト ロジッ クからの ト ランザクシ ョ ンが開始されます。

shared_pll0_clkout0_in 入力 N/A

このポートは、 PLL をサンプル デザインにインスタンシエートするこ とを選択した場合に利用できます。 マスター コアからの pll0_clkout0 信号は、 スレーブ コアへの入力と して与えられます。

shared_pll1_clkout0_in 入力 N/A

このポートは、 PLL をサンプル デザインにインスタンシエートするこ とを選択した場合に利用できます。 マスター コアからの pll1_clkout0 信号は、 スレーブ コアへの入力と して与えられます。

shared_pll0_clkoutphy_in 入力 N/A

このポートは、 PLL をサンプル デザインにインスタンシエートするこ とを選択した場合に利用できます。 マスター コアからの pll0_clkoutphy 信号は、 スレーブ コアへの入力と して与えられます。

shared_pll1_clkoutphy_in 入力 N/A

このポートは、 PLL をサンプル デザインにインスタンシエートするこ とを選択した場合に利用できます。 マスター コアからの pll1_clkoutphy 信号は、 スレーブ コアへの入力と して与えられます。

表 2-2: FPGA 汎用インターコネク ト ロジックに接続されるポート (続き)

ポート 方向 クロック ド メイン 説明

Page 15: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 15PG188 2017 年 10 月 4 日 japan.xilinx.com

第 2 章: 製品仕様

shared_pll0_locked_in 入力 N/A

このポートは、 PLL をサンプル デザインにインスタンシエートするこ とを選択した場合に利用できます。 マスター コアからの pll0_locked 信号は、 スレーブ コアへの入力と して与えられます。

shared_pll1_locked_in 入力 N/A

このポートは、 PLL をサンプル デザインにインスタンシエートするこ とを選択した場合に利用できます。 マスター コアからの pll1_locked 信号は、 スレーブ コアへの入力と して与えられます。

shared_pll0_clkoutphy_out 出力 N/A

このポートは、 PLL をコアにインスタンシエートすることを選択した場合に利用できます。 マスター コアからの pll0_clkoutphy 信号は、 スレーブ コアへの出力と して与えられます。

shared_pll1_clkoutphy_out 出力 N/A

このポートは、 PLL をコアにインスタンシエートすることを選択した場合に利用できます。 マスター コアからの pll1_clkoutphy 信号は、 スレーブ コアへの出力と して与えられます。

app_clk 入力 N/Aこのポートは、 [Enable Ports to Connect Multiple Interfaces] をオンにした場合に利用できます。 これは、 ファブ リ ック側ポートの駆動に使用するクロ ッ クです。

intf_rdy 出力 RIU CLKこのポートは、 [Enable Ports to Connect Multiple Interfaces] をオンにした場合に利用できます。 インターフェイスのリセッ ト シーケンスが完了したこ とを示します。

multi_intf_lock_in 入力 N/A

このポートは、 [Enable Ports to Connect Multiple Interfaces] をオンにした場合に利用できます。 これは、 マルチ インターフェイス デザインを構成するすべてのインターフェイスの PLL_LOCK の論理積です。

表 2-2: FPGA 汎用インターコネク ト ロジックに接続されるポート (続き)

ポート 方向 クロック ド メイン 説明

Page 16: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 16PG188 2017 年 10 月 4 日 japan.xilinx.com

第 3 章

コアを使用するデザインこの章では、 コアを使用した設計をよ り容易にするためのガイ ド ラインおよび追加情報を紹介します。

一般的なデザイン ガイド ライン

このコアは、 UltraScale™ および UltraScale+™ アーキテクチャの高速デザイン向けで、 データ速度が 300 ~ 1,600Mb/s の範囲のシリアル プロ ト コル用に構成できます。 High Speed SelectIO™ Wizard を使用するすべてのデザインで、 次の手順に従う こ とを推奨します。

デザイン固有の High Speed SelectIO (HSSIO) Wizard を生成する前に、 インターフェイス速度、 クロ ッ ク とデータの関係性、 およびシステム ク ロ ッキング構造など、 アプリ ケーシ ョ ンのインターフェイス要件を設定しておく必要があり ます。 たとえば、 PLL 入力クロ ッ ク ソースを指定します。 ウ ィザードによる生成時にボード ピン レイアウ トの制約が特定されます。

ピン プランニングには 2 つのアプローチがあ り ます。 1 つ目のアプローチと して、 ボード レイアウ トの制約がない場合、 ウ ィザードからピン プランニングを実行してウ ィザードを生成できます。 この際、 Vivado® デザイン ツールでの合成およびインプ リ メンテーシ ョ ンは DRC (デザイン ルール チェッ ク ) なしで実行する必要があ り ます。 2 つ目のアプローチと して、 ボードのピン レアウ ト をウ ィザードのピン プランニングに変更するこ とができます。 この場合も、 DRC (デザイン ルール チェッ ク ) なしで Vivado デザイン ツールが合成およびインプリ メンテーシ ョ ンを完了するこ とが重要です。 いずれのアプローチでも、 ボード設計の後の段階になって問題が発生しないよ うに、 Vivado 合成およびインプ リ メンテーシ ョ ンを DRC なしで実行する必要があ り ます。

クロッキング

各バンクに関連付けられた PLL は、 バンク内の SelectIO リ ソースにクロ ッ クを供給するプライマ リ ソースです。ユーザーは、 PLL への入力基準クロ ッ クのソースを選択できます。 グローバル ク ロ ッ ク ピン (GC) からのクロ ッ ク、または BUFG を介する汎用インターコネク トから供給されるクロ ッ クをソース と して選択できます。 Vivado IDE から入力クロ ッ ク周波数を選択するこ と もできます。 Vivado には、 使用するデバイスでサポート されるクロ ッ クがリス ト されます。

PLL のインスタンシエーシ ョ ン

Vivado IDE では、 PLL をコアまたはサンプル デザインにインスタンシエートするかを選択できます。 これによ り、1 つのバンク内の複数デザイン間で PLL を容易に共有して使用できるよ うにな り ます。 自身にインスタンシエートされている PLL を含むコアは、 マスターと呼ばれます。 内部に PLL インスタンスが含まれないウ ィザードのほかのインスタンスは、 スレーブと呼ばれます。 図 3-1 に、 マスター コアとスレーブ コア間のインターフェイスを示します。 マスター コアとスレーブ コアを生成する場合、 インターフェイス速度およびその他の PLL パラ メーターは変更しないよ うにします。

注記: PLL への入力クロ ッ ク ソースが GC ピンからのものである場合、 PLL の共有オプシ ョ ンは無効になり ます。 これは、 マスター コアに GC で駆動される PLL が含まれるためです。 スレーブ コアには入力クロ ッ ク ソース と して [BUFG to PLL] を選択する必要があ り ます。

Page 17: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 17PG188 2017 年 10 月 4 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

PLL0 および PLL1 は両方と も clk_scheme.v モジュールにインスタンシエート されます。 clk_scheme.v は、 選択に基づいてコアまたはサンプル デザインのいずれかにインスタンシエート されます。

注記: RX のみのデザインで PLL をサンプル デザインにインスタンシエートできるのは、 Edge DDR Strobe モードまたは Center DDR Strobe モードの場合のみです。

RIU クロック

RIU ク ロ ッ クはデザインに必ず必要です。 リセッ ト ステート マシンおよびビッ ト スラ イス制御モジュールは RIU クロ ッ クで動作します。 このクロ ッ クは、 riu_clk 入力ポートから提供するこ と も、 PLL0 によって内部で生成するこ ともできます。 Vivado IDE で [Generate RIU Clock from PLL] をオンにする と、 PLL_CLKOUT1 信号を RIU ク ロ ッ ク と して内部で使用できます。

TX_BITSLICE のクロッキング

データを送信する場合、 BITSLICE_CONTROL へのマスター入力クロ ッ クを使用します。 このクロ ッ クの周波数で、データのシ リアル ビッ ト レートが決定します。 データは、 関連するクロ ッ クまたはス ト ローブと共にシ リ アル ラ インに送信されます。 TX は、 RX がシ リ アル モードで動作しない限りデフォルトで PLL0 を使用します。 RX がシ リアル モードで動作する場合は PLL1 を使用します。

ウ ィザードのクロ ッ ク転送機能は、 各バンク内のピンについて有効にできます。 ウ ィザードは、 任意の数のクロ ック フォワード ピンをサポート します。 ク ロ ッ ク フォワード ピンの数はデザイン内の TX ピンの数以下にする必要があ り ます。 転送されたクロ ッ クのデータに対する位相は、 Vivado IDE で設定できます。

RX では、 クロ ッ ク フォワード ピンはス ト ローブ/ク ロ ッ ク と して動作します。 ク ロ ッ ク /ス ト ローブの位相は、 データのエッジまたは中央に揃えるこ とができます。 ク ロ ッ ク /ス ト ローブは、 TX_BITSLICE/RXTX_BITSLICE の D[7:0] 入力に 01010101 パターンを適用するこ とでデータ と同じよ うに生成されます。 データに対するス ト ローブの位相は、 TX_BITSLICE/RXTX_BITSLICE の TX_OUTPUT_PHASE_90 属性を設定するこ とで揃えられます。

X-Ref Target - Figure 3-1

図 3-1: PLL の共有

Master Core

PLL

Slave Core

shared_pll0_clkoutphy

shared_pll1_clkoutphy

shared_pll0_locked

shared_pll1_locked

shared_pll0_clkout0

shared_pll1_clkout0

Page 18: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 18PG188 2017 年 10 月 4 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

RX_BITSLICE のクロッキング

RX では PLL0 がプライマ リ ク ロ ッ ク ソース とな り ます。 RX_BITSLICE でデータをキャプチャするために使用するモードには 2 つあり (SERIAL_MODE = TRUE/FALSE)、 BITSLICE_CONTROL コンポーネン トの属性によって開始されます。

• SERIAL_MODE 属性を TRUE に設定した場合、 受信データは PLL0 からの CLKOUTPHY を使用してキャプチャされます。 これには、 Vivado IDE の [RX External Clock and Data] を [ASYNC/NONE] または [Fractional] (ベータ ) に設定して対応します。 受信データのキャプチャ ク ロ ッ クおよび受信データは、 非同期または同期で位相関係は未知となるか、 あるいはデータに関連付けられた非 SDR (シングル データ レート ) または DDR (ダブル データ レート ) 転送クロ ッ ク とな り ます。 これらのアプリ ケーシ ョ ンでは、 データ リ カバリ を処理する特別なロジッ ク デザインが必要です。 詳細は、 AR 64216 を参照してください。 CLKOUTPHY の周波数は、 インターフェイス速度の半分です。 『UltraScale アーキテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571) [参照 1] に記載されているクロ ッキング規則に従って、 ウ ィザードは RX データ ピンに関連するニブルの 0 番目の位置にある RX_BITSLICE を推論します。 ウ ィザードによ り、 ASYNC モードをサポートする完全なソ リ ューシ ョ ンが提供されるわけではあ り ません。 このコアは、 非同期データをキャプチャするために追加ロジッ ク と共に使用しなければならない SelectIO プリ ミ ティブのラ ッパーを生成するだけです。

ASYNC モードのリ ファレンス デザインは、 『ネイティブ モードの高速 I/O インターフェイス』 (XAPP1274) [参照 12] を参照して ください。

注記: RX がシ リ アル モードで動作している場合、 TX および RX のビッ ト スライスは同じニブル内で使用できません。

• SERIAL_MODE 属性を FALSE に設定した場合、 受信データは、 データ と共に転送されたクロ ッ クまたはス トローブを使用してキャプチャされます。 これには、 Vivado IDE の [RX External Clock and Data] を [Edge DDR]/[Center DDR]/[Edge DDR Strobe]/[Center DDR Strobe] に設定して対応します。

Edge DDR

このモードでは、 ク ロ ッ ク と ス ト ローブは同一です。 つま り、 システムでは DDR ク ロ ッ クが使用され、 これをデータのキャプチャに使用できます。 ク ロ ッ クは、 入力と して GC/QBC ピン (Bytegroup2 pin0) にのみ供給されます。Edge DDR および Center DDR モードでは、 ク ロ ッ クはス ト ローブと して動作します。 つま り、 ク ロ ッ クはすべてのビッ ト スライスに伝搬される必要があ り ます。 したがって、 GC+QBC ピン (ピン 26) に供給する必要があ り ます。 また PLL への入力基準クロ ッ クでもあるため、 ク ロ ッ クはフ リーランニングでかつ連続動作する必要があ り ます。 このクロ ッ クは、 『UltraScale アーキテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571) [参照 1] に記載のバイ ト間およびニブル間のクロ ッキング規則に従って、 すべての RX データ ピンに転送されます。 この規則に従って、 ウ ィザードは GC/QBC ピン (Bytegroup2 pin0) にある RX_BITSLICE を推論します。 入力クロ ッ クは RX_BITSLICE の入力に接続されます。 次の図は、 データ と ク ロ ッ クの位相関係を示しています。

X-Ref Target - Figure 3-2

図 3-2: Edge DDR

Transmitted/Received Clock

Transmitted/Received Data

X15065-010517

Page 19: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 19PG188 2017 年 10 月 4 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

Center DDR

これは、 データに対するクロ ッ クの位相が 90° シフ ト されているこ とを除いては図 3-2 と同じです。 次の図は、 データ と ク ロ ッ クの位相関係を示しています。

Edge DDR Strobe

このモードでは、 RX データは入力ス ト ローブを使用してキャプチャされます。 このス ト ローブは、 バンク内のクワッ ド バイ ト ク ロ ッ ク (QBC) ピンまたは専用バイ ト ク ロ ッ ク (DBC) ピンに入力できます。ウ ィザードは 1 つのバンク内で最大 8 つのス ト ローブをサポート します。 RX データ ピンへのス ト ローブの伝搬は、 『UltraScale アーキテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571) [参照 1] に記載のバイ ト間およびニブル間のクロ ッキング規則に従います。 この規則に従って、 ウ ィザードは、 ス ト ローブが伝搬される各ニブルの 0 番目の位置にある RX_BITSLICE を推論します。 推論されたビッ ト スライスに関連する I/O は、 末尾に _nc が付けられて最上位ラ ッパーに現れます。デザインが正し く機能するよ う、 これらの I/O には XDC ファ イルで制約を適用する必要があ り ます。

RX データ ピンに最も近いス ト ローブ ピンがデータ ピンの関連ス ト ローブと して選択されます。 適切なス ト ローブおよびデータ ピン位置が選択されるよ うに、 ピン プランニングでは特に注意を払う必要があ り ます。

Center DDR Strobe

これは、 図 3-5 に示すデータ と ス ト ローブの位相関係を除いて、 「Edge DDR Strobe」 と同じです。

X-Ref Target - Figure 3-3

図 3-3: Center DDR

X-Ref Target - Figure 3-4

図 3-4: Edge DDR Strobe

X-Ref Target - Figure 3-5

図 3-5: Center DDR Strobe

Transmitted/Received Clock

Transmitted/Received Data

X15066-010517

Transmitted/Received Clock

Transmitted/Received Data

X15065-010517

Transmitted/Received Clock

Transmitted/Received Data

X15066-010517

Page 20: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 20PG188 2017 年 10 月 4 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

Advanced Strobe/Clock モード

Advanced Strobe/Clock モードは、 2 つの独立したス ト ローブを使用して 1 つのデータ ラインをサンプリ ングする際に使用します。 このオプシ ョ ンは、 Edge DDR Strobe/Clock および Center DDR Strobe/Clock モードでのみ利用できます。次の図に、 データ とス ト ローブのタイ ミ ングの関係を示します。

• P データは StrobeP の立ち上がりエッジでサンプリ ングされます。

• N データは StrobeN の立ち下がりエッジでサンプリ ングされます。

• StrobeP および StrobeN は同じバイ ト グループ内の個々のニブルに与えられます。

• EN_OTHER_PCLK 属性は、 StrobeN/InvStrobeN が入力のニブルに対して設定されます。

• EN_OTHER_NCLK 属性は、 StrobeP/InvStrobeP が入力のニブルに対して設定されます。

ニブルに入力と して StrobeP が含まれる場合、 ほかのニブルに対して選択可能なス ト ローブ/ク ロ ッ クは、 StrobeN または InvStrobeN (StrobeN の反転) です。

ニブルに入力と して StrobeN が含まれる場合、 ほかのニブルに対して選択可能なス ト ローブ/ク ロ ッ クは、 StrobeP または InvStrobeP (StrobeP の反転) です。

RXTX_BITSLICE のクロッキング

RXTX_BITSLICE の TX 部分のクロ ッキングは、 「TX_BITSLICE のクロ ッキング」 の記載に従います。

RXTX_BITSLICE の RX 部分のクロ ッキングは、EDGE DDR Strobe モードまたは Center DDR Strobe モード しかサポート しません。 つま り、 RXTX_BITSLICE でのデータ キャプチャにはス ト ローブが必須です。

X-Ref Target - Figure 3-6

図 3-6: Advanced Strobe

Page 21: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 21PG188 2017 年 10 月 4 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

PLL の使用

デザインに TX、 RX および RX_TX ビッ ト スライスが混在する場合、 PLL は表 3-1 に示すよ うに使用されます。 RX がシ リアル モードで動作している場合、 TX および RX のビッ ト スライスは同じニブル内で使用できません。 また、双方向 (RXTX) 動作はサポート されません。

表 3-1: PLL の使用

デザインの構成[RX External Clock and Data]

での設定PLL の使用 説明

TX + RX Edge DDR、 Center DDR、Edge DDR Strobe、Center DDR Strobe

TX は PLL0 を使用 すべての BITSLICE_CONTROL モジュールへのマスター入力クロ ッ クは、 PLL0 から供給されます。

RX は PLL0 を使用

TX + RX ASYNC/NONE および Fractional (ベータ )

TX は PLL1 を使用 TX に関連する BITSLICE_CONTROL へのマスター入力クロ ッ クは、 PLL1 から供給されます。

RX は PLL0 を使用 RX に関連する BITSLICE_CONTROL へのマスター入力クロ ッ クは、 PLL0 から供給されます。

TX + RX + RXTX Edge DDR Strobe、Center DDR Strobe

TX は PLL0 を使用 すべての BITSLICE_CONTROL モジュールへのマスター入力クロ ッ クは、 PLL0 から供給されます。

RX は PLL0 を使用

RXTX は PLL0 を使用

Page 22: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 22PG188 2017 年 10 月 4 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

リセッ ト

ウ ィザードは、 ラ ッパーに組み込まれる リセッ ト モジュールを生成します。 このモジュールは RIU ク ロ ッ クで動作します。 入力は、 デザイン内のすべてのビッ ト スライスおよびビッ ト スライス制御モジュールに対して リセッ ト をト リガーする非同期リセッ トです。 次の図に、 外部 RIU ク ロ ッ ク入力を使用する リセッ ト ステート マシンの詳細を示します。

\X-Ref Target - Figure 3-7

図 3-7: HSSIO リセッ ト シーケンス

Page 23: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 23PG188 2017 年 10 月 4 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

次の図に、 PLL から RIU ク ロ ッ クが生成される場合のリセッ ト ロジッ クを示します。

X-Ref Target - Figure 3-8

図 3-8: PLL からの RIU

Tie all RXTX_BITSLICE.EN_VTC to 1

Set all BITSLICE_CONTROL.SELF_CALIBRATE = TRUE

Assert PLL.PLL_RST (Min duration of Reset is 5ns)

De-assert PLL.PLL_RST

De-assert RXTX_BITSLICE.TX_RST, RXTX_BITSLICE.TX_RST_DLY, RXTX_BITSLICE.RX_RST, RXTX_BITSLICE.RX_RST_DLY, BITSLICE_CONTROL.RST synchronous to RIU_CLK (PLL_CLKOUT1)

Wait for 64 fabric clock cycles of PLL_CLKOUT1, after PLL is locked

Set PLL.CLK_OUT_PHYEN=1

Wait for BITSLICE_CONTROL.DLY_RDY from every bitslice control to go HIGH

Wait for BITSLICE_CONTROL.VTC_RDY from every bitslice control to go HIGH

To start TX transmit assert BITSLICE_CONTROL.TBYTE_IN for all TX

bitslice controlsSynchronous to PLLCLKOUT0

Assert FIFO_RD_EN by taking FIFO_EMPTY from the bitslice furthest removed from the QBC or DBC bitslice

that receives the RX clock

FIFO_RD_EN is generated by NOR of FIFO_EMPTY of all bitslices.

TX Single Strobe/Clock Rx

Set BITSLICE_CONTROL.EN_VTC to be HIGH once all DLY_RDY’s are asserted

DLY_RDY=0 for any BITSLICE_CTRL

Multiple Strobe/Clock Rx

Assert RXTX_BITSLICE.TX_RST, RXTX_BITSLICE.TX_RST_DLY, RXTX_BITSLICE.RX_RST, RXTX_BITSLICE.RX_RST_DLY, BITSLICE_CONTROL.RST

DLY_RDY=0

Page 24: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 24PG188 2017 年 10 月 4 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

ピンがクロ ッ ク フォワード と して選択されている場合、 TX がリセッ ト解除されて TX の rst_seq_done がアサート されるまで、 対応するデザイン (RX) はリセッ ト状態のまま保持する必要があ り ます。 これによ り、 信頼性の高いクロ ッ クが RX へ供給されます。

注記: 図 3-7 に示す待機時間はすべて、 RIU ク ロ ッ クの 100 万 サイ クルにハード コード されています。

プロ ト コルの説明

SelectIO リ ソースは、 選択したデバイスおよびスピード グレードに基づいて、 300Mb/s ~ 1,600Mb/s の範囲で動作するさまざまなシ リ アル プロ ト コルとのインターフェイスに使用できます。 詳細は、 次の文書を参照して ください。

• 『UltraScale アーキテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571) [参照 1]

• 『Kintex UltraScale FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS892) [参照 2]

• 『Virtex UltraScale FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS893) [参照 3]

• 『Kintex UltraScale+ FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS922) [参照 4]

Page 25: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 25PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章

デザイン フローの手順この章では、 コアのカスタマイズと生成、 制約、 およびシ ミ ュレーシ ョ ン/合成/インプリ メンテーシ ョ ンの手順について説明します。 一般的な Vivado® デザイン フローおよび IP インテグレーターの詳細は、 次の Vivado Design Suite ユーザー ガイ ドを参照してください。

• 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 5]

• 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 6]

• 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910) [参照 7]

• 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 8]

コアのカスタマイズおよび生成

こ こでは、 ザイ リ ンクス ツールを使用し、 Vivado Design Suite でコアをカスタマイズおよび生成する方法について説明します。 IP はユーザー デザインに合わせてカスタマイズできます。 それには、 IP コアに関連する各種パラ メーターの値を次の手順に従って指定します。

1. IP カタログから IP を選択します。

2. 選択した IP をダブルク リ ッ クするか、 ツールバーまたは右ク リ ッ ク メニューから [Customize IP] コマンドをクリ ッ ク します。

Vivado プロジェク トの開始については、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 6] および 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910) [参照 7] を参照して ください。

出力ファイルの生成については、『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 6] を参照してください。

注記: この章の図には Vivado IDE のスク リーンシ ョ ッ トが使用されていますが、 現在のバージ ョ ンとはレイアウ トが異なる場合があ り ます。

Vivado IDE での一般的な設定

[Component Name]: コンポーネン ト名はユーザーが指定します。 コンポーネン ト名には Verilog の予約語を含めないようにします。

Page 26: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 26PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

コンフ ィギュレーシ ョ ンの設定 — [Basic] タブ

[Clocking]

[Bus Direction]

ユーザー デザインのピンの方向を示します。

• [TX ONLY]: ユーザー デザインには TX ピンのみが含まれています。

• [RX ONLY]: ユーザー デザインには RX ピンのみが含まれています。

• [TX+RX]: ユーザー デザインには TX および RX ピンが含まれています。

• [BIDIR or TX+RX or TX+RX+BIDIR]: ユーザー デザインには TX、RX および BIDIR (ベータ ) ピンが混在しています。

注記: 双方向シグナリ ング機能は、 ベータ モードでしか利用できません。 詳細は、 ザイ リ ンクス アンサー 69471 を参照してください。

[RX External Clock and Data]

データに対する外部クロ ッ クの位相揃えを示します。 これは、 RX ピンおよび BIDIR (ベータ ) ピンに適用可能です。

• [Edge DDR]: [Bus Direction] が [RX Only] に設定されている場合にのみ適用可能です。 詳細は、 第 3 章の 「ク ロ ッキング」 を参照してください。

• [Center DDR]: [Bus Direction] が [RX Only] に設定されている場合にのみ適用可能です。 詳細は、 第 3 章の 「クロ ッキング」 を参照してください。

• [ASYNC/NONE] (ベータ ): 詳細は、 第 3 章の 「ク ロ ッキング」 を参照してください。

X-Ref Target - Figure 4-1

図 4-1: インターフェイスのコンフ ィギュレーシ ョ ン設定

Page 27: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 27PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

• [Fractional] (ベータ ): 詳細は、 第 3 章の 「ク ロ ッキング」 を参照して ください。

• [Edge DDR Strobe/Clock]: RX および BIDIR (ベータ ) ピンにのみ適用可能です。 詳細は、 第 3 章の 「ク ロ ッキング」 を参照してください。

• [Center DDR Strobe/Clock]: RX および BIDIR (ベータ ) ピンにのみ適用可能です。 詳細は、 第 3 章の 「ク ロ ッキング」 を参照してください。

• [Enable Advanced Strobe Selection]: 詳細は、 第 3 章の 「ク ロ ッキング」 を参照してください。

[PLL Clock Source]

ク ロ ッ クは、 このオプシ ョ ンで設定されたバッファーを介して PLL へ送られます。

• [GC Pin]: 入力クロ ッ クが GC ピンで利用可能な場合、 このオプシ ョ ンを選択します。 この場合、 入力クロ ッ クはウ ィザードによってインスタンシエート された IBUF (シングルエンド ク ロ ッ ク ) または IBUFDS (差動クロ ック ) を介して PLL に送られます。

• [Fabric] (BUFG で駆動): ク ロ ッ クが汎用インターコネク トから送られる場合は、 このオプシ ョ ンを選択します。ウ ィザードは入力クロ ッ ク ポート (clk) を直接 PLL に接続します。 BUFG がインターコネク トにインスタンシエート されているこ とを確認する必要があ り ます。

• [Access Clock Output from IBUF]: このオプシ ョ ンは、 [Rx Clock to Data Alignment] が [ASYNC/NONE] に設定され、[PLL Clock Source] が [GC Pin] に設定されている場合にのみ利用できます。 このオプシ ョ ンをオンにする と、 入力バッファーからのクロ ッ ク出力が外部ポート と して提供されます。

[Interface Speed (Mb/s)]

コンフ ィギュレーシ ョ ンのインターフェイス速度を設定します。 インターフェイス速度は、 選択したデバイスおよびスピード グレードによって指定されます。詳細は、 UltraScale™ および UltraScale+™ のデータシート を参照してください。

[PLL Input Clk Frequency (MHz)]

PLL の入力クロ ッ ク周波数を設定します。 選択したデータ速度に応じて、 サポート される入力クロ ッ ク周波数の範囲がリ ス ト されます。 Edge DDR/Center DDR の場合、 入力クロ ッ ク周波数はデータ速度の半分に設定されます。

注意: ウ ィザードには、 TX デザインに適用可能な推奨入力周波数がリ ス ト されます。 詳細は、 『UltraScale アーキテクチャ ク ロ ッキング リ ソース ユーザー ガイ ド』 (UG572) [参照 13] を参照してください。

[PLL CLKOUT0 (MHz)]

PLL0 の CLKOUT0 (PLL0 からの分周クロ ッ ク ) の周波数を示します。 このクロ ッ クの周波数は、 データ速度/シ リ アライズの係数です。

[Select if PLL is included in Core or Example design]

詳細は、 第 3 章の 「ク ロ ッキング」 を参照してください。

[PLL Phase Shift Mode]

位相シフ ト されたクロ ッ クを WAVEFORM ク ロ ッ クまたは LATENCY ク ロ ッ クのどちらにモデル化するかを選択します。 レイテンシにモデル化された場合はマルチサイクル制約は必要あ り ません。 PHASE_SHIFT_MODE プロパティは生成された XDC で設定します。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : デザイン解析およびクロージャ テクニッ ク』 (UG906) [参照 14] を参照してください。

Page 28: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 28PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

[Other]

[Bank]

選択したデバイスで有効な HP (High Performance) バンク と HR (High Range) バンクのリ ス ト を示します。

[BITSLICE Serialization Factor]

汎用インターコネク トへのパラレル データ入力または汎用インターコネク トからのパラレル データ出力のシ リ アライズ係数を指定します。 有効な値は 4 および 8 です。 シ リ アライズ係数はデフォルトで 8 に設定されています。

[Bitslip Training Pattern]

ビッ ト ス リ ップ ロジッ クを同期させるには、あらかじめ定義されている ト レーニング パターン (HEX 形式) を受信する必要があ り ます。 ト レーニング パターンは、 一意であ り、 高次プロ ト コルで定義する必要があ り ます。start_bitslip ポート (アクティブ Low) は、 ビッ ト ス リ ップ ロジッ クを リセッ ト状態に保持します。 start_bitslip ポートは、 ト ランス ミ ッ ターが有効なビッ ト ス リ ップ ト レーニング パターンを駆動し始めたと きにのみ High 駆動する必要があ り ます。 ト ランス ミ ッ ターは、 rx_bitslip_sync_done 信号 (RX インターフェイス) または rxtx_bitslip_sync_done 信号 (BIDIR (ベータ ) インターフェイス) がアサート されるまで ト レーニング パターンを断続的に送信します。

詳細は、 次のタイ ミ ング図を参照してください。

[Data 3-State]

双方向データ ピンと TX データ ピンおよびクロ ッ ク フォワード ピンの ト ラ イステート制御を設定します。

• [Combinatorial]: RXTX_BITSLICE または TX_BITSLICE の T ピンを使用します。汎用インターコネク ト ロジッ クからの T 入力は RXTX_BITSLICE または TX_BITSLICE に直接送られます。

• [Serialized]: 汎用インターコネク ト ロジッ クからの TBYTE_IN 入力は、 BITSLICE_CONTROL に送られ、TX_BITSLICE_TRI を介して RXTX_BITSLICE または TX_BITSLICE の TBYTE_IN を制御します。 TBYTE_IN の使用法は、 『UltraScale アーキテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571) [参照 1] を参照してください。

[Strobe/Clock 3-State]

ス ト ローブ/ク ロ ッ ク ピンの ト ライステート制御を設定します。 DBC/QBC ピンはス ト ローブ/ク ロ ッ ク ピンに設定可能で、 受信モードでのみ有効です。 ス ト ローブの詳細は、 『UltraScale アーキテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571) [参照 1] を参照してください。

• [Combinatorial]: RXTX_BITSLICE の T ピンを使用します。 汎用インターコネク ト ロジッ クからの T 入力は RXTX_BITSLICE に直接送られます。 各データ ビッ ト スライスには、 1 本のス ト ローブ ピンがあ り ます。 ス トローブ/ク ロ ッ クが利用可能な場合、 RX データはス ト ローブに対してキャプチャされます。

• [Serialized]: 汎用インターコネク ト ロジッ クからの TBYTE_IN 入力は、 BITSLICE_CONTROL に送られ、TX_BITSLICE_TRI を介して RXTX_BITSLICE の TBYTE_IN を制御します。 TBYTE_IN の詳細は、 『UltraScale アーキテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571) [参照 1] を参照してください。

X-Ref Target - Figure 4-2

図 4-2: ビッ トスリ ップ ト レーニング パターンの図

FIFO_RD_CLK

rst

start_bitsliprx_bitslip_sync_done

Min rst pulse width is 5ns

X18790-021617

Page 29: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 29PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

[Enable Tx 3-State]

このオプシ ョ ンをオンにする と、 TX データおよびクロ ッ ク フォワード ピンを ト ライステート状態にできます。[Data 3-State] ド ロ ップダウン リ ス トから ト ラ イステート制御を選択できます。

注記: [Bus Direction] で TX ピンが含まれるこ とを選択した場合にのみ表示されます。

[Append Pin No to IOs]

このオプシ ョ ンオンにする と、 ユーザー定義の信号名の末尾にバンク内の対応するピン番号が付加されます。

[RIU Interface]

各バイ ト グループのレジスタ インターフェイス ユニッ ト (RIU) が内部レジスタへアクセスできるよ うにします。 すべての遅延要素のタップ設定は RIU で読み出すこ とができます。 また、 ク ロ ッ ク ゲーティングや電圧と温度 (VT) のト ラ ッキングなどのさまざまな機能を無効にできます。 このオプシ ョ ンを使用する と、 FIFO を同期から非同期へ、完全なバイパス可能な FIFO へ動作中に変更できます。 このオプシ ョ ンは RIU のアクセスを有効にしますが、 RIU アクセス用のロジッ クを追加するわけではあ り ません。

[Enable Bitslip]

バス方向が RX、 BIDIR (ベータ ) RX の場合、 ビッ ト ス リ ップ ロジッ クを有効にして RX データを予期されるパターンに揃えるこ とができます。

[Enable Data Bitslip]

ビッ ト ス リ ップが完了する前でも RX ビッ ト ス リ ップの出力を利用できるよ うにします。

[Enable Ports to Connect Multiple Interfaces]

1 つのバンク内にある複数のインターフェイスまたは複数のバンクにまたがる 1 つのインターフェイスを接続するために必要なポートの生成を有効にします。 これらのポートは、 インターフェイスの初期化と正確な機能性維持のために必要です。

該当するポートは、 app_clk、 intf_rdy および multi_intf_lock_in です。 これらのポートの接続方法は、第 2 章の 「ポートの説明」 および AR 68620 を参照してください。

Page 30: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 30PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

コンフ ィギュレーシ ョ ンの設定 — [Advanced] タブ

[Clocking Data and Delay]

[RX Delay Cascade]

IDELAY 遅延ラインと拡張遅延ラインのカスケード接続を有効にし、 RX データパスでの合計遅延が 2.5ns となるようにします。 [RX Delay Cascade] は BIDIR (ベータ ) ピンではサポート されていません。

[RX Delay Mode]

RX_DELAY_FORMAT を選択します。 [TIME] または [COUNT] に設定できます。詳細は、 『UltraScale アーキテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571) [参照 1] を参照してください。

[RX Delay Type]

• [FIXED]: [RX Delay Value] で設定した固定遅延値が RX データに適用されます。

• [VARIABLE]: RX データの遅延は、 CE、 CLK、 および INC の遅延制御入力を使用してデフォルト値からインクリ メン ト またはデク リ メン トできます。

• [VAR_LOAD]: RX データの遅延は、 CE、 CLK、 および INC の遅延制御入力を使用して、 デフォルトの設定値からインク リ メン ト またはデク リ メン トするか、 または CNTVALUEIN に新しい値と してロード可能です。

X-Ref Target - Figure 4-3

図 4-3: [Advanced] タブ

Page 31: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 31PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

[RX Delay Value] (ps)

目標の RX 遅延値をピコ秒で設定します。 [RX Delay Cascade] がオフの場合、 最大値は 1,250ps です。 [RX Delay Cascade] がオンの場合、 この遅延値は最大 1,250ps または 2,500ps に設定できます。

注記: 双方向ピンの RX および TX 遅延は同じ値に設定する必要があ り ます。 双方向ピンの場合、 [RX Delay Value] は [TX Delay Value] と同じ 0 に設定されます。

[TX Delay Type]

• [FIXED]: [TX Delay Value] で設定した固定遅延値が TX データに適用されます。

• [VARIABLE]: TX データの遅延は、 CE、 CLK、 および INC の遅延制御入力を使用してデフォルト値からインクリ メン ト またはデク リ メン トできます。

• [VAR_LOAD]: TX データの遅延は、 CE、 CLK、 および INC の遅延制御入力を使用して、 デフォルトの設定値からインク リ メン ト またはデク リ メン トするか、 または CNTVALUEIN に新しい値と してロード可能です。

[TX Delay Value] (ps)

目標の TX 遅延値をピコ秒で設定します。 遅延値 (ps) をタ ップ数に変換するロジッ クが I/O 制御ロジッ クに組み込まれています。 このロジッ クには基準クロ ッ クが必要です。 遅延ラインによって追加されるタ ップ依存ジッ ターはあり ません。 デフォルト値は 1ps から 0ps に変更されます。

注意: エッジにアラインさせるデザインの場合、 RX_CLK_PHASE_P および RX_CLK_PHASE_N 属性は SHIFT_90 に設定します。 これらの属性が正し く機能するためには、ニブル内の少なく と も 1 つの RX ビッ ト スライスの遅延値が 0 に設定されている必要があ り ます。 詳細は、 ザイ リ ンクス アンサー 69672 を参照してください。

[Clock Forward Phase]

TX ピンにのみ利用できます。 ク ロ ッ ク フォワード と TX データ間の位相を設定します。 サポート される値は 0 および 90 です。

[TX Data Phase]

TX データ ピンにのみ利用できます。TX_BITSLICE の OUTPUT_PHASE_90 属性を設定します。サポート される値は 0 および 90 です。

[FIFO Read Enable User Control]

RX/RXTX ビッ ト スライスの FIFO は、 ソース同期インターフェイス ク ロ ッ ク ド メ インからファブリ ッ ク ク ロ ッ ク ド メ インへのデータ転送を可能にします。 シングル ク ロ ッ ク同期インターフェイスの場合、 クワッ ド バイ ト ク ロ ック (QBC) ビッ ト スライスまたは専用バイ ト ク ロ ッ ク (DBC) ビッ ト スライスから最も離れているビッ ト スライスから FIFO_EMPTY を取得するこ とによ り ファブ リ ッ ク側で FIFO_RD_EN が駆動されます。

マルチ ク ロ ッ ク ソース同期インターフェイスの場合、 FIFO_RD_EN はすべてのビッ ト スライスの FIFO_EMPTY の NOR に接続されます。

このチェッ ク ボッ クスをオンにする と FIFO_RD_EN ポートが最上位ラ ッパーに現れます。 ユーザーは、 このポートを上記のよ うに駆動する必要があ り ます。

デフォルトでは、 ウ ィザードが FIFO_RD_EN ポート を内部で駆動します。 出力ポート fifo_rd_data_valid を使用する と、 data_to_fabric バスに有効なデータが存在するかど うかがわかり ます。

次の各タイ ミ ング図を参照してください。 [Enable BitSlip] がオンの場合、 ビッ ト ス リ ップ ロジッ クの遅延は FIFO_RD_CLK の 4 サイクルです。

Page 32: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 32PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

[Enable PLL CLKOUT1 (MHz)]

このオプシ ョ ンをオンにする と、 PLL0 の CLKOUT1 ポート をラ ッパーの出力ポート と して使用できるよ うになり ます。

注記: デザインで 2 つの PLL が使用されている場合、PLL1 の CLKOUT1 は出力ポート と して利用できません。[Enable PLL CLKOUT1 (MHz)] がオンのと きに利用できるのは PLL0 の CLKOUT1 のみです。

[Generate RIU Clock from PLL]

詳細は、 第 3 章の 「ク ロ ッキング」 を参照してください。

X-Ref Target - Figure 4-4

図 4-4: [FIFO BitSlip] がオフで [FIFO Read Enable User Control] がオンの場合のタイ ミング図

X-Ref Target - Figure 4-5

図 4-5: [FIFO BitSlip] がオンで [FIFO Read Enable User Control] がオンの場合のタイ ミング図

X-Ref Target - Figure 4-6

図 4-6: [FIFO BitSlip] がオフで [FIFO Read Enable User Control] がオフ (ウィザードが駆動) の場合のタイ ミング図

X-Ref Target - Figure 4-7

図 4-7: [FIFO BitSlip] がオンで [FIFO Read Enable User Control] がオフ (ウィザードが駆動) の場合のタイ ミング図

FIFO_RD_CLK

rst

start_bitsliprx_bitslip_sync_done

Min rst pulse width is 5ns

X18790-021617

FIFO_RD_CLK

FIFO_EMPTY

FIFO_RD_EN

FABRIC_DATA D0 D1 D2 D3

X18789-021617

FIFO_RD_CLK

FIFO_RD_DATA_VALID

FABRIC_DATA D0 D1 D2 D3

Inverted FIFO EMPTY of Farthest Bitslice

X18787-021617

FIFO_RD_CLK

FIFO_RD_DATA_VALID

FABRIC_DATA D0 D1 D2 D3

X18788-021617

Page 33: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 33PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

[Enable FIFO WRITE CLKOUT]

このオプシ ョ ンをオンにする と、内部 FIFO への書き込み分周クロ ッ クをインターコネク ト ロジッ クへ出力できるようになり ます。 このクロ ッ クは、 ス ト ローブ/ク ロ ッ クを利用できる各ニブルのビッ ト スライス 0 から供給されます。詳細は、 『UltraScale アーキテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571) [参照 1] を参照してください。

[I/O Standard]

[Enable N-side RX bitslice]

差動 RX ピンに適用可能です。 このオプシ ョ ンをオンにする と、 RX ビッ ト スラ イスが N ピン用にインスタンシエート されます。

[Differential IO Std]

選択したバンクでサポート される差動 I/O 規格が示されます。デザインに差動ピンが含まれる場合、それらには差動 I/O 規格を設定する必要があ り ます。

[Differential Termination]

差動 I/O 規格に対応する ODT (オンダイ終端) 値を選択します。ユーザーが選択した値は XDC 制約ファイルで設定されます。 詳細は、 『UltraScale アーキテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571) [参照 1] を参照してください。

[Differential TX Pre-Emphasis]

差動 I/O 規格に対応する ト ランス ミ ッ ター プリエンファシスの設定を選択します。 プ リエンファシス値は XDC 制約ファイルで設定されます。 詳細は、 『UltraScale アーキテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571) [参照 1] を参照してください。

[Differential RX Equalization]

差動 I/O 規格に対応する RX イコラ イゼーシ ョ ンの設定を選択します。 イコライゼーシ ョ ン値は XDC 制約ファイルで設定されます。 詳細は、 『UltraScale アーキテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571) [参照 1] を参照してください。

[Single IO Std]

選択したバンクでサポート され、 差動 I/O 規格と互換性のあるシングルエンド I/O 規格が示されます。 デザインにシングル エンド ピンが含まれる場合、 それらにはシングル エンド I/O 規格を設定する必要があ り ます。 RX のみのデザインの場合、示される リ ス トが選択した差動 I/O 規格と互換性のあるシングル エンド I/O 規格の完全な リ ス トでないこ とがあ り ます。

[Single Ended Termination]

シングル エンド I/O 規格に対応する ODT (オンダイ終端) 値を選択します。ユーザーが選択した値は XDC 制約ファイルで設定されます。 詳細は、 『UltraScale アーキテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571) [参照 1] を参照してください。

[Single Ended TX Pre-Emphasis]

シングル エンド I/O 規格に対応する ト ランス ミ ッ ター プリエンファシスの設定を選択します。 プリエンファシス値は XDC 制約ファイルで設定されます。 詳細は、 『UltraScale アーキテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571) [参照 1] を参照してください。

[Single Ended RX Equalization]

シングル エンド I/O 規格に対応する RX イコライゼーシ ョ ンの設定を選択します。 イコライゼーシ ョ ン値は XDC 制約ファイルで設定されます。 詳細は、 『UltraScale アーキテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571) [参照 1] を参照してください。

Page 34: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 34PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

[Pin Selection] タブ

このタブでは、 ピンを選択するオプシ ョ ンが提供され、 選択した各ピンについてバス方向 (TX、 RX、 BIDIR (ベータ))、信号タイプ (差動/シングル エンド )、 信号名を指定でき、 さらに使用する目的 (データ、 ス ト ローブ/クロッ ク、 入力クロッ クまたはクロッ ク フォワード ) を選択できます。

Byte Groupx (x は 0 ~ 3) のピンを選択します。 バイ ト グループの順序はピン 0 から開始してピン 12 までです。 以降の説明は、 特に明記のない限りすべてのバイ ト グループに適用されます。

[Pin Selection]

ピンを選択を有効にします。 P ピンが差動の場合、 N ピンの選択は淡色表示とな り ます ([PinName] には IO_L1P_T0L_ のよ うにピンが P または N であるかが示される )。 たとえば、 ピン 0 が差動の場合、 ピン 1 の選択は淡色表示となり ます。 ピン 12 は必ずシングル エンド ピンです。

[Bus Direction]

選択したピンについてバス方向を設定します。 利用可能なオプシ ョ ンは次のとおりです。

• [TX]: ピンの方向は TX に設定されます。 このオプシ ョ ンは、 コンフ ィギュレーシ ョ ンの [Basic] タブにある [Bus Direction] で [RX ONLY] が選択されている場合は利用できません。

• [RX]: ピンの方向は RX に設定されます。 このオプシ ョ ンは、 コンフ ィギュレーシ ョ ンの [Basic] タブにある [Bus Direction] で [TX ONLY] が選択されている場合は利用できません。

• [BIDIR] (ベータ ): ピンの方向は双方向に設定されます。 次の場合このオプシ ョ ンは利用できません。

X-Ref Target - Figure 4-8

図 4-8: [Pin Selection] タブ (Byte group0 および Byte group1 (一部) の内容を表示)

Page 35: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 35PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

° コンフ ィギュレーシ ョ ンの [Basic] タブにある [Bus Direction] で [TX ONLY] または [RX ONLY] が選択されている。

° コンフ ィギュレーシ ョ ンの [Basic] タブにある [RX External Clock and Data] で [ASYNC/NONE] または [Fractional] (ベータ ) が選択されている。

注記: 差動ピンの場合、 次のよ うになり ます。

- RX バス方向の場合、汎用インターコネク トおよび I/O インターフェイスで P と N のインターフェイス ポートの両方を使用できます。

- BIDIR (ベータ ) および TX バス方向の場合、 I/O インターフェイスで P および N のインターフェイス ポートの両方を使用でき、 汎用インターコネク ト インターフェイスでは P ポートのみを使用できます。

[Signal Type]

信号タイプは差動またはシングル エンドに設定します。 P ピンが差動の場合、 N ピンは淡色表示となり、 差動に設定されます ([PinName] には IO_L1P_T0L_ のよ うにピンが P または N であるかが示される )。

[Data or Strobe or Clock]

ピンの目的がデータ /ス ト ローブ/ク ロ ッ ク /入力クロ ッ ク /ク ロ ッ ク フォワードかを指定できます。 P ピンが差動の場合、 N ピンは淡色表示され、 P ピンと同じ値に設定されます。

• [Data]: ピンをデータ ピンに設定します。

• [Strobe/Clock]: ピンをス ト ローブ ピンに設定します。 ス ト ローブに設定できるのは DBC/QBC/GC_QBC ピンのみです。 [Pin Name] は、 ピンが DBC/QBC/GC_QBC 互換かど うかを示します。 たとえば、IO_L1P_T0L_N0_DBC_44 は DBC 互換のピンであるこ とを示しています。 P ピンのみがス ト ローブと して設定可能です。

• [Clk Fwd]: TX バス方向でのみ有効です。 インターフェイス内のクロ ッ ク フォワード ピンの数はデータ ピンの数以下にする必要があ り ます。

• [Input Clock]: ピンをクロ ッ ク ピンに設定します。入力クロ ッ クはこのピンになければなり ません。 GC/GC_QBC ピンのみが入力クロ ッ ク ピンと して設定可能です。 コンフ ィギュレーシ ョ ンの [Basic] タブにある [PLL Clock Source] で [GC Pin] が選択されている場合、 このオプシ ョ ンを利用できます。

[StrobeP]、 [StrobeN]、 [InvStrobeP]、 [InvStrobeN]

[Enable Advanced Strobe/Clock Selection] をオンにした場合、 これらのオプシ ョ ンを利用できます。 詳細は、 第 3 章の「ク ロ ッキング」 を参照してください。

[Signal Name]

信号名を選択できます。 信号名には、 バンク内の選択したピンの対応するピン位置が付加されます。 I/O ポートにはこの名前が示され、 汎用インターコネク トではデータ ポートに追加されます。

[Append Pin No to IOs] がオンの場合、 たとえば信号名が bg1_pin0 と したら、 I/O ポートは bg1_pin0_13 と表示されます。 13 はピン位置です (byte group0 の 0 から開始)。TX 汎用インターコネク ト インターフェイスの場合、データ ポートは data_from_fabric_bg1_pin0_13 です。 RX のインターフェイス データ ポートは data_to_fabric_bg1_pin0_13 です。

[INIT Val]

TX_BITSLICE/RXTX_BITSLICE のシ リ アライズされたデータ出力の初期値を指定します。

Page 36: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 36PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

[Pin LOC]

XDC で制約の設定に使用されるピンの LOC 制約です。 淡色表示されます。

[PinName]

バンク内のピンの名前を示します。 淡色表示されます。 ピンに関する情報が提供されます。 次に例を示します。

• P または N ピン

• DBC/QBC/GC_QBC/GC ピン

• バイ ト グループ内のピン位置

• ピンがバイ ト グループ内の上位/下位ニブルに存在するかど うか

[Summary] タブ

このタブは全体的な設定内容のサマリ を示します。

• TX/RX/RXTX ピンの数

• 汎用インターコネク トのクロ ッ ク周波数

• PLL からの PHY ク ロ ッ ク周波数の出力

• 推論されたビッ ト スライス

X-Ref Target - Figure 4-9

図 4-9: [Summary] タブ

Page 37: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 37PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

ユーザー パラメーター

表 4-1 に、 Vivado IDE のフ ィールド とユーザー パラ メーターの対応関係を示します。 ユーザー パラ メーターは Tcl コンソールで表示可能です。

表 4-1: Vivado IDE のパラメーターとユーザー パラメーターの対応表

Vivado IDE のパラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

Bus Direction

範囲:

° TX ONLY: 0

° RX ONLY: 1

° BIDIR (ベータ ) または TX+RX または TX+RX+BIDIR (ベータ ): 2

BUS_DIR 0

Interface Speed (Mb/s)

範囲: 300 ~ 1,600Mb/sPLL0_DATA_SPEED 1,000

PLL Input Clk Frequency (MHz)

範囲: 70 ~ 1,099MHzPLL0_INPUT_CLK_FREQ 500.00

RX External Clock and Data

範囲:

° Edge DDR: 0

° Center DDR: 1

° ASYNC/NONE および Fractional (ベータ ): 2

° Center DDR Strobe/Clock: 3

° Edge DDR Strobe/Clock: 4

PLL0_RX_EXTERNAL_CLK_TO_DATA 0

Enable Advanced Strobe/Clock Selection

範囲

° FALSE (オフ): 0

° TRUE (オン): 1

EN_ADV_STRB_SEL 0

Enable FIFO WRITE CLKOUT

範囲:

° FALSE (オフ): 0

° TRUE (オン): 1

PLL0_FIFO_WRITE_CLK_OUT 0

PLL Clock Source

範囲:

° GC Pin: IBUF_TO_PLL

° Fabric (BUFG で駆動): BUFG_TO_PLL

PLL0_CLK_SOURCE GC Pin

Access Clock Output from IBUF

範囲:

° FALSE (オフ): 0

° TRUE (オン): 1

EN_IBUF_CLKOUT 0

BITSLICE Serialization Factor

範囲: 4、 8SERIALIZATION_FACTOR 8

Page 38: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 38PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

Select if PLL is included in Core or Example design

範囲:

° Include PLL in core: 0

° Include PLL in Example Design: 1

PLL_SHARING 0

Generate RIU Clock from PLL

範囲:

° FALSE (オフ): 0

° TRUE (オン): 1

RIU_FROM_PLL 0

Rx Delay Cascade

範囲:

° FALSE (オフ): 0

° TRUE (オン): 1

RX_DELAY_CASCADE 0

RX Delay Type

範囲:

° FIXED: 0

° VARIABLE: 1

° VAR_LOAD: 2

RX_DELAY_TYPE 0

RX Delay Value

範囲: 0 ~ 1,250

注記: UltraScale デバイスの場合、 RX および TX の遅延値は最大で 1,100 です。

RX_DELAY_VALUE 0

TX Delay Type

範囲:

° FIXED: 0

° VARIABLE: 1

° VAR_LOAD: 2

TX_DELAY_TYPE 1

TX Delay Value

範囲: 0 ~ 1.250TX_DELAY_VALUE 1

Clock Forward Phase

範囲:

° FALSE (オフ): 0

° TRUE (オン): 1

CLK_FWD_PHASE 0

Bank

範囲: デバイスによって異なるBANK 45

Single IO Std

範囲: 選択した差動 I/O 規格によって異なる

SINGLE_IO_STD NONE

Differential IO Std

範囲: バンクの選択によって異なるDIFFERENTIAL_IO_STD NONE

Single Ended Termination

範囲: シングル エンド I/O 規格によって異なる

SINGLE_IO_TERMINATION NONE

Differential Termination

範囲: 差動 I/O 規格によって異なる

DIFFERENTIAL_IO_TERMINATION NONE

表 4-1: Vivado IDE のパラメーターとユーザー パラメーターの対応表 (続き)

Vivado IDE のパラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

Page 39: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 39PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

Single Ended TX Pre-Emphasis

範囲: シングル エンド I/O 規格によって異なる

TX_PRE_EMPHASIS_S NONE

Differential TX Pre-Emphasis

範囲:

差動 I/O 規格によって異なる

TX_PRE_EMPHASIS_D NONE

Append Pin No to IOs

範囲:

° FALSE (オフ): 0

° TRUE (オン): 1

APPEND_PIN_NO 1

Single Ended RX Equalization

範囲:

シングル エンド I/O 規格によって異なる

RX_EQUALIZATION_S NONE

FIFO Read Enable User Control

範囲:

° FALSE (オフ): 0

° TRUE (オン): 1

FIFO_RD_EN_CONTROL 0

Differential RX Equalization

範囲:

差動 I/O 規格によって異なる

RX_EQUALIZATION_D NONE

RIU Interface

範囲:

° FALSE (オフ): 0

° TRUE (オン): 1

ENABLE_RIU_INTERFACE 0

Enable Bitslip

範囲:

° FALSE (オフ): 0

° TRUE (オン): 1

ENABLE_BITSLIP 0

Enable Data Bitslip

範囲:

° FALSE (オフ): 0

° TRUE (オン): 1

ENABLE_DATA_BITSLIP 0

Data 3-State

° Serialized: 0

° Combinatorial: 1

DATA_TRISTATE 1

Strobe/Clock 3-State

° Serialized: 0

° Combinatorial: 1

CLOCK_TRISTATE 1

Enable Tx 3-State

範囲:

° FALSE (オフ): 0

° TRUE (オン): 1

ENABLE_TX_TRI 0

表 4-1: Vivado IDE のパラメーターとユーザー パラメーターの対応表 (続き)

Vivado IDE のパラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

Page 40: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 40PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

Enable Ports to Connect Multiple Interfaces

範囲:

° FALSE (オフ): 0

° TRUE (オン): 1

EN_MULTI_INTF_PORTS 0

bus dir1

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE0_PIN0_BUS_DIR RX

bus dir2

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE0_PIN1_BUS_DIR RX

bus dir3

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE0_PIN2_BUS_DIR RX

bus dir4

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE0_PIN3_BUS_DIR RX

bus dir5

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE0_PIN4_BUS_DIR RX

bus dir6

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE0_PIN5_BUS_DIR RX

bus dir7

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE0_PIN6_BUS_DIR RX

表 4-1: Vivado IDE のパラメーターとユーザー パラメーターの対応表 (続き)

Vivado IDE のパラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

Page 41: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 41PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

bus dir8

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE0_PIN7_BUS_DIR RX

bus dir9

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE0_PIN8_BUS_DIR RX

bus dir10

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE0_PIN9_BUS_DIR RX

bus dir11

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE0_PIN10_BUS_DIR RX

bus dir12

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE0_PIN11_BUS_DIR RX

bus dir13

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE0_PIN12_BUS_DIR RX

Data Strobe/Clock1

範囲:

° Data

° Strobe/Clock

° Clk Fwd: Clk Fwd

BYTE0_PIN0_DATA_STROBE Data

Data Strobe/Clock2

範囲:

° Data

° Strobe/Clock

° Clk Fwd: Clk Fwd

BYTE0_PIN1_DATA_STROBE Data

表 4-1: Vivado IDE のパラメーターとユーザー パラメーターの対応表 (続き)

Vivado IDE のパラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

Page 42: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 42PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

Data Strobe/Clock3

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE0_PIN2_DATA_STROBE Data

Data Strobe/Clock4

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE0_PIN3_DATA_STROBE Data

Data Strobe/Clock5

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE0_PIN4_DATA_STROBE Data

Data Strobe/Clock6

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE0_PIN5_DATA_STROBE Data

Data Strobe/Clock7

範囲:

° Data

° Strobe/Clock

° Clk Fwd: Clk Fwd

BYTE0_PIN6_DATA_STROBE Data

Data Strobe/Clock8

範囲:

° Data

° Strobe/Clock

° Clk Fwd: Clk Fwd

BYTE0_PIN7_DATA_STROBE Data

Data Strobe/Clock9

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE0_PIN8_DATA_STROBE Data

Data Strobe/Clock10

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE0_PIN9_DATA_STROBE Data

Data Strobe/Clock11

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE0_PIN10_DATA_STROBE Data

Data Strobe/Clock12

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE0_PIN11_DATA_STROBE Data

表 4-1: Vivado IDE のパラメーターとユーザー パラメーターの対応表 (続き)

Vivado IDE のパラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

Page 43: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 43PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

Data Strobe/Clock13

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE0_PIN12_DATA_STROBE Data

Bus Sig Type1

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE0_PIN0_SIG_TYPE Single-ended

Bus Sig Type2

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE0_PIN1_SIG_TYPE Single-ended

Bus Sig Type3

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE0_PIN2_SIG_TYPE Single-ended

Bus Sig Type4

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE0_PIN3_SIG_TYPE Single-ended

Bus Sig Type5

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE0_PIN4_SIG_TYPE Single-ended

Bus Sig Type6

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE0_PIN5_SIG_TYPE Single-ended

Bus Sig Type7

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE0_PIN6_SIG_TYPE Single-ended

Bus Sig Type8

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE0_PIN7_SIG_TYPE Single-ended

Bus Sig Type9

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE0_PIN8_SIG_TYPE Single-ended

表 4-1: Vivado IDE のパラメーターとユーザー パラメーターの対応表 (続き)

Vivado IDE のパラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

Page 44: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 44PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

Bus Sig Type10

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE0_PIN9_SIG_TYPE Single-ended

Bus Sig Type11

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE0_PIN10_SIG_TYPE Single-ended

Bus Sig Type12

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE0_PIN11_SIG_TYPE Single-ended

Bus Sig Type13

範囲: Single-ended: SINGLEBYTE0_PIN12_SIG_TYPE Single-ended

Byte Group0 Pin Selection1

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE0_PIN0 FALSE

Byte Group0 Pin Selection2

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE0_PIN1 FALSE

Byte Group0 Pin Selection3

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE0_PIN2 FALSE

Byte Group0 Pin Selection4

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE0_PIN3 FALSE

Byte Group0 Pin Selection5

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE0_PIN4 FALSE

Byte Group0 Pin Selection6

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE0_PIN5 FALSE

Byte Group0 Pin Selection7

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE0_PIN6 FALSE

表 4-1: Vivado IDE のパラメーターとユーザー パラメーターの対応表 (続き)

Vivado IDE のパラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

Page 45: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 45PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

Byte Group0 Pin Selection8

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE0_PIN7 FALSE

Byte Group0 Pin Selection9

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE0_PIN8 FALSE

Byte Group0 Pin Selection10

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE0_PIN9 FALSE

Byte Group0 Pin Selection11

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE0_PIN10 FALSE

Byte Group0 Pin Selection12

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE0_PIN11 FALSE

Byte Group0 Pin Selection13

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE0_PIN12 FALSE

Byte Group0 Signal Name1 BYTE0_PIN0_SIGNAL_NAME bg0_pin0

Byte Group0 Signal Name2 BYTE0_PIN1_SIGNAL_NAME bg0_pin1

Byte Group0 Signal Name3 BYTE0_PIN2_SIGNAL_NAME bg0_pin2

Byte Group0 Signal Name4 BYTE0_PIN3_SIGNAL_NAME bg0_pin3

Byte Group0 Signal Name5 BYTE0_PIN4_SIGNAL_NAME bg0_pin4

Byte Group0 Signal Name6 BYTE0_PIN5_SIGNAL_NAME bg0_pin5

Byte Group0 Signal Name7 BYTE0_PIN6_SIGNAL_NAME bg0_pin6

Byte Group0 Signal Name8 BYTE0_PIN7_SIGNAL_NAME bg0_pin7

Byte Group0 Signal Name9 BYTE0_PIN8_SIGNAL_NAME bg0_pin8

Byte Group0 Signal Name10 BYTE0_PIN9_SIGNAL_NAME bg0_pin9

Byte Group0 Signal Name11 BYTE0_PIN10_SIGNAL_NAME bg0_pin10

Byte Group0 Signal Name12 BYTE0_PIN11_SIGNAL_NAME bg0_pin11

Byte Group0 Signal Name13 BYTE0_PIN12_SIGNAL_NAME bg0_pin12

表 4-1: Vivado IDE のパラメーターとユーザー パラメーターの対応表 (続き)

Vivado IDE のパラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

Page 46: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 46PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

bus dir1

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE1_PIN0_BUS_DIR RX

bus dir2

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE1_PIN1_BUS_DIR RX

bus dir3

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE1_PIN2_BUS_DIR RX

bus dir4

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE1_PIN3_BUS_DIR RX

bus dir5

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE1_PIN4_BUS_DIR RX

bus dir6

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE1_PIN5_BUS_DIR RX

bus dir7

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE1_PIN6_BUS_DIR RX

bus dir8

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE1_PIN7_BUS_DIR RX

表 4-1: Vivado IDE のパラメーターとユーザー パラメーターの対応表 (続き)

Vivado IDE のパラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

Page 47: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 47PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

bus dir9

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE1_PIN8_BUS_DIR RX

bus dir10

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE1_PIN9_BUS_DIR RX

bus dir11

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE1_PIN10_BUS_DIR RX

bus dir12

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE1_PIN11_BUS_DIR RX

bus dir13

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE1_PIN12_BUS_DIR RX

Data Strobe/Clock1

範囲:

° Data

° Strobe/Clock

° Clk Fwd: Clk Fwd

BYTE1_PIN0_DATA_STROBE Data

Data Strobe/Clock2

範囲:

° Data

° Strobe/Clock

° Clk Fwd: Clk Fwd

BYTE1_PIN1_DATA_STROBE Data

Data Strobe/Clock3

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE1_PIN2_DATA_STROBE Data

表 4-1: Vivado IDE のパラメーターとユーザー パラメーターの対応表 (続き)

Vivado IDE のパラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

Page 48: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 48PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

Data Strobe/Clock4

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE1_PIN3_DATA_STROBE Data

Data Strobe/Clock5

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE1_PIN4_DATA_STROBE Data

Data Strobe/Clock6

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE1_PIN5_DATA_STROBE Data

Data Strobe/Clock7

範囲:

° Data

° Strobe/Clock

° Clk Fwd: Clk Fwd

BYTE1_PIN6_DATA_STROBE Data

Data Strobe/Clock8

範囲:

° Data

° Strobe/Clock

° Clk Fwd: Clk Fwd

° Input Clock: 入力クロ ッ ク

BYTE1_PIN7_DATA_STROBE Data

Data Strobe/Clock9

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE1_PIN8_DATA_STROBE Data

Data Strobe/Clock10

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE1_PIN9_DATA_STROBE Data

Data Strobe/Clock11

範囲:

° Data

° Clk Fwd: Clk Fwd

° Input Clock: 入力クロ ッ ク

BYTE1_PIN10_DATA_STROBE Data

Data Strobe/Clock12

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE1_PIN11_DATA_STROBE Data

表 4-1: Vivado IDE のパラメーターとユーザー パラメーターの対応表 (続き)

Vivado IDE のパラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

Page 49: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 49PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

Data Strobe/Clock13

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE1_PIN12_DATA_STROBE Data

Bus Sig Type1

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE1_PIN0_SIG_TYPE Single-ended

Bus Sig Type2

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE1_PIN1_SIG_TYPE Single-ended

Bus Sig Type3

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE1_PIN2_SIG_TYPE Single-ended

Bus Sig Type4

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE1_PIN3_SIG_TYPE Single-ended

Bus Sig Type5

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE1_PIN4_SIG_TYPE Single-ended

Bus Sig Type6

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE1_PIN5_SIG_TYPE Single-ended

Bus Sig Type7

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE1_PIN6_SIG_TYPE Single-ended

Bus Sig Type8

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE1_PIN7_SIG_TYPE Single-ended

Bus Sig Type9

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE1_PIN8_SIG_TYPE Single-ended

表 4-1: Vivado IDE のパラメーターとユーザー パラメーターの対応表 (続き)

Vivado IDE のパラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

Page 50: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 50PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

Bus Sig Type10

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE1_PIN9_SIG_TYPE Single-ended

Bus Sig Type11

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE1_PIN10_SIG_TYPE Single-ended

Bus Sig Type12

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE1_PIN11_SIG_TYPE Single-ended

Bus Sig Type13

範囲: Single-ended: SINGLEBYTE1_PIN12_SIG_TYPE Single-ended

Byte group1 Pin Selection1

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE1_PIN0 FALSE

Byte group1 Pin Selection2

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE1_PIN1 FALSE

Byte group1 Pin Selection3

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE1_PIN2 FALSE

Byte group1 Pin Selection4

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE1_PIN3 FALSE

Byte group1 Pin Selection5

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE1_PIN4 FALSE

Byte group1 Pin Selection6

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE1_PIN5 FALSE

Byte group1 Pin Selection7

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE1_PIN6 FALSE

表 4-1: Vivado IDE のパラメーターとユーザー パラメーターの対応表 (続き)

Vivado IDE のパラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

Page 51: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 51PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

Byte group1 Pin Selection8

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE1_PIN7 FALSE

Byte group1 Pin Selection9

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE1_PIN8 FALSE

Byte group1 Pin Selection10

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE1_PIN9 FALSE

Byte group1 Pin Selection11

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE1_PIN10 FALSE

Byte group1 Pin Selection12

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE1_PIN11 FALSE

Byte group1 Pin Selection13

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE1_PIN12 FALSE

Byte Group1 Signal Name1 BYTE1_PIN0_SIGNAL_NAME bg1_pin0

Byte Group1 Signal Name2 BYTE1_PIN1_SIGNAL_NAME bg1_pin1

Byte Group1 Signal Name3 BYTE1_PIN2_SIGNAL_NAME bg1_pin2

Byte Group1 Signal Name4 BYTE1_PIN3_SIGNAL_NAME bg1_pin3

Byte Group1 Signal Name5 BYTE1_PIN4_SIGNAL_NAME bg1_pin4

Byte Group1 Signal Name6 BYTE1_PIN5_SIGNAL_NAME bg1_pin5

Byte Group1 Signal Name7 BYTE1_PIN6_SIGNAL_NAME bg1_pin6

Byte Group1 Signal Name8 BYTE1_PIN7_SIGNAL_NAME bg1_pin7

Byte Group1 Signal Name9 BYTE1_PIN8_SIGNAL_NAME bg1_pin8

Byte Group1 Signal Name10 BYTE1_PIN9_SIGNAL_NAME bg1_pin9

Byte Group1 Signal Name11 BYTE1_PIN10_SIGNAL_NAME bg1_pin10

Byte Group1 Signal Name12 BYTE1_PIN11_SIGNAL_NAME bg1_pin11

Byte Group1 Signal Name13 BYTE1_PIN12_SIGNAL_NAME bg1_pin12

表 4-1: Vivado IDE のパラメーターとユーザー パラメーターの対応表 (続き)

Vivado IDE のパラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

Page 52: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 52PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

bus dir1

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE2_PIN0_BUS_DIR RX

bus dir2

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE2_PIN1_BUS_DIR RX

bus dir3

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE2_PIN2_BUS_DIR RX

bus dir4

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE2_PIN3_BUS_DIR RX

bus dir5

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE2_PIN4_BUS_DIR RX

bus dir6

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE2_PIN5_BUS_DIR RX

bus dir7

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE2_PIN6_BUS_DIR RX

bus dir8

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE2_PIN7_BUS_DIR RX

表 4-1: Vivado IDE のパラメーターとユーザー パラメーターの対応表 (続き)

Vivado IDE のパラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

Page 53: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 53PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

bus dir9

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE2_PIN8_BUS_DIR RX

bus dir10

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE2_PIN9_BUS_DIR RX

bus dir11

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE2_PIN10_BUS_DIR RX

bus dir12

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE2_PIN11_BUS_DIR RX

bus dir13

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE2_PIN12_BUS_DIR RX

Data Strobe/Clock1

範囲:

° Data

° Strobe/Clock

° Clk Fwd: Clk Fwd

° Input Clock: 入力クロ ッ ク

BYTE2_PIN0_DATA_STROBE Data

Data Strobe/Clock2

範囲:

° Data

° Strobe/Clock

° Clk Fwd: Clk Fwd

BYTE2_PIN1_DATA_STROBE Data

Data Strobe/Clock3

範囲:

° Data

° Clk Fwd: Clk Fwd

° Input Clock: 入力クロ ッ ク

BYTE2_PIN2_DATA_STROBE Data

表 4-1: Vivado IDE のパラメーターとユーザー パラメーターの対応表 (続き)

Vivado IDE のパラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

Page 54: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 54PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

Data Strobe/Clock4

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE2_PIN3_DATA_STROBE Data

Data Strobe/Clock5

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE2_PIN4_DATA_STROBE Data

Data Strobe/Clock6

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE2_PIN5_DATA_STROBE Data

Data Strobe/Clock7

範囲:

° Data

° Strobe/Clock

° Clk Fwd: Clk Fwd

BYTE2_PIN6_DATA_STROBE Data

Data Strobe/Clock8

範囲:

° Data

° Strobe/Clock

° Clk Fwd: Clk Fwd

BYTE2_PIN7_DATA_STROBE Data

Data Strobe/Clock9

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE2_PIN8_DATA_STROBE Data

Data Strobe/Clock10

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE2_PIN9_DATA_STROBE Data

Data Strobe/Clock11

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE2_PIN10_DATA_STROBE Data

Data Strobe/Clock12

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE2_PIN11_DATA_STROBE Data

Data Strobe/Clock13

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE2_PIN12_DATA_STROBE Data

表 4-1: Vivado IDE のパラメーターとユーザー パラメーターの対応表 (続き)

Vivado IDE のパラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

Page 55: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 55PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

Bus Sig Type1

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE2_PIN0_SIG_TYPE Single-ended

Bus Sig Type2

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE2_PIN1_SIG_TYPE Single-ended

Bus Sig Type3

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE2_PIN2_SIG_TYPE Single-ended

Bus Sig Type4

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE2_PIN3_SIG_TYPE Single-ended

Bus Sig Type5

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE2_PIN4_SIG_TYPE Single-ended

Bus Sig Type6

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE2_PIN5_SIG_TYPE Single-ended

Bus Sig Type7

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE2_PIN6_SIG_TYPE Single-ended

Bus Sig Type8

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE2_PIN7_SIG_TYPE Single-ended

Bus Sig Type9

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE2_PIN8_SIG_TYPE Single-ended

Bus Sig Type10

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE2_PIN9_SIG_TYPE Single-ended

表 4-1: Vivado IDE のパラメーターとユーザー パラメーターの対応表 (続き)

Vivado IDE のパラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

Page 56: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 56PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

Bus Sig Type11

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE2_PIN10_SIG_TYPE Single-ended

Bus Sig Type12

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE2_PIN11_SIG_TYPE Single-ended

Bus Sig Type13

範囲: Single-ended: SINGLEBYTE2_PIN12_SIG_TYPE Single-ended

Byte group2 Pin Selection1

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE2_PIN0 FALSE

Byte group2 Pin Selection2

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE2_PIN1 FALSE

Byte group2 Pin Selection3

範囲:

° TRUE (オン)

° FALSE

ENABLE_BYTE2_PIN2 FALSE

Byte group2 Pin Selection4

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE2_PIN3 FALSE

Byte group2 Pin Selection5

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE2_PIN4 FALSE

Byte group2 Pin Selection6

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE2_PIN5 FALSE

Byte group2 Pin Selection7

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE2_PIN6 FALSE

Byte group2 Pin Selection8

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE2_PIN7 FALSE

表 4-1: Vivado IDE のパラメーターとユーザー パラメーターの対応表 (続き)

Vivado IDE のパラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

Page 57: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 57PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

Byte group2 Pin Selection9

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE2_PIN8 FALSE

Byte group2 Pin Selection10

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE2_PIN9 FALSE

Byte group2 Pin Selection11

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE2_PIN10 FALSE

Byte group2 Pin Selection12

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE2_PIN11 FALSE

Byte group2 Pin Selection13

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE2_PIN12 FALSE

Byte Group2 Signal Name1 BYTE2_PIN0_SIGNAL_NAME bg2_pin0

Byte Group2 Signal Name2 BYTE2_PIN1_SIGNAL_NAME bg2_pin1

Byte Group2 Signal Name3 BYTE2_PIN2_SIGNAL_NAME bg2_pin2

Byte Group2 Signal Name4 BYTE2_PIN3_SIGNAL_NAME bg2_pin3

Byte Group2 Signal Name5 BYTE2_PIN4_SIGNAL_NAME bg2_pin4

Byte Group2 Signal Name6 BYTE2_PIN5_SIGNAL_NAME bg2_pin5

Byte Group2 Signal Name7 BYTE2_PIN6_SIGNAL_NAME bg2_pin6

Byte Group2 Signal Name8 BYTE2_PIN7_SIGNAL_NAME bg2_pin7

Byte Group2 Signal Name9 BYTE2_PIN8_SIGNAL_NAME bg2_pin8

Byte Group2 Signal Name10 BYTE2_PIN9_SIGNAL_NAME bg2_pin9

Byte Group2 Signal Name11 BYTE2_PIN10_SIGNAL_NAME bg2_pin10

Byte Group2 Signal Name12 BYTE2_PIN11_SIGNAL_NAME bg2_pin11

Byte Group2 Signal Name13 BYTE2_PIN12_SIGNAL_NAME bg2_pin12

bus dir1

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE3_PIN0_BUS_DIR RX

表 4-1: Vivado IDE のパラメーターとユーザー パラメーターの対応表 (続き)

Vivado IDE のパラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

Page 58: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 58PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

bus dir2

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE3_PIN1_BUS_DIR RX

bus dir3

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE3_PIN2_BUS_DIR RX

bus dir4

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE3_PIN3_BUS_DIR RX

bus dir5

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE3_PIN4_BUS_DIR RX

bus dir6

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE3_PIN5_BUS_DIR RX

bus dir7

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE3_PIN6_BUS_DIR RX

bus dir8

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE3_PIN7_BUS_DIR RX

bus dir9

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE3_PIN8_BUS_DIR RX

表 4-1: Vivado IDE のパラメーターとユーザー パラメーターの対応表 (続き)

Vivado IDE のパラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

Page 59: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 59PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

bus dir10

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE3_PIN9_BUS_DIR RX

bus dir11

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE3_PIN10_BUS_DIR RX

bus dir12

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE3_PIN11_BUS_DIR RX

bus dir13

範囲:

° TX

° RX

° BIDIR (ベータ )

BYTE3_PIN12_BUS_DIR RX

Data Strobe/Clock1

範囲:

° Data

° Strobe/Clock

° Clk Fwd: Clk Fwd

BYTE3_PIN0_DATA_STROBE Data

Data Strobe/Clock2

範囲:

° Data

° Strobe/Clock

° Clk Fwd: Clk Fwd

BYTE3_PIN1_DATA_STROBE Data

Data Strobe/Clock3

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE3_PIN2_DATA_STROBE Data

Data Strobe/Clock4

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE3_PIN3_DATA_STROBE Data

Data Strobe/Clock5

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE3_PIN4_DATA_STROBE Data

表 4-1: Vivado IDE のパラメーターとユーザー パラメーターの対応表 (続き)

Vivado IDE のパラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

Page 60: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 60PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

Data Strobe/Clock6

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE3_PIN5_DATA_STROBE Data

Data Strobe/Clock7

範囲:

° Data

° Strobe/Clock

° Clk Fwd: Clk Fwd

BYTE3_PIN6_DATA_STROBE Data

Data Strobe/Clock8

範囲:

° Data

° Strobe/Clock

° Clk Fwd: Clk Fwd

BYTE3_PIN7_DATA_STROBE Data

Data Strobe/Clock9

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE3_PIN8_DATA_STROBE Data

Data Strobe/Clock10

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE3_PIN9_DATA_STROBE Data

Data Strobe/Clock11

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE3_PIN10_DATA_STROBE Data

Data Strobe/Clock12

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE3_PIN11_DATA_STROBE Data

Data Strobe/Clock13

範囲:

° Data

° Clk Fwd: Clk Fwd

BYTE3_PIN12_DATA_STROBE Data

Bus Sig Type1

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE3_PIN0_SIG_TYPE Single-ended

Bus Sig Type2

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE3_PIN1_SIG_TYPE Single-ended

表 4-1: Vivado IDE のパラメーターとユーザー パラメーターの対応表 (続き)

Vivado IDE のパラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

Page 61: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 61PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

Bus Sig Type3

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE3_PIN2_SIG_TYPE Single-ended

Bus Sig Type4

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE3_PIN3_SIG_TYPE Single-ended

Bus Sig Type5

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE3_PIN4_SIG_TYPE Single-ended

Bus Sig Type6

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE3_PIN5_SIG_TYPE Single-ended

Bus Sig Type7

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE3_PIN6_SIG_TYPE Single-ended

Bus Sig Type8

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE3_PIN7_SIG_TYPE Single-ended

Bus Sig Type9

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE3_PIN8_SIG_TYPE Single-ended

Bus Sig Type10

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE3_PIN9_SIG_TYPE Single-ended

Bus Sig Type11

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE3_PIN10_SIG_TYPE Single-ended

Bus Sig Type12

範囲:

° Differential: DIFF

° Single-ended: SINGLE

BYTE3_PIN11_SIG_TYPE Single-ended

Bus Sig Type13

範囲: Single-ended: SINGLEBYTE3_PIN12_SIG_TYPE Single-ended

表 4-1: Vivado IDE のパラメーターとユーザー パラメーターの対応表 (続き)

Vivado IDE のパラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

Page 62: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 62PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

Byte group3 Pin Selection1

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE3_PIN0 FALSE

Byte group3 Pin Selection2

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE3_PIN1 FALSE

Byte group3 Pin Selection3

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE3_PIN2 FALSE

Byte group3 Pin Selection4

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE3_PIN3 FALSE

Byte group3 Pin Selection5

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE3_PIN4 FALSE

Byte group3 Pin Selection6

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE3_PIN5 FALSE

Byte group3 Pin Selection7

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE3_PIN6 FALSE

Byte group3 Pin Selection8

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE3_PIN7 FALSE

Byte group3 Pin Selection9

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE3_PIN8 FALSE

Byte group3 Pin Selection10

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE3_PIN9 FALSE

表 4-1: Vivado IDE のパラメーターとユーザー パラメーターの対応表 (続き)

Vivado IDE のパラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

Page 63: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 63PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

Byte group3 Pin Selection11

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE3_PIN10 FALSE

Byte group3 Pin Selection12

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE3_PIN11 FALSE

Byte group3 Pin Selection13

範囲:

° TRUE (オン)

° FALSE (オフ)

ENABLE_BYTE3_PIN12 FALSE

Byte Group3 Signal Name1 BYTE3_PIN0_SIGNAL_NAME bg3_pin0

Byte Group3 Signal Name2 BYTE3_PIN1_SIGNAL_NAME bg3_pin1

Byte Group3 Signal Name3 BYTE3_PIN2_SIGNAL_NAME bg3_pin2

Byte Group3 Signal Name4 BYTE3_PIN3_SIGNAL_NAME bg3_pin3

Byte Group3 Signal Name5 BYTE3_PIN4_SIGNAL_NAME bg3_pin4

Byte Group3 Signal Name6 BYTE3_PIN5_SIGNAL_NAME bg3_pin5

Byte Group3 Signal Name7 BYTE3_PIN6_SIGNAL_NAME bg3_pin6

Byte Group3 Signal Name8 BYTE3_PIN7_SIGNAL_NAME bg3_pin7

Byte Group3 Signal Name9 BYTE3_PIN8_SIGNAL_NAME bg3_pin8

Byte Group3 Signal Name10 BYTE3_PIN9_SIGNAL_NAME bg3_pin9

Byte Group3 Signal Name11 BYTE3_PIN10_SIGNAL_NAME bg3_pin10

Byte Group3 Signal Name12 BYTE3_PIN11_SIGNAL_NAME bg3_pin11

Byte Group3 Signal Name13 BYTE3_PIN12_SIGNAL_NAME bg3_pin12

INIT VAL1 ~ INIT VAL52

範囲:

° 0

° 1

INIT_VAL 0

表 4-1: Vivado IDE のパラメーターとユーザー パラメーターの対応表 (続き)

Vivado IDE のパラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

Page 64: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 64PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

出力ファイルの生成

コアは、 コア ロジッ ク、 サンプル デザイン、 サンプル テス トベンチ用の Verilog RTL を提供します。

コアのコンフ ィギュレーシ ョ ンが完了して出力ファイルが生成される と、 次のファイルが作成されます。

<ComponentName>.v - ユーザー デザインにインスタンシエート される最上位ラ ッパー

- <ComponentName>_high_speed_selectio_wiz_v3_0.v - 目的のコンフ ィギュレーシ ョ ン向けに適切な HDL パラ メーターを設定する最上位ファイル

- hssio_wiz_top.v - 最上位ファイル

- bs_top.v - ビッ ト スライスおよびビッ ト スライス制御モジュール用の最上位ファイル

- rxtx_bs.v - RXTX_BITSLICE モジュールをインスタンシエートする

- tx_bs_tri.v - TX_BITSLICE_TRI モジュールをインスタンシエートする

- tx_bs.v - TX_BITSLICE モジュールをインスタンシエートする

- rx_bs.v - RX_BITSLICE モジュールをインスタンシエートする

- BitSlipInLogic_Toplevel.v (ビッ ト ス リ ップ動作用のオプシ ョ ン モジュール)

- C2BCEtc.v

- C2BCEtc_dwnld.v

- C3BCEtc.v

- C3BCEtc_dwnld.v

- Fdcr.v

- GenPulse.v

- BitSlipInLogic_4b.v

- BitSlipInLogic_8b.v

- BitSlipInLogic_FstCmp_4b.v

- BitSlipInLogic_FstCmp_8b.v

- clk_rst_top.v - ク ロ ッ クおよびリセッ ト モジュールをインスタンシエートする

- rst_scheme.v - リセッ ト ロジッ ク

- clk_scheme.v - ク ロ ッキング ロジッ ク - PLL をインスタンシエートする

- bs_ctrl_top.v - BITSLICE_CONTROL モジュールをインスタンシエートする

- iobuf_top.v - すべてのクロ ッ クおよびデータ ピンに対して I/O バッファーをインスタンシエートする

IP のサンプル デザイン プロジェク トが開いている場合、 <ip_ex_inst> という コア名の別のコア インスタンスが <ComponentName>_exdes.v にインスタンシエート されます。 サンプル デザインのシ ミ ュレーシ ョ ンでは、<ComponentName>_tb.v テス ト ベンチ ファ イルが生成されます。

Page 65: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 65PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

コアへの制約

こ こでは、 Vivado Design Suite でコアに制約を指定する方法について説明します。

必須の制約

「コンフ ィギュレーシ ョ ンの設定 — [Basic] タブ」 の 「[I/O Standard]」 を参照して ください。

デバイス、 パッケージ、 スピード グレードの選択

入力クロ ッ ク周波数は、 BUFG によってサポート される最大周波数に応じて選択します。 デバイス、 パッケージおよびスピード グレードを選択する際は、 サポート される最大周波数を次の各データシートで確認して ください。

• 『Kintex UltraScale FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS892) [参照 2]

• 『Virtex UltraScale FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS893) [参照 3]

• 『Kintex UltraScale+ FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS922) [参照 4]

クロック周波数

このコアの I/O ロジッ クは 300 ~ 800Mb/s の範囲で動作します。 このコアの汎用インターコネク ト ロジッ クは最大 200Mb/s で動作します。

XiPHY への入力を駆動する信号でタイ ミ ング違反が発生する場合は、 AR 67104 で PLL ク ロ ッ クの制約に関する情報を確認してください。

クロック管理

すべてのクロ ッ クは PLL を使用して生成されます。

重要: コア ロジッ クへは、 PLL から出力される分周クロ ッ クを供給する必要があ り ます。

クロック配置

入力クロ ッ クは、 *_GC_* という名前のグローバル ク ロ ッ ク ピンに配置するこ とができます。 Edge DDR/Center DDR の場合、 クロ ッ クは *_GC_QBC* ピンにのみ配置されます。

バンク設定

このコアを使用して、 I/O 回路を HR (High Range) および HP (High Performance) バンク用に構成できます。プロジェクトのデバイスで利用可能なバンクは、 Vivado IDE で提供される リ ス トから選択します。 詳細は、 「コアのカスタマイズおよび生成」 を参照してください。

ト ランシーバーの配置

このコアには、 ト ランシーバーの配置の制約はあ り ません。

Page 66: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 66PG188 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: デザイン フローの手順

I/O 規格と配置

注記: ウ ィザードは、 RX または BIDIR (ベータ ) バス コンフ ィギュレーシ ョ ンでのス ト ローブ伝搬用に一部のビッ トスライスを推論できます。 これらは、 次のコ メン トの下に表示されます。

############# I/O constraints for wizard inferred RX bitslice #######################

これらのビッ ト スライスには、 シングルエンド I/O 規格しか適用できません。 コンフ ィギュレーシ ョ ン設定で差動ピンのみを選択した場合、 推論された RX ビッ ト スライスに対して適切なシングルエンド I/O 規格が XDC ファ イルで設定されているこ とを確認します。

サポート される I/O 規格の詳細は、 『UltraScale アーキテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571) [参照 1] を参照してください。

シミ ュレーシ ョ ン

Vivado シ ミ ュレーシ ョ ン コンポーネン トについて、 またサポート されているサードパーティ ツールについては、『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 8] を参照してください。

合成およびインプリ メンテーシ ョ ン

合成およびインプ リ メンテーシ ョ ンの詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 6] を参照して ください。

Page 67: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 67PG188 2017 年 10 月 4 日 japan.xilinx.com

第 5 章

サンプル デザインこの章では、 Vivado® Design Suite で提供されているサンプル デザインについて説明します。

このコアは、 1 つのコア インスタンス と 1 つのサンプル インスタンスを使用するサンプル デザインを提供します。IP インスタンスのコンフ ィギュレーシ ョ ンされた各ピンについて、 対応するサンプル デザインが生成されます。ビッ ト ス リ ップが有効の場合は、 RX でデータを揃えるために、 あらかじめ定義された ト レーニング パターンが比較されます。 データが揃えられる と、 ビッ ト スライスごとに、 8 ビッ ト シ リアライズの場合は 0xA5 および 0x5A パターンが送信され、 4 ビッ ト シ リ アライズの場合は 0x5 および 0xA パターンが送信されます。 ビッ ト ス リ ップが有効でない場合、 RX ビッ ト スラ イスからのデータは揃えられていないデータ とな り、 サンプル デザインによって既知の TX データ パターンについて RX のあらゆる有効なデータがチェッ ク されます。 パターンが一致した場合、data_check_complete 出力がサンプル デザインからアサート されます。

X-Ref Target - Figure 5-1

図 5-1: サンプル デザインのブロック図

X14110

Page 68: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 68PG188 2017 年 10 月 4 日 japan.xilinx.com

第 5 章: サンプル デザイン

表 5-1: DUT とパートナー IP のコンフ ィギュレーシ ョ ン

DUT のコンフ ィギュレーシ ョ ン パートナー IP のコンフ ィギュレーシ ョ ン

ク ロ ッ ク フォワード ピンなしの TX

シ リ アル モードの RX。 シ リ アル モードの RX ではデータ キャプチャ ロジッ クがサンプル デザインに含まれないため、このコンフ ィギュレーシ ョ ンでのシ ミ ュレーシ ョ ンは保証されません。

ク ロ ッ ク フォワード ピンを使用する TX、ク ロ ッ ク フォワード位相 = 0

Edge DDR モードの RX

ク ロ ッ ク フォワード ピンを使用する TX、ク ロ ッ ク フォワード位相 = 90

Center DDR モードの RX

RX – Edge DDR/Center DDR ク ロ ッ ク フォワード ピンを使用する TX

RX – Edge DDR Strobe ク ロ ッ ク フォワード ピンを使用する TX、ク ロ ッ ク フォワード位相 = 0

RX – Center DDR Strobe ク ロ ッ ク フォワード ピンを使用する TX、ク ロ ッ ク フォワード位相 = 90

TX、 RX および BIDIR (ベータ ) の混合 表 5-2 に示すコンフ ィギュレーシ ョ ンでのみサポート される

クロ ッ ク フォワード ピンなしの TX、600Mb/s 未満のインターフェイス速度

サポート されない

表 5-2: TX、 RX および BIDIR (ベータ ) ピンを組み合わせて使用するサンプル デザインでサポート される DUT のコンフ ィギュレーシ ョ ン

モード TX/BIDIR ピン (最大) RX/BIDIR ピン (最大) 備考

TX + RX

BIDIR + TX または

BIDIR + RX

26 26 パートナーのバイ ト グループの境界で分割

39 13 パートナーのバイ ト グループの境界で分割

13 39 パートナーのバイ ト グループの境界で分割

表 5-3: TX、 RX および BIDIR ピンを使用するサンプル デザインでサポート される DUT のコンフ ィギュレーシ ョ ン

モード TX ピン (最大) RX ピン (最大) BIDIR ピン (最大) 備考

TX + RX +

BIDIR

26 13 13 パートナーのバイ ト グループの境界で分割

13 13 26 パートナーのバイ ト グループの境界で分割

13 26 13 パートナーのバイ ト グループの境界で分割

Page 69: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 69PG188 2017 年 10 月 4 日 japan.xilinx.com

第 6 章

テストベンチこの章では、 Vivado® Design Suite で提供されているテス トベンチについて説明します。 このテス トベンチは、 サンプル デザインと コアを動作させるこ とを目的と したシンプルな Verilog コードです。 テス トベンチは、 次のタスクを実行します。

• 入力クロ ッ ク信号を生成します。

• サンプル デザインに対して リセッ ト を適用します。

• サンプル デザインの RX および TX インターフェイスがループバッ ク されます。 同様に IP BIDIR (ベータ ) バスとサンプル デザイン BIDIR バスがループバッ ク されます。 波形は、 1 ピンの TX/RX ループバッ クについてのものです。

• 図 6-1 に示すよ うに、 RX と TX のパターンが一致した場合、 テス トベンチはテス トが成功したこ とを示すメ ッセージを送信します。 一致しなかった場合は、 入力クロ ッ クの 16,000 サイクル間待機し、 テス ト エラー メ ッセージを送信します。

• ソース同期インターフェイスについて TX ピンのデータが揃えられているかど うかをチェッ ク します。

X-Ref Target - Figure 6-1

図 6-1: テストベンチの波形

X18791-021617

Page 70: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 70PG188 2017 年 10 月 4 日 japan.xilinx.com

付録 A

検証、 互換性、 相互運用性この付録では、 この IP コアが設計どおりプロ ト コル仕様に適合しているこ とをどのよ うな方法で検証したかについて記載します。

シミ ュレーシ ョ ン

このコアは、 Incisive Enterprise Simulator (IES)、 Verilog Compiler Simulator (VCS)、 Mentor Graphics Questa Advanced Simulator、 および XSIM シ ミ ュレータを使用して検証されています。

ハードウェア テスト

ハードウェア テス トは、 KCU105 プラ ッ ト フォームで実施されています。 RX および TX コンフ ィギュレーシ ョ ンは、 MicroBlaze™ プロセッサ システムで TX 用データ パターンを生成して RX でのデータをチェッ ク しています。TX および RX ピンは、 オンボードの HPC コネクタに取り付けられた FMC 107 ループバッ ク カードに接続されています。 テス トはサポート されているデータ速度の範囲で実施しています。

Page 71: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 71PG188 2017 年 10 月 4 日 japan.xilinx.com

付録 B

アップグレードコアの移行およびアップグレードは、 v3.0 バージ ョ ンのコアからサポート されています。 v3.0 よ り も前のバージ ョンからのアップグレードはサポート されていません。 前のバージ ョ ンからのコア アップグレードに関しては、 AR 64216 を参照してください。

Vivado Design Suite でのアップグレード

このセクシ ョ ンでは、 コア バージ ョ ン間でのユーザー ロジッ クまたはポートの変更点について説明します。

v3.1 から v3.2 への変更点

追加されたポート

• clock_from_ibuf

• app_clk

• intf_rdy

• multi_intf_lock_in

その他の変更点

次のポートについて、 ク ロ ッ ク ド メ インが pll0_clkout0 から pll0_clkout0 または app_clk にアップデート されました。

• data_from_fabric_<sig_name>_<y> [sf -1:0]

• data_ti_fabric_<sig_name>_<y> [sf -1:0]

• tri_tbyte<n>[3:0]

• tri_t<i>

• fifo_empty_<i>

• fifo_rd_en_<i>

• fifo_rd_clk_<i>

Page 72: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 72PG188 2017 年 10 月 4 日 japan.xilinx.com

付録 C

デバッグこの付録では、 ザイ リ ンクス サポート ウェブサイ ト よ り入手可能な リ ソースおよびデバッグ ツールについて説明します。

ザイリンクス ウェブサイ ト

High Speed SelectIO Wizard を使用した設計およびデバッグでヘルプが必要な場合は、 ザイ リ ンクス サポート ウェブ ページから製品の資料、 リ リース ノート、 アンサーなどを参照するか、 テクニカル サポートでサービス リ クエス トを作成してください。

資料

この製品ガイ ドは High Speed SelectIO Wizard に関する主要資料です。 このガイ ド、 並びに設計プロセスで使用する各製品の関連資料はすべて、 ザイ リ ンクス サポート ウェブ ページ (http://japan.xilinx.com/support) または Xilinx Documentation Navigator から入手できます。

Xilinx Documentation Navigator は、 ダウンロード ページからダウンロードできます。 このツールの詳細および機能は、 インス トール後にオンライン ヘルプを参照してください。

アンサー アンサーには、 よ く発生する問題についてその解決方法、 およびザイ リ ンクス製品に関する既知の問題などの情報が記載されています。 アンサーは、 ユーザーが該当製品の最新情報にアクセスできるよ う作成および管理されています。

このコアに関するアンサーの検索には、 ザイ リ ンクス サポート ウェブ ページにある検索ボッ クスを使用します。 より的確な検索結果を得るには、 次のよ うなキーワードを使用してください。

• 製品名

• ツールで表示される メ ッセージ

• 問題の概要

マスター アンサー レコード64216

Page 73: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 73PG188 2017 年 10 月 4 日 japan.xilinx.com

付録 C: デバッグ

テクニカル サポート

ザイ リ ンクスは、 製品資料の説明に従って使用されている LogiCORE™ IP 製品に対するテクニカル サポート をザイリ ンクス サポート ウェブ ページで提供しています。 ただし、 次に該当する場合、 タイ ミ ング、 機能、 サポートは保証されません。

• 資料で定義されていないデバイスにソ リ ューシ ョ ンをインプリ メン ト した場合。

• 資料で定義されている許容範囲を超えてカスタマイズした場合。

• 「DO NOT MODIFY」 と されているデザイン セクシ ョ ンに変更を加えた場合。

ザイ リ ンクス テクニカル サポートへのお問い合わせは、 ザイ リ ンクス サポート ウェブ ページを参照してください。

Vivado Design Suite のデバッグ機能

Vivado® Design Suite のデバッグ機能は、Logic Analyzer および Virtual I/O コアをユーザー デザインに直接挿入します。デバッグ機能を使用する と、 ト リ ガー条件を設定して、 アプリ ケーシ ョ ンおよび統合ブロ ッ クのポート信号をハードウェアに取り込むこ とができます。 取り込まれた信号は、 その後解析できます。 Vivado IDE のこの機能は、 ザイ リ ンクス デバイスで実行されるデザインの論理デバッグおよび検証に使用されます。

Vivado ロジッ ク解析は次の IP ロジッ ク デバッグ コアと共に使用されます。

• ILA (Integrated Logic Analyzer) 2.0 (およびそれ以降のバージ ョ ン)

• VIO (Virtual Input/Output) 2.0 (およびそれ以降のバージ ョ ン)

詳細は、 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) [参照 10] を参照してください。

リファレンス ボード

High Speed SelectIO Wizardはさまざまなザイ リ ンクス開発ボードでサポート されています。 これらのボードを使用してデザインのプロ ト タイプを作成し、 コアがシステムと通信できるよ うにします。 UltraScale™ アーキテクチャ評価ボード KCU105 がサポート されています。

Page 74: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 74PG188 2017 年 10 月 4 日 japan.xilinx.com

付録 C: デバッグ

ハードウェア デバッグ

ハードウェアの問題は、 リ ンク立ち上げ時の問題から、 テス ト後に生じる問題までさまざまです。 こ こでは、 一般的な問題のデバッグ手順を説明します。 デバッグ機能は、 ハード ウェア デバッグに有益な リ ソースです。 次の各セクシ ョ ンに示す信号を Vivado のデバッグ機能でプローブするこ とで、 個々の問題をデバッグできます。

コアに対するタイ ミ ング制約がサンプル デザインからすべて適切に取り込まれているこ と、 さ らにインプリ メンテーシ ョ ン時にこれらの制約がすべて満たされているこ とを確認します。

• 配置配線後のタイ ミ ング シ ミ ュレーシ ョ ンで正し く動作しているかを確認します。 タイ ミ ング シ ミ ュレーシ ョンでは発生しない問題がハードウェアで発生する場合、 PCB の問題である可能性があ り ます。 すべてのクロ ック ソースがアクティブでク リーンであるこ とを確認してください。

• rst_seq_done がウ ィザードによってアサート されるこ とを確認します。

• リセッ トのアサート なしで rst_seq_done 信号がト グルするかを確認します。 ト グルする場合、 PLL ロ ッ クの損失または DLY_RDY および VTC_RDY 信号のディアサート を示します。

• PLL ロ ッ ク信号がアサート されるこ とを確認します。

• すべての BITSLICE および BITSLICE_CONTROL 信号に対して、 VTC_RDY と DLY_RDY 信号がアサート されるこ とを確認します。

• デザインの合成およびインプ リ メンテーシ ョ ンが DRC エラーなく完了するこ とを確認します。

• リセッ トのディアサート中に RIU ク ロ ッ クがシステムに存在するこ とを確認します。

• FIFO_EMPTY 信号のステータスをチェッ ク します。

• ビッ ト ス リ ップ ロジッ クが有効の場合、 最上位の rst ピンがアサート されている と きは start_bitslip が Low に駆動されるこ とを確認します。

• ビッ ト ス リ ップ ロジッ クが有効の場合、 TX 側が送信した後 start_bitslip がアサート されるこ とを確認します。

• PLL リセッ ト要件が満たされているこ とを確認します。

Page 75: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 75PG188 2017 年 10 月 4 日 japan.xilinx.com

付録 D

その他のリソースおよび法的通知

ザイリンクス リソース

アンサー、 資料、 ダウンロード、 フォーラムなどのサポート リ ソースは、 ザイ リ ンクス サポート サイ ト を参照してください。

Xilinx Documentation Navigator およびデザイン ハブ

Xilinx Documentation Navigator (DocNav) では、 ザイ リ ンクスの資料、 ビデオ、 サポート リ ソースにアクセスでき、 特定の情報を取得するためにフ ィルター機能や検索機能を利用できます。 Xilinx Documentation Navigator を開くには、次のいずれかを実行します。

• Vivado® IDE で [Help] → [Documentation and Tutorials] をク リ ッ ク します。

• Windows で [スタート ] → [すべてのプログラム] → [Xilinx Design Tools] → [DocNav] をク リ ッ ク します。

• Linux のコマンド プロンプ トに 「docnav」 と入力します。

ザイ リ ンクスのデザイン ハブでは、 資料へのリ ンクがデザイン タスクおよびト ピッ クごとにま とめられており、 これらを参照するこ とで重要なコンセプ トに関する知識を得たり、 よ くある質問 (FAQ) を参考に問題を解決できます。デザイン ハブにアクセスするには、 次のいずれかを実行します。

• Xilinx Documentation Navigator で [Design Hubs View] タブをク リ ッ ク します。

• ザイ リ ンクス ウェブサイ トのデザイン ハブ ページを参照します。

注記: Xilinx Documentation Navigator の詳細は、ザイ リ ンクス ウェブサイ トの Documentation Navigator ページを参照してください。

Page 76: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 76PG188 2017 年 10 月 4 日 japan.xilinx.com

付録 D: その他のリソースおよび法的通知

参考資料

注記: 日本語版のバージ ョ ンは、 英語版よ り古い場合があ り ます。

次の資料は、 この製品ガイ ドの補足資料と して役立ちます。 日本語版のバージ ョ ンは、 英語版よ り古い場合があ ります。

1. 『UltraScale アーキテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571: 英語版、 日本語版)

2. 『Kintex UltraScale FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS892: 英語版、 日本語版)

3. 『Virtex UltraScale FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS893: 英語版、 日本語版)

4. 『Kintex UltraScale+ FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS922: 英語版、 日本語版)

5. 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994: 英語版、日本語版)

6. 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896: 英語版、 日本語版)

7. 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910: 英語版、 日本語版)

8. 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900: 英語版、 日本語版)

9. 『ロジッ クでの Bitslip 機能』 (XAPP1208: 英語版、 日本語版)

10. 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908: 英語版、 日本語版)

11. 『Vivado Design Suite ユーザー ガイ ド : インプリ メンテーシ ョ ン』 (UG904: 英語版、 日本語版)

12. 『ネイティブ モードの高速 I/O インターフェイス』 (XAPP1274: 英語版、 日本語版)

13. 『UltraScale アーキテクチャ ク ロ ッキング リ ソース ユーザー ガイ ド』 (UG572: 英語版、 日本語版)

14. 『Vivado Design Suite ユーザー ガイ ド : デザイン解析およびクロージャ テクニッ ク』 (UG906: 英語版、 日本語版)

Page 77: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 77PG188 2017 年 10 月 4 日 japan.xilinx.com

付録 D: その他のリソースおよび法的通知

改訂履歴

次の表に、 この文書の改訂履歴を示します。

日付 バージョ ン 内容

2017 年 10 月 4 日 3.2• 新しい Vivado IDE パラ メーター - [Enable Data Bitslip] を追加。

• 図 4-1 を更新。

2017 年 4 月 5 日 3.2

• 図 2-1 および図 3-7 を若干変更。

• 表 2-1 の bg<x>_pin<y>_nc ポートに関する注記を追加。

• [Data 3-State] オプシ ョ ンからス ト ローブ/ク ロ ッ クに関する文を削除。

• 一部のポートについてクロ ッ ク ド メ インを更新。 詳細は、 付録 B 「移行およびアップグレード」 の 「その他の変更点」 を参照。

• 一部ポート を追加。 詳細は、 付録 B 「移行およびアップグレード」 の 「追加されたポート 」 を参照。

• [Data 3-State] オプシ ョ ンについて RXTX_BITSLICE を RXTX_BITSLICE または TX_BITSLICE に変更。

• [TX+RX]、 [Access Clock Output from IBUF]、 [Enable Tx 3-State]、 [Enable Ports to Connect Multiple Interfaces]、 および [TX Data Phase] オプシ ョ ンを第 4 章に追加。

• [TX Delay Value] (ps) に関する注記を削除。

• 第 4 章の画面表示を更新。

• 第 4 章の 「ク ロ ッ ク周波数」 にタイ ミ ングの問題に関する説明を追加。

• AR 67104 および AR 68620 への参照を追加。

2016 年 10 月 5 日 3.1

• 「コンフ ィギュレーシ ョ ンの設定 — [Advanced] タブ」 を追加。

• 「[Data or Strobe or Clock]」 の Clk Fwd の説明を更新。

• 「IP の概要」 の表の注記 2 の SDK ディ レク ト リ を更新。

• bg<x>_pin<y>_nc の説明を更新。

• 図 3-1、 4-1、 4-2、 4-3、 および 4-4 を更新。

• 「RX_BITSLICE のクロ ッキング」 を更新。

• 「コンフ ィギュレーシ ョ ンの設定」 の説明および順序を更新。

2015 年 4 月 6 日 3.0

• UltraScale+ のサポート を追加。

• 「アプ リ ケーシ ョ ン」 および 「パフォーマンス」 で 1600Mb/s に変更。

• 表 2-1 で、 clk_p、 clk_n、 clk、 rst、 および bg<x>_pin<y>_<pin num> の説明を更新。

• 表 2-2 で、 data_from_fabric_<sig_name>_<y> [sf -1:0]、 data_to _fabric_<sig_name>_<y> [sf -1:0] の説明を更新。

• 表 2-2 から pll0_clkfbout および bitslip_<i> を削除。

• riu_clk_bg<m> を riu_clk に変更。

• 表 2-2 に、 fifo_rd_data_valid、 start_bitslip、 rxtx_bitslip_sync_done、rx_bitslip_sync_done、 shared_pll0_clkout0_in、 shared_pll1_clkout0_in、shared_pll0_clkoutphy_in、 shared_pll1_clkoutphy_in、 shared_pll0_locked_in、shared_pll0_locked_in、 shared_pll0_clkoutphy_out、 および shared_pll1_clkoutphy_out の各信号を追加。

Page 78: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 78PG188 2017 年 10 月 4 日 japan.xilinx.com

付録 D: その他のリソースおよび法的通知

2015 年 4 月 6 日 3.0

• 第 3 章の 「ク ロ ッキング」 に、 「PLL のインスタンシエーシ ョ ン」、 「RIU クロ ッ ク」、 および 「Advanced Strobe/Clock モード」 の各セクシ ョ ンを追加。

• 第 3 章の 「リセッ ト 」 に 「PLL からの RIU」 の図を追加。

• 「RX_BITSLICE のクロ ッキング」 の注記を更新。

• 「Edge DDR」 に説明を追加。

• BiDir へのすべてのインスタンスを BiDir (ベータ ) に変更。

• ASYNC/NONE/Fractional のすべての記載に 「(ベータ )」 を追加。

• すべての Strobe の記載を Strobe/Clock に変更。

• [Interface Speed] オプシ ョ ンを更新。 図 4-1 を削除。

• 第 4 章に 「[Select if PLL is included in Core or Example design]」 に関する新しいセクシ ョ ンを追加。

• [Enable PLL0 CLKOUT1] オプシ ョ ンの 「PLL」 を 「PLL0」 に変更。

• [Enable PLL0 CLKFBOUT1] オプシ ョ ンを削除。

• 第 4 章の [Configuration] タブに次の新しいオプシ ョ ンを追加。 [Enable Advanced Strobe Selection]、 [Generate RIU Clock from PLL]、 [FIFO Read Enable User Control]、 [Append Pin No to IOs]、 [Bitslip Training Pattern]、 [Differential Termination]、 [Differential TX Pre-Emphasis]、 [Differential RX Equalization]、[Single Ended Termination]、 [Single Ended TX Pre-Emphasis]、 [Single Ended RX Equalization]

2015 年 4 月 6 日 3.0 • 次の新しいオプシ ョ ンを追加。 [Pin Selection] タブのオプシ ョ ンと して [StrobeP]、 [StrobeN]、 [InvStrobeP]、 [InvStrobeN]、 [Init Val] を追加。

• 表 4-2 から [Bitslip Mode] を削除。

• 第 4 章の 「[FIFO Read Enable User Control]」 に 4 つのタイ ミ ング図を追加。

• Vivado のオプシ ョ ンに合わせて図 4-1 ~図 4-3 を更新。

• 「I/O 規格と配置」 セクシ ョ ンを削除。

• 「DUT のコンフ ィギュレーシ ョ ン設定」 に表 5-2 および表 5-3 を追加。

• [RIU Interface] および [Bitslip Training Pattern] オプシ ョ ンの説明を更新。 [Bitslip Training Pattern] オプシ ョ ンにタイ ミ ング図を追加。

日付 バージョ ン 内容

Page 79: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 79PG188 2017 年 10 月 4 日 japan.xilinx.com

付録 D: その他のリソースおよび法的通知

2015 年 9 月 30 日 2.0

「IP の概要」 の表

• Performance and Resource Utilization ウェブ ページへのリ ンクを追加。

第 1 章 「概要」

• 「IP の概要」 ページの 「機能」 セクシ ョ ンを更新。

• 「機能概要」、 「アプ リ ケーシ ョ ン」、 および 「サポート されていない機能」 の各セクシ ョ ンを更新。

第 2 章 「製品仕様」

• 図 2-1 を更新。

• 「パフォーマンス」 を更新。

• Performance and Resource Utilization ウェブ ページへのリ ンクを追加。

• 「 リ ソースの使用」 セクシ ョ ンのデバイス固有の情報をすべて削除。

• 「ポートの説明」 を全体的に変更。 表 2-2 および表 2-3 を変更。

第 3 章 「コアを使用するデザイン」

• 「汎用デザインのガイ ド ライン」 および 「ク ロ ッキング」 の各セクシ ョ ンを全体的に変更。

• 「 リ セッ ト 」 セクシ ョ ンを追加。

• 図 3-1 を更新。

第 4 章 「デザイン フローの手順」

• 「コアのカスタマイズおよび生成」 セクシ ョ ンを全体的に変更。

• それらの図およびそれらの説明を更新。

• 表 4-1 を変更。

• 「出力の生成」 セクシ ョ ンに新しい図を追加。

• 「コアへの制約」 のほとんどのサブセクシ ョ ンを更新。

第 5 章 「テス トベンチ」

図 6-1 を新しい波形に変更。

2015 年 4 月 1 日 1.1• 双方向バスのサポート を追加。

• 「ユーザー パラ メーター」 セクシ ョ ンを追加。

2014 年 10 月 1 日 1.1• ビッ ト ス リ ップ モードのサポート を追加。

• if<k>_ext_clk_to_fabric ポート を削除。

2014 年 4 月 2 日 1.0 初版

日付 バージョ ン 内容

Page 80: High Speed SelectIO Wizard v3 - Xilinx...High Speed SelectIO Wizard v3.2 LogiCORE IP 製品ガイド Vivado Design Suite PG188 2017 年 10 月 4 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

High Speed SelectIO Wizard v3.2 80PG188 2017 年 10 月 4 日 japan.xilinx.com

付録 D: その他のリソースおよび法的通知

法的通知本通知に基づいて貴殿または貴社 (本通知の被通知者が個人の場合には 「貴殿」、 法人その他の団体の場合には 「貴社」。 以下同じ ) に開示される情報 (以下 「本情報」 といいます) は、 ザイ リ ンクスの製品を選択および使用するこ とのためにのみ提供されます。 適

用される法律が許容する最大限の範囲で、 (1) 本情報は 「現状有姿」、 およびすべて受領者の責任で (with all faults) という状態で提

供され、 ザイ リ ンクスは、 本通知をもって、 明示、 黙示、 法定を問わず (商品性、 非侵害、 特定目的適合性の保証を含みますがこ

れらに限られません)、 すべての保証および条件を負わない (否認する ) ものと します。 また、 (2) ザイ リ ンクスは、 本情報 (貴殿ま

たは貴社による本情報の使用を含む) に関係し、 起因し、 関連する、 いかなる種類 ・ 性質の損失または損害についても、 責任を負

わない (契約上、 不法行為上 (過失の場合を含む)、 その他のいかなる責任の法理によるかを問わない) ものと し、 当該損失または損

害には、 直接、 間接、 特別、 付随的、 結果的な損失または損害 (第三者が起こした行為の結果被った、 データ、 利益、 業務上の信

用の損失、 その他あらゆる種類の損失や損害を含みます) が含まれるものと し、 それは、 たとえ当該損害や損失が合理的に予見可

能であったり、 ザイ リ ンクスがそれらの可能性について助言を受けていた場合であったと しても同様です。 ザイ リ ンクスは、 本情

報に含まれるいかなる誤り も訂正する義務を負わず、 本情報または製品仕様のアップデート を貴殿または貴社に知らせる義務も負

いません。 事前の書面による同意のない限り、 貴殿または貴社は本情報を再生産、 変更、 頒布、 または公に展示してはなり ませ

ん。 一定の製品は、 ザイ リ ンクスの限定的保証の諸条件に従う こ と となるので、 https://japan.xilinx.com/legal.htm#tos で見られるザ

イ リ ンクスの販売条件を参照して ください。 IP コアは、 ザイ リ ンクスが貴殿または貴社に付与したライセンスに含まれる保証と補

助的条件に従う こ とにな り ます。 ザイ リ ンクスの製品は、 フェイルセーフと して、 または、 フェイルセーフの動作を要求するアプ

リ ケーシ ョ ンに使用するために、 設計されたり意図されたり していません。 そのよ う な重大なアプリ ケーシ ョ ンにザイ リ ンクスの

製品を使用する場合のリ スク と責任は、 貴殿または貴社が単独で負う ものです。 https://japan.xilinx.com/legal.htm#tos で見られるザ

イ リ ンクスの販売条件を参照して ください。

自動車用のアプリ ケーシ ョ ンの免責条項

オートモーティブ製品 (製品番号に 「XA」 が含まれる ) は、 ISO 26262 自動車用機能安全規格に従った安全コンセプ ト または余剰性

の機能 ( 「セーフティ設計」 ) がない限り、 エアバッグの展開における使用または車両の制御に影響するアプリ ケーシ ョ ン ( 「セー

フティ アプリ ケーシ ョ ン」 ) における使用は保証されていません。 顧客は、 製品を組み込むすべてのシステムについて、 その使用

前または提供前に安全を目的と して十分なテス ト を行う ものと します。 セーフティ設計なしにセーフティ アプリ ケーシ ョ ンで製品

を使用する リ スクはすべて顧客が負い、 製品の責任の制限を規定する適用法令および規則にのみ従う ものと します。

© Copyright 2014—2017 Xilinx, Inc. Xilinx、 Xilinx のロゴ、 Artix、 ISE、 Kintex、 Spartan、 Virtex、 Vivado、 Zynq、 およびこの文書に

含まれるその他の指定されたブランドは、 米国およびその他各国のザイ リ ンクス社の商標です。 すべてのその他の商標は、 それぞ

れの保有者に帰属します。 この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、

[email protected] まで、 または各ページの右下にある [フ ィードバッ ク送信] ボタンをク リ ッ クする と表示される

フォームからお知らせください。 フ ィードバッ クは日本語で入力可能です。 いただきましたご意見を参考に早急に対応させていた

だきます。 なお、 このメール アドレスへのお問い合わせは受け付けており ません。 あらかじめご了承ください。

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、 [email protected] まで、 または各ページ

の右下にある [フ ィードバッ ク送信] ボタンをク リ ッ クする と表示されるフォームからお知らせください。 フ ィードバッ クは日本語

で入力可能です。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは

受け付けており ません。 あらかじめご了承ください。