High Resolution 3D Nanoimprint Technology

176
Xiaolin Wang High Resolution 3D Nanoimprint Technology Template Fabrication, Application in Fabry-Pérot-filter-based Nanospectrometers kassel university press

Transcript of High Resolution 3D Nanoimprint Technology

Page 1: High Resolution 3D Nanoimprint Technology

Xiaolin Wang

High Resolution 3D Nanoimprint TechnologyTemplate Fabrication, Application in Fabry-Pérot-filter-based Nanospectrometers

Xiao

lin W

ang

H

igh

Res

olut

ion

3D N

anoi

mpr

int T

echn

olog

y

Optical spectroscopy is a very important sensing technique and reveals a high potential for numerous applications in both indus-trial and scientific applications. Low-cost, strongly miniaturized spectrometers are highly required for modern sensing systems, e.g., for energy technology, measurement techniques, safety, se-curity, IT and mechanical technologies. The strong miniaturiza-tion is highly required for example in so called “smart personal environments”. Among all the miniaturized spectrometers, one of the most attractive miniaturization approaches is the Fabry-Pérot-filter-based microspectrometer. However, the existing Fab-ry-Pérot-filter-based microspectrometers require complicated fa-brication steps for patterning the 3D filter cavities with different heights, which is not cost efficient for the industrial fabrication. Novel Fabry-Pérot-filter-based microspectrometers with nanoim-printed cavities were designed by INA and were proposed and introduced as “nanospectrometers”. All different filter cavities could be fabricated in one single step using nanoimprint tech-nology. However, high vertical resolution 3D nanoimprint tech-nology was not available and should be developed in this work.

ISBN 978-3-86219-112-3

kasseluniversity

press

Page 2: High Resolution 3D Nanoimprint Technology

Xiaolin Wang

High Resolution 3D Nanoimprint Technology Template Fabrication, Application in Fabry-Pérot-filter-based Nanospectrometers

kasseluniversity

press

Page 3: High Resolution 3D Nanoimprint Technology

This work has been accepted by the faculty of Electrical Engineering and Computer Science of the University of Kassel as a thesis for acquiring the academic degree of Doktor der Ingenieurwissenschaften (Dr.-Ing.). Supervisor: Prof. Dr. rer. nat. Hartmut Hillmer Co-Supervisor: Prof. Dr. sc. techn. Bernd Witzigmann Defense day: 22th December 2010 Bibliographic information published by Deutsche Nationalbibliothek The Deutsche Nationalbibliothek lists this publication in the Deutsche Nationalbibliografie; detailed bibliographic data is available in the Internet at http://dnb.d-nb.de. Zugl.: Kassel, Univ., Diss. 2010 ISBN print: 978-3-86219-112-3 ISBN online: 978-3-86219-113-0 URN: http://nbn-resolving.de/urn:nbn:de:0002-31132 © 2011, kassel university press GmbH, Kassel www.upress.uni-kassel.de Printed in Germany

Page 4: High Resolution 3D Nanoimprint Technology

To my parents

Page 5: High Resolution 3D Nanoimprint Technology
Page 6: High Resolution 3D Nanoimprint Technology

Acknowledgements

First of all, I would like to thank Prof. Dr. Hartmut Hillmer for introducing me into the fantastic research field of microsystem technology and offering me the opportunity to work at INA. I’ve learned a lot under his sustained and skillful guidance.

My great gratitude to Prof. Dr. Bernd Witzigmann, Prof. Dr. Axel Bangert and Prof. Dr. Peter Lehmann for co-supervising my thesis.

Special thanks to Dr. Martin Bartels, especially for his frontend and backend supporting through this work.

I’m very appreciated with all the current and former members of nanospectrometer group for the successful teamwork. They are Dr. Florestan Köhler, Dr. Stefan Wittzack, Dr. Dharmarasu Nethaji, Dr. Yanqi Wang, Alla Albrecht, Vadim Daneker, Hanh Hong Mai, Sabrina Schudy, Karin Schultz, Onny Setyawati, Carsten Woidt and Tatjana Woit.

My gratefulness to my former Master and Diploma students Mohamed Abd El Awwad, Karl-Georg Nöding, Sarai Lafuente Uriarte, Muhammad Ali Butt and Imran Memon for their contributions.

I would like to thank Ina Kommallein, Dietmar Gutermuth, Jens Krumpholz, Thomas Kusserow, Volker Viereck, Andreas Jäkel, Ina Wensch and other INA colleagues for technical support.

My appreciation goes to the industrial project partners, Dr. Wolfgang Köcher and Carl Sandhagen from OPN, Dr. Michael Hornung and Dr. Ran Ji from SUSS MicroTec and Dr. Marc Verschuuren from Philips Research, for those valuable scientific discussions.

I would like to thank Otto-Braun-Fonds, EU-Emotional and BMBF-TOSA for financial support.

Last but not least I would like to express my deep and sincere gratitude to my parents for their unconditional support and encouragement in all the past years.

Page 7: High Resolution 3D Nanoimprint Technology
Page 8: High Resolution 3D Nanoimprint Technology

Abstract

Optical spectroscopy is a very important sensing technique and reveals a high potential for numerous applications in both industrial and scientific applications. Low-cost, strongly miniaturized spectrometers are highly required for modern sensing systems, e.g., for energy technology, measurement techniques, safety, security, IT and mechanical technologies. The strong miniaturization is highly required for example in so called “smart personal environments”. Among all the miniaturized spectrometers, one of the most attractive miniaturization approaches is the Fabry-Pérot-filter-based microspectrometer. However, the existing Fabry-Pérot-filter-based microspectrometers require complicated fabrication steps for patterning the 3D filter cavities with different heights, which is not cost efficient for the industrial fabrication. Novel Fabry-Pérot-filter-based microspectrometers with nanoimprinted cavities were designed by INA and were proposed and introduced as “nanospectrometers”. All different filter cavities could be fabricated in one single step using nanoimprint technology. However, high vertical resolution 3D nanoimprint technology was not available and should be developed in this work.

The key issue of developing 3D nanoimprint technology is the 3D template fabrication. A novel methodology for 3D template design and fabrication was proposed and enhanced by a self-written computer program that enables arbitrary distributions of cavity heights in lateral dimensions. Different types of 3D nanoimprint templates with ultra-high vertical resolution were developed and adapted to the available cutting edge nanoimprint technologies. 3D transparent templates were fabricated for the application of the standard UV nanoimprint (UV-NIL). 3D master templates were developed for replicating the soft working templates of substrate conformal imprint lithography (SCIL). In the fabrication processes, a series of photolithography and dry etching processes were implemented to obtain different vertical heights. The dry etching processes were characterized to apply a precise etching depths control. Fast and accurate white light interferometry (WLI) measurements were implemented for characterizing the templates. The developed 3D templates contain arrays of negative or positive checkerboard-like mesa structures with at the moment up to 64 different heights. The differences of the height levels range from several nanometers to several hundred nanometers, while lateral features were fabricated in the micrometer range since the presented application does not require smaller lateral structures. Ultra-high vertical resolution with a minimum surface roughness below 0.2 nm in root mean square (rms) and a vertical precision below 1 nm were achieved. The

Page 9: High Resolution 3D Nanoimprint Technology

development of the 3D templates promotes the state of the art of nanoimprint technology and provides a valuable base for further research and application.

Using the 3D templates, potential nanoimprint methods and imprint resists were investigated for the application of nanospectrometers. The imprinting processes of 3D UV nanoimprint (UV-NIL) and 3D substrate conformal imprint lithography (SCIL) were developed and characterized for structuring the 3D filter cavities of static nanospectrometeres and the 3D sacrificial layers of tunable nanospectrometers. Based on the novel 3D nanoimprint technology developed in this work, static filter arrays with nanoimprinted cavities indicated rather high filter transmission (full array 40%-80%, best single filter >90%), small line widths (about 3 nm in full width at half maximum (FWHM)) and broad stop bands.

In addition to imprinting the cavity heights, the novel 3D nanoimprint technology has been also applied for micromachined structures. Nanoimprinted sacrificial layers of tunable filter arrays with different heights and a surface roughness below 0.6 nm in root mean square (rms) have been fabricated. The concept of tunable nanospectrometers has been proven.

Page 10: High Resolution 3D Nanoimprint Technology

Zusammenfassung

Optische Spektroskopie ist eine sehr wichtige Messtechnik mit einem hohen Potenzial für zahlreiche industrielle und wissenschaftliche Anwendungen. Kostengünstige, stark miniaturisierte Spektrometer werden für moderne Sensorsysteme benötigt, z.B. für sogenannte “smart personal environments”. Unter allen miniaturisierten Spektrometern ist eines der attraktivsten Miniaturisierungsverfahren das Fabry-Pérot-Filter-Mikrospektrometer. Allerdings erfordern die bestehenden Fabry-Pérot-Filter-Mikrospektrometer zur Strukturierung der 3D-Filter Kavitäten mit unterschiedlichen Höhen komplizierte Fertigungsschritte, die nicht kosteneffizient für die industrielle Fertigung sind. Neuartige Fabry-Pérot-Filter-Mikrospektrometer mit geprägten Kavitäten wurden vom INA entwickelt und als "Nanospektrometer" vorgestellt. Alle Kavitäten des Filters können mittels Nanoimprint Technologie in einem einzigen Schritt hergestellt werden. Jedoch stand zu diesem Zeitpunkt keine kommerziell erhältliche 3D Nanoimprint Technologie mit einer hohen vertikalen Auflösung zur Verfügung und sollte in dieser Arbeit entwickelt werden.

Die entscheidende Entwicklung bei der 3D Nanoimprint Technologie ist die Herstellung des 3D Stempels. Eine neuartige Methode für das Design und die Herstellung des 3D Stempels wurde entwickelt und vorgeschlagen. Verschiedene Arten der 3D Nanoimprint Stempel mit ultra-hoher vertikaler Auflösung wurden entwickelt und an die verfügbare Spitzentechnologien des Nanoimprints angepasst. Transparente 3D Stempel und 3D Master-Stempel wurden für die Anwendung des Standard-UV-Nanoimprints (UV-NIL) und des Substrate Conformal Imprint Lithography (SCIL) entwickelt. In den Herstellungsverfahren wurden eine Reihe von Fotolithographie- und Trockenätz- Prozessen durchgeführt und charakterisiert, um unterschiedliche, vertikale Höhen zu erhalten. Schnelle und präzise Weißlichtinterferometrie (WLI) Messungen wurden zur Charakterisierung des Stempels verwendet. Die entwickelten 3D Stempel enthalten Arrays von negativen oder positiven „Schachbrettstrukturen“ mit derzeit bis zu 64 verschiedenen Höhen. Die Höhenunterschiede reichen von einigen Nanometern bis zu mehreren hundert Nanometern, während die lateralen Strukturgrößen im Mikrometerbereich hergestellt wurden, da die vorgestellte Anwendung kleinere laterale Strukturen nicht erfordert. Eine hohe vertikale Auflösung mit einer Oberflächenrauheit unter 0,2 nm (rms, Mittelwert der Rauheit) und eine vertikale Genauigkeit von unter 1 nm wurden erreicht. Die Entwicklung des 3D Stempels treibt den Stand der Technik in der Nanoimprint Technologie voran und bietet eine wertvolle Grundlage für weitere Forschung und Anwendung.

Page 11: High Resolution 3D Nanoimprint Technology

Mit den 3D Stempeln wurden potenzielle Nanoimprint Methoden und Materialien für die Anwendung von Nanospektrometern untersucht. Die Prägeprozesse von 3D UV-Nanoimprint (UV-NIL) und Substrate Conformal Imprint Lithography (SCIL) wurden für die Strukturierung der 3D Kavitäten von statischen Nanospektrometern und der 3D Opferschichten von abstimmbaren Nanospektrometern entwickelt und charakterisiert. Auf Grundlage der neuartigen Nanoimprint Technologie, die in dieser Arbeit entwickelt wurde, zeigen die hergestellten statischen Filterarrays mit geprägten Kavitäten sehr hohe Transmissionen (40%-80% auf allen Feldern, bester Filter >90%), kleine Linienbreiten (Halbwertsbreite von ca. 3 nm), sowie breite Stoppbänder. Neben der Prägung der Kavitätshöhen wurde die neuartige 3D Nanoimprint Technologie ebenfalls für die Herstellung mikromechanischer Strukturen angewandt. Geprägte Opferschichten der abstimmbaren Filter-Arrays mit unterschiedlichen Höhen und einer Oberflächenrauheit unter 0,6 nm (rms) wurden hergestellt. Das Konzept der abstimmbaren Nanospektrometer hat sich bewährt.

Page 12: High Resolution 3D Nanoimprint Technology

i

Contents

1.  Introduction and Motivation .............................................................................. 1 

2.  Foundations of Nanoimprint Technology: State of the Art ............................... 5 

2.1.  Introduction ................................................................................................. 5 

2.2.  Overview of Different Nanoimprint Methods ............................................. 6 

2.2.1.  Thermal Nanoimprint ........................................................................... 7 

2.2.2.  UV Nanoimprint ................................................................................... 8 

2.2.3.  Soft Nanoimprint .................................................................................. 9 

2.2.4.  Combination and Variation Methods ................................................. 12 

2.2.5.  Potential Industrial Techniques .......................................................... 13 

2.3.  Nanoimprint Devices and Tools ................................................................ 14 

2.3.1.  Nanoimprint Templates ...................................................................... 15 

2.3.2.  Nanoimprint Resists ........................................................................... 16 

2.3.3.  Nanoimprint Equipments .................................................................... 17 

2.4.  Applications of Nanoimprint ..................................................................... 18 

2.4.1.  Lithography ......................................................................................... 18 

2.4.2.  Direct Patterning ................................................................................. 18 

2.4.3.  Application of 3D Nanoimprint .......................................................... 19 

3.  Foundations of Fabrication Technologies Relevant for 3D Nanoimprint at INA .......................................................................................................................... 21 

3.1.  Template fabrication Technology and Relevant Tools ............................. 21 

3.1.1.  Photolithography................................................................................. 21 

3.1.2.  Dry Etching ......................................................................................... 23 

3.1.3.  White Light Interferometry ................................................................ 24 

3.2.  Nanoimprint Technology and Relevant Tools .......................................... 26 

3.2.1.  UV Nanoimprint ................................................................................. 26 

3.2.2.  Substrate Conformal Imprint Lithography ......................................... 28 

4.  Technology Development of 3D Nanoimprint Templates ............................... 30 

4.1.  Methodology of Template fabrication ....................................................... 30 

Page 13: High Resolution 3D Nanoimprint Technology

ii

4.1.1.  General fabrication method of 3D templates ..................................... 30 

4.1.2.  Digital Etching ................................................................................... 32 

4.2.  Development of High Vertical Resolution 3D Nanoimprint Templates .. 40 

4.2.1.  Possible Solutions for Fabricating 3D Templates .............................. 40 

4.2.2.  Development and Improvement of Template fabrication Process ..... 42 

4.2.3.  Characterization of Etching Process .................................................. 44 

4.2.4.  Experimental Results and Discussion ................................................ 52 

4.3.  Development of 3D Transparent Templates for UV-NIL ........................ 56 

4.3.1.  Technology Development .................................................................. 57 

4.3.2.  Characterization of Etching Process .................................................. 61 

4.3.3.  Experimental Results and Discussion ................................................ 63 

4.4.  Development of 3D Master Templates for Soft UV-NIL and SCIL ........ 67 

4.4.1.  Technology Development of 3D Master Templates .......................... 67 

4.4.2.  Experimental Results of 3D Master Templates and Discussion ........ 75 

4.4.3.  SCIL Templates Replication .............................................................. 79 

5.  Application of 3D Nanoimprint for Nanospectrometers ................................. 84 

5.1.  Theoretical Overview: Fabry-Pérot-Filter-based Nanospectrometer ....... 84 

5.1.1.  Foundation of Fabry-Pérot Filter ....................................................... 84 

5.1.2.  State of the Art: Fabry-Pérot-Filter-based Microspectrometer .......... 91 

5.2.  Static Sensor Arrays .................................................................................. 94 

5.2.1.  Introduction and Design ..................................................................... 94 

5.2.2.  Fabrication Process ............................................................................ 95 

5.2.3.  Filter Cavities Structuring using 3D Nanoimprint ............................. 97 

5.2.4.  Characterization of Static Sensor Arrays ......................................... 112 

5.2.5.  Summary .......................................................................................... 115 

5.3.  Tunable Optical Sensor Arrays ............................................................... 116 

5.3.1.  Introduction and Design ................................................................... 116 

5.3.2.  Fabrication Process .......................................................................... 119 

5.3.3.  Sacrificial Layers Structuring using 3D Nanoimprint ..................... 120 

6.  Conclusion and Outlook ................................................................................ 126 

Appendix .............................................................................................................. 129 

Page 14: High Resolution 3D Nanoimprint Technology

iii

A1  MATLAB® Codes .................................................................................. 129 

A1.1  Calcualate Mask Design ................................................................... 129 

A1.2  Prove Mask Design ........................................................................... 132 

A1.3  Calculate Height Distribution ........................................................... 133 

A2  Mask Design ............................................................................................ 134 

A2.1  Mask Design (INA_Template_SA1) ................................................ 134 

A2.2  Mask Design (INA_Template_SA2) ................................................ 134 

A2.3  Mask Design (INA_Template_SA3) ................................................ 136 

A2.4  Mask Design (INA_Template_TOSA) ............................................. 138 

A3  Process Flow ............................................................................................ 139 

A3.1  Process Flow of GaAs Template Fabrication ................................... 139 

A3.2  Process Flow of Transparent Template (Quartz) Fabrication (I) ..... 139 

A3.3  Process Flow of Transparent Template (Quartz) Fabrication (II) .... 141 

A3.4  Process of GaAs Template Fabrication, using Negative Photoresist142 

A3.5  Process Flow of Si Template Fabrication ......................................... 143 

List of Abbreviations ............................................................................................ 145 

References ............................................................................................................. 148 

List of Publications ............................................................................................... 159 

Page 15: High Resolution 3D Nanoimprint Technology
Page 16: High Resolution 3D Nanoimprint Technology

1

1. Introduction and Motivation

Optical spectroscopy [1][2], which refers to the study of absorption and emission spectra of matter in visible, near infrared (IR) and ultraviolet (UV) range, is an important optical measurement method. Because of their sensitivity and high measurement speed, optical spectroscopy methods are widely implemented in the field of industry process control, medicine, physics, chemistry, biology, space technology, food and agriculture [3][4][5][6][7][8][9][10]. The spectroscopic measurement devices, named optical spectrometers, thus, play an important role. However, conventional spectrometers are normally expensive, bulky laboratory equipments which often do not fit the requirements of industrial applications as well as modern sensing systems. Therefore, it is highly demanded to develop strongly miniaturized spectrometers.

There are two main approaches to miniaturize spectrometers. One approach implements a micro-assembly of conventional fabricated components. Although miniaturized spectrometers by this approach [11][12] currently dominate the commercial market, they are still strongly limited by their size and cost [13]. Another more successful approach is based on optical micro-electro-mechanical system (MEMS) [14]. Great efforts have been made in the last decade and different types of MEMS-based microspectrometers have been developed including mainly grating-based microspectrometers [15][16][17] and interferometer-based microspectrometers [18][19][20]. Among those MEMS-based technologies, it is more attractive to implement Fabry-Pérot-filter-based microspectrometers with static filter cavities, because of their high spectral resolution, intrinsically more compact structure, flexibility in spatial distribution and compatibility with commercially available detectors (e.g., charge-coupled device (CCD), complementary metal–oxide–semiconductor (CMOS) technology).

The high potential of the Fabry-Pérot-filter-based microspectrometers drew the interest of the scientists. Correia et al. firstly combined Fabry- Pérot filter arrays including 16 cavity heights and photodiodes to detect 16 different wavelengths [18]. S.W. Wang et al. implemented a similar structure and extended to 128 different wavelengths in the range of 722.0 - 880.0 nm [19]. The above-mentioned Fabry-Perot-based microspectrometers, however, have common drawbacks: the fabrication of different filter cavities is too time-consuming and too expensive. For 2N different Fabry-Pérot filter cavities, at least N individual steps of lithography and etching (or deposition) processes are required. These concepts are obviously not cost efficient for the industrial fabrication. Besides, the cavity thickness, which

Page 17: High Resolution 3D Nanoimprint Technology

2

is essential for the spectral accuracy of Fabry-Pérot filters, is difficult to control precisely in multi-etching or deposition steps. Recently, Emadi et al. reported a linear variable microspectrometer with a slope cavity, which was fabricated based on photoresist reflow and further dry etching [21]. However, an arbitrary distribution of Fabry- Pérot filters can’t be implemented in this concept. Additionally, the fabrication process for the slope cavity is also not time and cost efficient and the practical resolution of this microspectrometer is very limited.

To overcome those above-mentioned issues and promote the state of the art, we developed a novel methodology to fabricate Fabry-Pérot-filter-based microspectrometers using nanoimprint technology [22] for structuring the filter cavities and thus we name our new devices as nanospectrometers [23]. All Fabry-Pérot filter cavities with different cavity thicknesses can be fabricated in one single step by nanoimprint technology. This batch process enables industrial products including low-cost nanospectrometers. Those high-resolution, low-cost nanospectrometers have great potential to be integrated to existing mobile devices as well as to the networked sensing systems [24][25].

Nanoimprint is a molding technology for patterning deformable materials, normally polymers. Although there is a long history to refer, it is commonly considered to be invented by Stephen Y. Chou and his group in 1995 [26]. The work of Stephen Y. Chou’s group attracted great attention in the field of micro- and nanofabrication [24] and inspired other researchers. Various nanoimprint technologies [27][28][22][29] have been developed afterwards and numerous applications of nanoimprint have been found for next generation lithography (NGL), electronics, microoptics, photonics, micro- and nanofluidics, etc [30][22][31][32]. While research of nanoimprint mainly focuses on the improvement of the lateral resolution, for more and more applications including our nanospectrometers it is important to improve and to control the vertical resolution. However, it is still extremely challenging to precisely apply arbitrary heights of 3D nanoimprint in a flexible way, i.e., it is greatly demanded to develop high resolution 3D nanoimprint technology.

To develop a high resolution 3D nanoimprint technology for our spectrometers, the key issue is the fabrication of the corresponding 3D templates. Although nanoimprint methods, resists and equipments have been commercially available and capable of 3D applications in 2007 (the beginning of this work), the required templates with different accurate heights for filter cavities structuring of our nanospectrometers were not available from any company or research institution [33]. Facing this challenge, a basic idea of 3D template fabrication was proposed by Prof. H. Hillmer and submitted for patent application. Based on the patent

Page 18: High Resolution 3D Nanoimprint Technology

3

application, the first and most important objective of this thesis work is developing high resolution templates for nanospectrometers. The required 3D templates should not only contain highly accurate structures corresponding to the 3D filter cavities of nanospectrometers, but also be compatible with the available cutting edge nanoimprint technologies and tools. Another main objective of this thesis work is to apply the novel 3D nanoimprint technology with the fabricated 3D templates for imprinting the 3D filter cavities of nanospectrometers. The investigation of 3D nanoimprint processes using different available nanoimprint methods and tools is demanded to fill this technology gap. Then, the investigated 3D nanoimprint processes have to be characterized to adapt the requirements of the design and fabrication process of nanospectrometers. Those two main objectives are interactive topics. 3D nanoimprint tests raise new requirements for template fabrication and new progresses of template developments support the 3D nanoimprint.

This thesis comprises six chapters:

Chapter 1 introduces the background and motivation and outlines this thesis work.

Chapter 2 presents the foundations and the state of the art of nanoimprint technology. Section 2.2 introduces the principles of the mainstream methods as well as some variations and potential industrial techniques. Section 2.3 explains the nanoimprint devices and tools, i.e., templates, resists and equipments. Section 2.4 states the applications of nanoimprint technology.

Chapter 3 deals with the foundations of the implemented technologies and devices. This chapter introduces the main fabrication technology for our 3D templates (section 3.1), including photolithography, dry etching and white light interferometry (WLI), as well as the used nanoimprint techniques (section 3.2), i.e., UV nanoimprint and substrate conformal imprint lithography (SCIL).

Chapter 4, the core part of this thesis, states the technology development of 3D nanoimprint templates. Section 4.1 introduces the methodology of template fabrications and the method to calculate mask design and etching depths. The following sections discuss the encountered issues, solutions, fabrication processes as well as experimental results related to the three generations of templates in detail. Section 4.2 presents the technologies for fabricating high vertical resolution 3D structures with different heights on GaAs templates. Section 4.3 and 4.4 explain the development of 3D transparent templates for UV nanoimprint and 3D master templates for SCIL.

Page 19: High Resolution 3D Nanoimprint Technology

4

Chapter 5 introduces the foundations of our Fabry-Pérot-filter-based nanospectrometers (section 5.1) and the application of 3D nanoimprint technology for nanospectrometers. Two types of nanospectrometers have been developed at the Institute of Nanostructure Technologies and Analytics (INA): the static sensor array (section 5.2) and the tunable optical sensor array (TOSA) (section 5.3). While introducing the design, fabrication process and optical characterization of these two types of nanospectrometers, this chapter focuses on the 3D nanoimprint technology for structuring the filter cavities of static sensor array and the sacrificial layers of TOSA.

Chapter 6 sums up the achievements of this thesis work, opening new questions to guide future work on the topic of 3D nanoimprint technology as well as nanospectrometers.

Page 20: High Resolution 3D Nanoimprint Technology

5

2. Foundations of Nanoimprint Technology: State of the Art

2.1. Introduction

The nanoimprint technology is commonly considered to be invented by Stephen Y. Chou and his group in 1995 [26]. They implemented thermal imprinting using hard templates and polymer resist as a lithography technique. Therefore, it was named as nanoimprint lithography (NIL) and this original abbreviation, NIL, then was used by the other researchers even if they apply nanoimprint as a direct patterning method. However, the pioneer research of imprinting or molding methods for micro- and nanofabrication could refer to the 1970s. One of the first papers about thermal imprinting was published in 1970 from RCA Laboratories at Princeton, NJ, USA [34]. Also in the 1970s, the researcher at NTT Laboratories in Japan had studied the early-stage of soft nanoimprint technology, named “Molded Mask Method” [35].

Nevertheless, the work of Stephen Y. Chou’s group in mid-1990s attracted great attention in the field of micro- and nanofabrication and inspired other researchers. For example, shortly afterwards in 1999, Willson’s group developed step-and-flash imprint lithography (S-FIL) [27], which is another very important nanoimprint method and is normally considered as the first UV nanoimprint (UV-NIL). Another alternative imprinting method, soft lithography [28], was developed parallel to NIL by Whitesides’s group in the 1990s. Although soft lithography is often considered to be different from “classic nanoimprint”, e.g., NIL and UV-NIL using hard templates, it provided key foundations of recent soft nanoimprint technologies. In the 2000s, more efforts have been done in both the academic studies and industrial attempts. Nanoimprint is not only a pure lithography technique, but also used for direct forming in many application fields. Nanoimprint is also no longer NIL or its variants. The technologies based on or inspired by NIL, UV-NIL and soft lithography infiltrated each other and promoted the progress of nanoimprint and related fields. Although there is always ambiguity of the definition, the author considers all those kind of micro- and nano-imprinting technologies as nanoimprint.

In the past decade, nanoimprint technology spread its influence. In 2003, the Technology Review of Massachusetts Institute of Technology (MIT) listed nanoimprint as one of the 10 emerging technologies that will change the world [24]. It was also added to the International Technology Roadmap for

Page 21: High Resolution 3D Nanoimprint Technology

6

Semiconductors (ITRS). Besides the research on developing nanoimprint as one candidate for next generation lithography (NGL), numerous applications of nanoimprint have been found in electronics, photonics, micro- and nanofluidics, etc [22][31][32].

2.2. Overview of Different Nanoimprint Methods

Nanoimprint can be divided into different categories by the curing principle of resist material, templates, imprint process, etc. Table 2-1 shows the classification of some well-known nanoimprint methods by the curing principle and type of templates.

Table 2-1. Classification of some typical nanoimprint methods by curing principle and templates.

Curing principle of imprint material

Template

Rigid template Soft template

Thermal curing Thermal NIL Soft thermal NIL

UV-curing UV-NIL Soft UV-NIL

Contact transfer Polymer inking µCP

Other principles Electromechanical imprinting SAMIM, SCIL

In the following sections, several most typical and important groups of nanoimprint technologies will be introduced. Thermal nanoimprint, including NIL and other thermal imprinting techniques with hard templates, will be presented in 2.2.1. UV nanoimprint using hard templates is enclosed in 2.2.2. All soft nanoimprint technologies, i.e., nanoimprint using soft templates, will be introduced in 2.2.3. The polymer inking [22] and electromechanical imprinting [36] as well as other comparably less-often used nanoimprint techniques will not be discussed in this thesis. From another point of view, some combination and variation methods such as reverse nanoimprint, multi-level patterning, combined thermal and UV-nanoimprint lithography (TUV-NIL) [37] and combined nanoimprint and photolithography (CNP) [38] are included in 2.2.4. At last, 2.2.5 states some industrial efforts of nanoimprint process, such as large area imprint, step-and-repeat nanoimprint and roll-to-roll nanoimprint lithography (R2RNIL).

Page 22: High Resolution 3D Nanoimprint Technology

7

2.2.1. Thermal Nanoimprint

Thermal nanoimprint, sometimes named as hot embossing, is also abbreviated as thermal NIL, T-NIL or simply NIL because of the strong influence of Chou’s works in the 1990s. The basic principle of thermal NIL is rather easy, as shown in Figure 2-1. At first, the reverse pattern is defined on a hard template that is normally made of Si, SiO2 or other suitable metals, dielectrics and semiconductors. Then the imprint resist, commonly thermoplastic polymer, is coated on the substrate (Figure 2-1, (a)). Afterwards, the imprint resist is heated above its glass transition temperature. In the following, the template is imprinted into the resist under an appropriate pressure and temperature condition (Figure 2-1, (b)). The template is held in contact till the temperature drops below the glass transition temperature of imprint resist. Therefore, the imprint pattern remains in the resist after the separation of the template (Figure 2-1, (c)). After imprint, a residual layer is in most of the case unavoidable. It can be removed by an anisotropic plasma etching process.

Figure 2-1. Schematic of thermal NIL: (a) imprint resist is coated on the substrate, (b) imprint under pressure and temperature control, (c) separation of the template and the substrate.

One of the great advantages of thermal NIL is the simple imprint mechanism. To control the temperature and pressure requires relative simple mechanisms. Another advantage is the wide choice of template materials. No matter metal, dielectric or semiconductor, if it has sufficient hardness and thermal durability and is possible to be patterned with micro- or nanostructures, this material is potentially suitable for the templates of thermal NIL. It is reported that Si and SiO2 are most common used template materials for thermal NIL applications [31]. Besides, thermal NIL is demonstrated to be capable of imprinting high aspect ratio structure [31].

Page 23: High Resolution 3D Nanoimprint Technology

8

The drawbacks are mainly due to the thermal process. It leads to thermal expansion for both imprint resists and templates, which causes distortion of imprinted structures. Additionally, heating and cooling during thermal imprinting requires much longer process time than those nanoimprint technologies which can be implemented at a constant temperature (normally at room temperature).

Some alternative methods of NIL were developed to improve the thermal NIL. For example, IR laser assisted nanoimprint [39][40] and ultrasonic nanoimprint [41] implement rapid heating at room temperature by using IR laser or ultrasonic as heater. Beside of molding into polymer resist, thermal NIL is able to direct pattern hard materials, such as silicon [42] and glass [43]. The most successful direct imprint technique is laser-assisted direct imprint (LADI) [42]. In the process of LADI, a quartz template is pressed in contact with a silicon substrate. A XeCl excimer laser pulse that can pass though a quartz template is then applied to melt the thin surface layer of the silicon substrate. In this way, the quartz template can be imprinted into the melted silicon within several hundred nanoseconds process time and removed after the silicon is solidified, leaving the patterns in the silicon.

2.2.2. UV Nanoimprint

UV nanoimprint, or UV-NIL, is another very successful nanoimprint technology. The major difference between UV-NIL and thermal NIL is the curing principle. Instead of thermal curing, UV-NIL implements UV-curable imprint resists and UV transparent templates. A schematic of UV-NIL process is shown in Figure 2-2. The template of UV-NIL is normally made of quartz, because of its UV transparence as well as excellent mechanical properties for imprinting. Two types of approaches are implemented in the UV-NIL, as shown in Figure 2-2. In the first method, e.g., used by Kurz’s Group [44], the UV-curable imprint resist is spin-coated on the substrate (Figure 2-2, (a1)). Then UV is applied during the imprint step to harden the resist at room temperature. Finally, the template is separated from the substrate and the residual layer can be removed by an anisotropic plasma etching process if necessary. The other method is dispensing-based UV-NIL, e.g., S-FIL [27]. In this case, UV-curable imprint resist with very low viscosity is dispensed on the substrate or a pre-coated transfer layer, as shown in Figure 2-2 (b1). Because of the ultra low viscosity, the imprint resist is able to spread out and fill the space under capillary effect during the imprint process. After removing the template, further plasma etching is applied to transfer the pattern into the transfer layer, if the transfer layer is implemented.

Page 24: High Resolution 3D Nanoimprint Technology

9

Figure 2-2. Schematic of UV-NIL: (a) spin-coating-based UV-NIL: (a1) UV-curable imprint resist is spin-coated on the substrate, (a2) imprint and UV-curing, (a3) separation of the template and the substrate; (b) dispensing-based UV-NIL: (b1) UV-curable imprint resist is dispensed on the substrate, (b2) imprint and UV-curing, (b3) separation of the template and the substrate.

Both of those two UV-NIL approaches have their own special features compared with each other. In the spin-coating-based UV-NIL, the spin-coating technique provides easily uniform coating over a whole wafer. The followed imprint is normally performed within a vacuum chuck. On the other hand, the dispensing-based UV-NIL doesn’t need vacuum, which simplifies the construction of tooling. However, air bubbles that are formed during the imprint cause problems may influence the throughput in mass manufacturing [45].

Obviously, UV-NIL is much faster compared with thermal NIL and avoids those issues caused by thermal process, because UV-NIL is implemented at room temperature. Another advantage is the low viscosity of the UV-curable resists, which enables low imprint pressures and low residual layer thicknesses. These advantages make UV-NIL very attractive for the integrated circuit fabrication [46].

2.2.3. Soft Nanoimprint

Compared with those nanoimprint methods using rigid templates, e.g., NIL and UV-NIL, the significant characteristic of soft nanoimprint is the implementation of soft templates. The soft templates are normally replicated from rigid master templates. Using one master template, it is possible to generate many low-cost soft replications for the practical fabrication. Besides, due to the intrinsic characteristic of the soft templates, soft nanoimprint enables better contact with non-planar or

Page 25: High Resolution 3D Nanoimprint Technology

10

non-ideal planar surfaces of substrates and low risk of mechanical damage of the templates. It also allows large area imprint using a single imprint step.

Soft nanoimprint contains various categories. Generally speaking, there are two major groups: soft contact nanoimprint and polymer-resist-based soft nanoimprint. The former include mainly the microcontact printing (µCP) [28] and its variations, while the latter is composed of soft UV-NIL [47], soft thermal NIL [48] and those techniques using solvent evaporation [28] or diffusion [49] as the curing method.

The earliest soft nanoimprint method is the well-known µCP, which was invented by the Whitesides group. It is a typical contact soft nanoimprint technology. A schematic of the µCP process is shown in Figure 2-3 (a). A polydimethylsiloxane (PDMS) template is firstly replicated from a master template. Then the PDMS template is wetted with a chemical ink which is capable of forming a self-assembled monolayer (SAM) on the surface layer of the substrate when they get into contact. The substrate to be patterned contains an evaporated thin film layer which is normally Au, Ag or another metal. In the next step, the PDMS template is imprinted on the substrate and only the protrusion part can be brought into contact with the substrate to form the SAM. The SAM is now ready for further patterning of the thin film layer.

µCP is a simple and efficient method. However, it essentially encounters some issues, for example the diffusion of molecular inks. The resolution of µCP is reported to have a practical limit at around 100 nm [32][50]. Some alternative methods, such nanotransfer printing (nTP) [51], decal transfer lithography (DTL) [52], have been developed to overcome this problem. In the nanotransfer printing (nTP), solid metal such as Au and Al is directly deposited on the PDMS template then and transferred onto the substrate using the contact method, as shown in Figure 2-3 (b).

Page 26: High Resolution 3D Nanoimprint Technology

11

Figure 2-3. Schematic of soft contact nanoimprint: (a) µCP: (a1) PDMS template is wetted with a chemical ink, (a2) during the imprint, the protrusion part is brought into contact with the substrate to form a SAM, (a3) separation of the template and the substrate; (b) nTP: (b1) a metal layer is deposited on the PDMS template, (b2) the metal layer is transferred onto the substrate during the imprint, (b3) separation of the template and the substrate.

While µCP and its variant methods mainly focus on contact printing of metals, more researchers recently concentrate their efforts on imprinting polymer resists using soft templates. Although the soft templates are also normally PDMS replications from rigid master templates, in this kind of polymer-resist-based soft nanoimprint, the polymer resists replicate again the patterns from the soft templates. Similar to Thermal NIL and UV-NIL, the imprinted polymer resists can either act as etch mask or direct functional structures. The curing methods include thermal curing, UV-curing as well as solvent evaporation or diffusion. Figure 2-4 shows a schematic process of soft UV-NIL as an example. The UV-curable resist is prepared like the UV-NIL using spin-coating or dispensing. Then a PDMS template is imprinted into the resist and UV exposure is applied. Finally, the template is released and the imprinted pattern is ready for further processing.

The pioneer works in this field, including replica molding (REM), micromolding in capillaries (MIMIC), microtransfer molding (µTM) and solvent-assisted microcontact molding (SAMIM), were also performed by Whitesides group in the 1990s [28]. In REM, MIMIC, µTM, thermal or UV-curable polymer are implemented as imprint resists and enable thermal or UV-curing. In SAMIM, the imprinting material is firstly dissolved in a solvent and then cured by solvent evaporation during the imprinting. Nowadays, soft UV-NIL has become the most attractive and promising soft nanoimprint technology. Because PDMS templates

Page 27: High Resolution 3D Nanoimprint Technology

12

have rather good optical transparence to visible and UV light [31], it combines the advantages of UV-curing and soft nanoimprint.

Figure 2-4. Schematic of soft UV-NIL: (a) imprint resist is coated on the substrate, (b) a soft template is imprinted into the resist and UV-curing is applied, (c) separation of the template and the substrate.

Nevertheless, UV-NIL as well as all the other soft nanoimprint techniques shares a very crucial issue, the deformation of the soft templates. Because of the elastomeric nature of PDMS or other used soft material, the soft template tends to be deformed during the imprint process. The deformation leads to the distortion of the imprinted structure and limits the resolution of soft UV-NIL. The resolution can be influenced by some factors including the material of the soft template, the viscosity of the imprint material, the performance of the imprinting system, the imprint pressure and the patterns of the template [53].

2.2.4. Combination and Variation Methods

During the boom of nanoimprint technologies in the past years, a variety of combination and variation methods have been developed. In this section, some of the most representative methods will be introduced, including reverse nanoimprint, multi-level patterning, combined thermal and UV-nanoimprint lithography (TUV-NIL) [37] and combined nanoimprint and photolithography (CNP) [38].

In the reverse nanoimprint, the imprint resist is spin-coated onto a template rather than on a substrate. The imprint resist will be then imprinted on the substrate and cured by either thermal or UV methods. Because the surface of the template has or

Page 28: High Resolution 3D Nanoimprint Technology

13

is treated to obtain a lower surface energy than the substrate, the cured resist can be finally transferred onto the substrate after separation process. Therefore, it enables the pattern transfer onto those substrates which are not capable of spin-coating or are already structured [22]. In another point of view, reverse nanoimprint is a methodology of implementing conventional nanoimprint process in a flexible way. The contact nanoimprint technology, e.g., µCP, can be also reviewed as a type of reverse nanoimprint.

Multi-level patterning is another methodology to apply the imprinting several times using one or several different templates. It is an attractive method for patterning 3D multilayer stacks that are difficult to be fabricated using other methods. For example, 3D woodpile-like polymer structures were fabricated by multi-level patterning based on reverse nanoimprint [54]. 3D multilayer Au stacks were formed by multiple processes of nTP [55].

TUV-NIL is a combination of conventional Thermal NIL and UV-NIL for the purpose of improving the imprint performance. In this case, a special imprint resist is implemented, which is UV-curable and has a glass transition temperature. The imprint process is performed at a constant temperature above the glass transition temperature of the imprint resist.

CNP is a combination of nanoimprint and conventional photolithography. The template is a hybrid mold which includes both small protrusions for nanoimprint and metal mask for photolithography. In the CNP process, an imprint step, an UV exposure and finally a wet development is performed in sequence. Therefore, large- and nanoscale patterning, which are normally applied in separated processes, is enabled by CNP in one fabrication process.

2.2.5. Potential Industrial Techniques

For the industry standard fabrication using nanoimprint technologies, some other issues such as throughput and defect control have to be taken into consideration beside of imprint resolution. Among those issues, the throughput is the most crucial point, since it directly determines the fabrication cost. Currently, the most potential methods for industrial implementation of nanoimprint are large-area nanoimprint in a single step, step-and-repeat nanoimprint [46] and roll-to-roll nanoimprint lithography (R2RNIL) [56].

Increasing the imprint area of each single imprint is a logical idea for high throughput fabrication. However, a high quality imprint over this large area can only be achieved when a homogenous contact between the template and substrate

Page 29: High Resolution 3D Nanoimprint Technology

14

is ensured. Therefore, as already discussed in section 2.2.3, soft UV-NIL is the right candidate for large-area nanoimprint. Currently, commercialized UV-NIL devices enable a large imprint area up to 300 mm.

Another commercialized industry standard method is the step-and-repeat nanoimprint technique. In this case, the imprint is performed repeatedly over a large substrate using a rigid and comparably smaller template. Although both thermal and UV-NIL are available to be integrated with step-and-repeat process, UV-NIL based process is more popular due to its speed and other issued discussed in section 2.2.2. A 65 mm × 65 mm quartz template with a 25 mm × 25 mm protruding imprint area is commonly used for UV step-and-repeat process, which is normally also fully compatible with conventional UV-NIL. Although its imprint area is much smaller than the soft UV-NIL, the rigid template avoids the deformation of the soft template in case of soft UV-NIL and the relatively small imprint area enables also good contact with the substrate.

Roll-to-roll nanoimprint lithography (R2RNIL) is a potential technology for future nanofabrication. In R2RNIL, novel roller template and flexible substrate are implemented. This mechanism intrinsically enables continues, high speed imprint and separation with a low pressure. R2RNIL is currently mainly a laboratory technology. Nevertheless, the imprint speed of R2RNIL is reported to be possibly at least one or two orders of magnitude higher compared with the current state of the art [56]. A similar technique is roll-to-plate nanoimprint lithography (R2PNIL) [57] which apply roller-type imprint on rigid substrates.

2.3. Nanoimprint Devices and Tools

Different nanoimprint methods are described in the section 2.2. For practical applications, however, they have to be implemented via appropriate devices and tools, i.e., nanoimprint templates, resists and equipments, as shown in the Figure 2-5. In the following sections, nanoimprint templates, resists and equipments will be successively discussed.

Page 30: High Resolution 3D Nanoimprint Technology

15

Figure 2-5. Correlation among nanoimprint methods, templates, resists and equipments.

2.3.1. Nanoimprint Templates

Templates are almost the most important part of nanoimprint process, because they contain the imprint patterns which directly determine the nanoimprint resolution. Furthermore, the fabrication of templates is usually rather expensive.

The most common materials of nanoimprint templates are silicon, dielectric materials including silicon dioxide and silicon nitride, metals such as nickel as well as some polymers. Generally, they should have good mechanic properties, e.g., sufficient Young’s modulus, and be compatible to traditional microfabrication processes [31]. However, the choice of materials differs a lot from different nanoimprint methods, because they demand different requirements of the template materials. For example, the thermal stability and thermal expansion coefficient are critical for thermal NIL; the UV transparence is crucial for UV-NIL; elastic polymers with sufficient Young’s modulus are required for soft nanoimprint techniques.

In most cases, rigid templates are directly fabricated using different microfabrication techniques, while the soft templates are replicated from rigid templates. Some rigid templates are also replicated from master templates, for instance, the nickel templates, which are often electroplated from silicon master templates to offer more mechanical stability and robustness. Considering the rigid templates or master template fabrication, lithography and reactive ion etching (RIE) are commonly implemented. The lithography can be performed using photolithography [30] for relatively larger patterns, electron-beam lithography (e-beam lithography, EBL) [30] for small patterns, interference lithography [58] for large-area periodic patterns, laser or focused ion beam (FIB) [59] for complex 3D patterns in small area as well as nanoimprint itself. RIE is normally used as etching step for template fabrication because of its anisotropic property and accurate etching rate control. Figure 2.6 shows a schematic of template fabrication process.

Page 31: High Resolution 3D Nanoimprint Technology

16

Figure 2-6. Schematic of template fabrication process: (a) a template fabricated using different microfabrication technology step by step: (a1) lithography, (a2) reactive ion etching, (a3) remove etch resist; (b) a template replicated from a master template: (b1) master template fabrication, (b2) replication, (b3) replicated template.

After fabrication, most of the templates still need surface treatment to avoid sticking of imprint resists, except those made of materials that intrinsically have low surface energy, such as PDMS. A widely used anti-sticking agent is a self-assembled monolayer of a fluorosilane that is applied on the surface of the templates by solution phase or vapor phase deposition [31].

Since nanoimprint templates are so critical and valuable, it is worth of repairing in case of defects. The most potential tool is focused ion beam (FIB) [59], which is capable of maskless etching and deposition at certain positions of samples of many materials, especially semiconductors. Recently, FIB repair for nanoimprint templates has been already demonstrated [60].

Nanoimprint templates are already commercially available. Both worldwide nanoimprint equipment providers, e.g., Nanonex and Obducat and some small professional templates vendors such as NIL Technology offer different standard or customized templates.

2.3.2. Nanoimprint Resists

The properties of different categories of nanoimprint resists differ from each other due to the nanoimprint methods they adapted and the final applications. Nevertheless, some properties such as a sufficiently low viscosity during imprinting and adequate mechanical strength after curing are always preferred. Because nanoimprint implements a conformal replication, only the resist of a

Page 32: High Resolution 3D Nanoimprint Technology

17

sufficiently low viscosity during imprinting can fill in all the patterns of the template and enables high quality replication. The mechanical strength of cured resist is important for the template releasing process and eventually its final application if it works directly as a functional structure. Some other properties such as plasma etching properties and transparency are required depending on specific applications.

Most of the implemented thermal resists are commercially available thermal plastic materials, e.g., polymethylmethacrylate (PMMA) and polystyrene (PS) [22]. In this case, choosing an appropriate glass transition temperature (Tg) is critical. Too high Tg adds longer heating and cooling time during thermal nanoimprint process, while too low Tg leads to relaxation, i.e., the imprinted patterns “melt” at room temperature.

Despite the advantages of UV-NIL, UV-curable resists are much more complicated. Nevertheless, commercially available UV-curable resists have been offered by some companies such as Micro Resist Technology (Germany), AMO (Germany), Obducat (Sweden), Molecular Imprint (USA), Nanonex (USA) and Toyo Gosei (Japan) for common use or to adapt their own processes and tools. Besides, many research groups developed their own recipes.

Efforts for nanoimprint resist of other curing principle have been done as well. However, they are currently not commercially available as thermal or UV-curable resists.

2.3.3. Nanoimprint Equipments

Nanoimprint equipments are complicated platforms. In order to achieve a high quality imprint, different requirements have to be fulfilled. First, the template and sample should be fixed to their holders during the nanoimprint process. Usually a vacuum system is implemented to fix the template. Then a complex mechanism is required for loading the substrate, alignment as well as the imprint process. Features such as a uniform and controllable imprint pressure, precise alignment and wedge error compensation (WEC) are important for a professional nanoimprint device. In case of thermal or UV nanoimprint, either a thermal or UV source is also integrated in the system. Additionally, the issue of air bubble trapping should be considered for most nanoimprint methods. Solutions include evacuation of the imprint chamber and some special imprint approaches, such as the sequential imprint process used in substrate conformal imprint lithography (SCIL) [61].

Page 33: High Resolution 3D Nanoimprint Technology

18

Different types of commercialized nanoimprint equipments have been developed in the past years. The world-leading suppliers include Molecular Imprint (USA), Nanonex (USA), SUSS MicroTec (Germany), EV Group (Austria) and Obducat (Sweden).

2.4. Applications of Nanoimprint

2.4.1. Lithography

One of the most significant applications of nanoimprint technology is functioning as the next generation lithography (NGL) for integrated circuit (IC) manufacturing. Together with extreme ultraviolet lithography (EUVL), electron-beam lithography (e-beam lithography, EBL), X-ray lithography and some other high resolution lithography techniques, nanoimprint is considered as one of the candidates of NGL. These NGL techniques will replace the currently used optical projection lithography when extending optical projection lithography offers no longer better performance. The key requirements of lithography for manufacturing ICs are critical dimension (CD) control, overlay, defect control and low cost [62]. Nanoimprint has much lower cost than any other NGL techniques. However, defect control is still one crucial drawback of nanoimprint that has to be improved.

Beside of the potential in NGL, nanoimprint has found various applications as lithography method in electronics, optical and photonic devices, magnetic devices and biological applications [22][31]. In those application fields, the defect control plays less important role and the low cost of nanoimprint shows its superiority. As a typical example, nanoimprint has been successfully implemented to fabricate photonic crystal structures on light emitting diodes (LED) to enhance the light extraction effiency [63][64][65].

2.4.2. Direct Patterning

In addition to being a useful lithography technique, nanoimprint is able to directly pattern polymer structures with high resolution and high throughput. A lot of new applications have been explored. Among those applications, fabricating polymer photonic crystals (PhC) is probably the most widely studied topic. Different curing methods, including thermal, UV or solvent diffusion, have been successfully applied for direct photonic crystals patterning [66][67][49]. More complex implementation of nanoimprinted PhC has also been demonstrated. For example,

Page 34: High Resolution 3D Nanoimprint Technology

19

2D PhC structures in the active medium of a band-edge laser were patterned in only one nanoimprint step using an imprint resist that dissolved the dye-doped polymer [68]. Other polymer photonic devices such as micro-ring resonators [69], photonic bandgap (PBG) structures in nonlinear optical (NLO) polymers [70] and functional micro patterns of flexible liquid crystal display (LCD) [71] are also suitable for direct nanoimprint patterning.

Besides, nanoimprint direct patterning finds a number of applications in the field of biology and chemistry. 3D microfluidic systems in PDMS have been fabricated by soft nanoimprint since even 10 years ago [72]. Nanoimprinted nanofluidic channels were implemented for DNA stretching [73]. Additionally, the implementation of nanoimprint for structuring polymeric micro- and nanoparticles has become more important in a variety of biological applications [74][75].

2.4.3. Application of 3D Nanoimprint

No matter as a lithography tool or above-mentioned direct patterning method, nanoimprint deals with 2D cases. The research mainly focuses on the improvement of the lateral resolution. Highly precise lateral structures down to 6 nm were recently reported to be patterned using thermal-NIL [76]. Nevertheless, for some applications it is important to implement 3D nanoimprint and to control the vertical resolution. Up to now, only quite a few research results of 3D nanoimprint were reported, because it is still difficult to fabricate 3D templates and extremely challenging to precisely apply arbitrary heights of 3D template structures in a flexible way.

To the best knowledge of the author, the only mature topic of 3D nanoimprint is microlens array, since it plays important roles in today’s optical systems and its template is relatively easy to fabricate. The microlens array structure is normally firstly fabricated by heat reflow of photoresist on a master template and then converted to working templates [77]. The process of microlens imprint as well as the required devices and tools are already commercial available [78]. Apart from microlens array, templates with two- and three-tiered structures for structuring T-gates or optical grating couplers were reported by Willson’s group [46][79]. Most recently, templates with slope structures were released, which were fabricated by the combination of grayscale EBL and thermal reflow process as well as RIE [80]. High vertical resolution 3D nanoimprint technology with mesa arrays of different arbitrary heights was developed in recent years by our group. We developed a novel methodology to fabricate 3D nanoimprint templates with different heights of ultra-high vertical resolution [81]. Different types of 3D nanoimprint templates

Page 35: High Resolution 3D Nanoimprint Technology

20

were developed and adapted to the available cutting edge nanoimprint technologies. Using these 3D templates, the imprinting processes of 3D nanoimprint were developed and applied for our novel Fabry-Pérot-filter-based nanospectrometers [23]. Detailed information about our 3D templates and their applications for nanospectrometers will be introduced in chapter 4 and 5.

Page 36: High Resolution 3D Nanoimprint Technology

21

3. Foundations of Fabrication Technologies Relevant for 3D Nanoimprint at INA

This chapter deals with the foundations of the implemented technologies relevant for 3D nanoimprint in this work. The main technologies and relevant equipment for the template fabrication are introduced in section 3.1. The applied nanoimprint technologies and relevant tools are included in section 3.2.

3.1. Template fabrication Technology and Relevant Tools

The main technologies implemented for the template fabrication are photolithography, dry etching and white light interferometry (WLI). The following sections will introduce those technologies as well as the implemented equipment.

3.1.1. Photolithography

Photolithography, or optical lithography, is one of the most common lithography [30] techniques. It mainly deals with the etch masks for etching processes or sacrificial layers for lift-off processes in the micrometer range, which is exactly the desired lateral dimensions for our 3D templates.

A photolithography process includes spin-coating, alignment and UV exposure, development as well as appropriate cleaning and baking. Figure 3-1 shows the main process steps of photolithography and possible follow-up procedures. After substrate cleaning and prebaking, the photoresist, a UV radiation-sensitive material, is spin-coated on the substrate and baked to reduce the remaining solvent concentration in the photoresist (called softbake or prebake). Then, the coated substrate and photomask are leveled and aligned in a specific device, named mask aligner, for exposure. The UV exposure changes the solubility [82] of the exposed part of the photoresist in the developer, the solution used in development process. During the exposure process, however, two types of photoresists are impacted on differently by the UV exposure. In case of positive photoresist, the exposed part becomes soluble in the developer and will be removed in the development process; in case of negative photoresist, the exposed part becomes insoluble in the developer and thus remains with undercut profiles. In most cases, positive resists are implemented as etch masks for different etching processes (Figure 3-1 (d1),

Page 37: High Resolution 3D Nanoimprint Technology

22

(e1)) and negative resists are implemented for lift-off processes due to the advantage of their undercut profiles (Figure 3-1 (d2), (e2)).

Figure 3-1. Schematic of photolithography and possible follow-up processes: (a) spin-coating of photoresist, (b) alignment of photomask and UV exposure, (c) development. In case of positive resist: (c1) the exposed part of photoresist is removed, (d1) etching, (c1) stripping; in case of negative resist: (c2) the exposed part of photoresist remains, (d2) material layer deposition, (e2) lift-off.

The implemented mask aligner is SUSS MicroTec MA4 mask aligner from Karl Suss (SUSS MicroTec). This manual mask aligner applies contact exposure [82][83] with a mercury lamp that radiates a spectrum range from 350-450 nm. In order to minimize the template or photomask damage, the soft contact [84] mode is always implemented and results in a resolution down to 1-2 µm. The maximum alignment accuracy is practically also about 1-2 µm. Those above mentioned lithography resolution and alignment accuracy fulfills the requirements of the template fabrication.

Page 38: High Resolution 3D Nanoimprint Technology

23

3.1.2. Dry Etching

Dry etching [82] refers to those etching processes by means of ions or plasmas, such as pure physical sputter etching, pure chemical plasma etching as well as the balance of physical and chemical process, i.e., reactive ion etching (RIE).

Reactive ion etching:

Reactive ion etching (RIE) [82][85] is a widely adopted dry etching technology for microstructures. It is a transition state between pure physical sputter etching and the pure chemical plasma etching, providing a balance of anisotropy, selectivity, etching rate and damage.

Figure 3-2 shows a typical parallel-plate reactor of a RIE system. The desired amount of process gases are controlled and mixed by mass flow controllers (MFC). Then, the mixed gases are distributed into the process chamber which is pumped and kept at a required low pressure. A standard radio frequency (RF) (13.56 MHz) electric field is coupled between two parallel-plate electrodes that ionize the process gases and generate the plasma (including charged ions, free electrons and neutral radicals). The electrons follow the high frequency due to their small mass and thus generate a bias voltage between the electrodes (called DC bias). The electrical field generated by the DC bias accelerates the positively charged ions perpendicular to the cathode, i.e. to the substrate. While the reactive ions etch the surface of the substrate chemically, they knock out the surface material of the substrate by mean of physical sputtering due to their high kinetic energy. The volatile etching product of the RIE is mostly pumped out and may partially redeposit on the etching resist or the substrate. Besides, a pure physical sputtering can be also performed in such a RIE system, when only non-reactive gases, e.g., argon, are implemented. (For a simple statement, the author will not differ between the pure physical sputtering using RIE system and the normal RIE process in this work.)

Page 39: High Resolution 3D Nanoimprint Technology

24

Figure 3-2. Schematic of a parallel-plate RIE system.

Two parallel-plate type RIE systems were implemented in this work for fabricating templates of different materials. The Oxford Plasmalab 80+ RIE system from Oxford Instruments, connected with H2, CH4, Ar and O2, was applied for GaAs etching. A self-build RIE system, which is capable of process gases such as Ar, CHF3, SF6 and O2 was used for Si and dielectric material etching.

Plasma ashing/etching:

The plasma asher, which is equipped with a barrel reactor, offers isotropic plasma ashing or etching. The plasma is generated by a microwave frequency electric field and chemically reacts with the substrate. The implemented plasma asher (Plasma Prozessor 200-G, PVA TePla AG) provides an O2-plasma of different plasma density which is determined by the applied microwave power for various purposes including removal of the photoresist, descum process, cleaning substrates as well as underetching of the organic sacrificial layers of TOSA (section 5.3.2).

3.1.3. White Light Interferometry

White light interferometry (WLI) [86][87] is a fast, non-contact, optical measurement technology that offers high quality 3D image with extremely high vertical precision and relatively large area for optical MEMS as well as microstructures.

The principle of WLI is quite similar to a Michelson interferometer equipped with a white light source and a detector (e.g., a high resolution CCD camera), as shown in Figure 3-3. The incoming light from the white light source is split by the beam

Page 40: High Resolution 3D Nanoimprint Technology

25

splitter and the two beams are coupled to the sample and a reference mirror, respectively. The reflected beams recombine in the interferometer and generate bright and dark interference fringes, known as interferogram, captured by the camera. Then, the sample moves vertically, i.e., perpendicular to the reflected beam, with a piezoelectric transducer and thus is scanned vertically by the interference light. The camera stores the intensity variation as a function of vertical scanning position of each pixel. Because the white light source has a much smaller coherence length compared to a laser light source, the orders of the interference fringes are limited and thus are able to be detected. By processing the interferogram of each pixel, e.g., through frequency domain analysis (FDA), a 3D image of the sample surface can be generated by the software.

Figure 3-3. Schematic of the principle of white light interferometry [88].

The WLI used at INA is Zygo NewView 5000 system from Zygo Corporation with maximum vertical step height of 100 µm and vertical resolution of 0.1 nm. The field of view and the lateral resolution are determined by the objectives in use as well as the image zoom setting. The field of view is up to 3.525 mm × 2.65 mm by a 5× Michelson objective, while the lateral resolution is down to 0.32 µm by a 50× Mirau objective. The above-mentioned technical parameters can adapt all the measurement requirements of our 3D templates with structures in different lateral and vertical dimensions.

Page 41: High Resolution 3D Nanoimprint Technology

26

3.2. Nanoimprint Technology and Relevant Tools

Standard UV-NIL with rigid transparent templates and SCIL were implemented for 3D nanoimprint. Both technologies were performed using SUSS MicroTec MA6 Mask Aligner with UV-NIL or SCIL toolkit. In other words, the nanoimprint system is based on MA6 Mask Aligner, i.e., the mask holder is taken apart and replaced by UV-NIL toolkit or SCIL toolkit and then the MA6 funtions as a UV-NIL or SCIL imprint device. Therefore, these nanoimprint processes benefit from the feasures of the mask aligner including accurate alignment, automatic wedge error compensation (WEC) and uniform UV exposure [61].

3.2.1. UV Nanoimprint

The basic principle of UV-NIL has been introduced in section 2.2.2. The technique used in this work is spin-coating-based UV-NIL which is compatible with all the spin-coating based UV-curable nanoimprint resists.

Page 42: High Resolution 3D Nanoimprint Technology

27

Figure 3-4. Schematic of UV-NIL process using MA6 with UV-NIL toolkit [89]: (1) The coated substrate is leveled to the template, (2) The template is pressed into the imprint resist with controlled force and speed in a vacuum circumstance, (3) curing by UV exposure, (4) separation process.

Figure 3-4 shows the steps of UV-NIL process using MA6 with UV-NIL toolkit. A transparent template is firstly fixed on the template holder by vacuum from the pressure chamber (vacuum area 1). Then a coated substrate is fixed on the substrate chuck by vacuum, loaded into the process chamber (vacuum area 2) and leveled to the template for wedge error compensation. Afterwards, the process chamber is sealed and evacuated (typically 0.3 bar) to avoid air bubble trapping during the imprint process. In the next step, the pressure chamber is slowly ventilated and a controlled pressure is added (typically 0.8 bar, i.e., together 1.8 bar with atompheric pressure). The pressure difference between the two sides of the template (typically 1.5 bar) presses the micro-/nanostructures of the template into the coating layer on the substrate. The imprinted resist is then cured by UV exposure. Finally, the pressure chamber restarts the vacuum to fix the template again and the process chamber is totally ventilated, separating the substrate and the template.

Page 43: High Resolution 3D Nanoimprint Technology

28

3.2.2. Substrate Conformal Imprint Lithography

Substrate conformal imprint lithography (SCIL) is a novel soft nanoimprint (section 2.2.3) technology developed by Philips Research and SUSS MicroTec, which enables large area patterning up to 6 inch wafer. The SCIL template is a soft PDMS template that is attached on a thin glass carrier. The rigidness of the thin glass carrier voids lateral deformation, while its flexibility in lateral direction, together with the PDMS layers, allows a distinctive sequential imprint process over a large area. (The detailed structrues of SCIL templates as well as the replication process will be introduced in section 4.4.3.)

Figure 3-5. Schematic of SCIL process using MA6 with SCIL toolkit [90]: (a) the SCIL template is fixed on the template holder by vacuum, (b) the imprint sequence starts from one side of the template and spreads gradually to the other side, (c) the imprint resist is cured either by solvent diffusion in case of sol-gel based resists or UV exposure in case of UV-curable resists, (d) and (e) the seperation process starts from one side of the template to the other side, (f) seperation process is completed.

Figure 3-5 illustrates the sequential imprint process of SCIL. At first, the SCIL template is fixed on the template holder by vacuum from a series of vacuum grooves. Then, the imprint sequence, controlled by ventilating the vacuum grooves step by step, starts from one side of the template and spreads gradually to the

Page 44: High Resolution 3D Nanoimprint Technology

29

other side, resulting a small imprint pressure of only 20 mbar. Thus, the resist filling is caused mainly by caplillary effect due to conformal contact, which minimizes the structrue deformation of the template caused by high imprint pressure. Two kind of curing are capable of SCIL: i) sol-gel based SCIL: A silica-based sol-gel imprint resist (Sol-gel) is implemented. The solvent as well as the reaction products diffuse into the PDMS and thus the Sol-gel is cured [49]. ii) UV-SCIL: like normal soft UV-NIL, a UV-curable imprint resist is implemented and cured by UV exposure. After curing, the separation process also starts from one side of the template by gradually evaluating the vacuum grooves. It results in a low peeling force that avoids damage to the patterned resist as well as to the structures of the template.

Page 45: High Resolution 3D Nanoimprint Technology

30

4. Technology Development of 3D Nanoimprint Templates

As introduced in chapter 2, conventional nanoimprint methods, resists and equipments have been commercially available. Meanwhile, it is still rather challenging to implement 3D nanoimprint due to the difficulty in 3D template fabrication. In 2007, the required templates with different accurate heights for structuring the filter cavities of our nanospectrometers (5.1.2) were not available from any company or research institution [33]. However, as the key idea and the most critical fabrication process of nanospectrometers, 3D nanoimprint technology has to be performed.

In the same year, Prof. Hillmer proposed the first idea to fabricate 3D templates using our own technology at INA. It is about a series of lithography and etching process. The first experiment with GaAs substrate using photolithography and reactive ion etching (RIE) proved the possibility of fabricating 3D templates for nanospectrometers [33]. Another idea of using epitaxial multilayer substrates and selective etching for precisely heights control from Prof. Hillmer was submitted for patent application shortly afterwards [91]. Those made the start point of my thesis work.

This chapter presents the technology development of the 3D nanoimprint templates for nanospectrometers. The methodology of 3D template fabrication will be introduced in section 4.1. There are three steps in the 3D template development, which will be described in sections 4.2, 4.3, 4.4. Section 4.2 focuses on the fabrication of “negative” cavity structures with different heights on GaAs substrates, which can be used for thermal NIL or reverse UV-NIL. In section 4.3, the development of 3D transparent templates for UV-NIL is included. At last, issues and experimental results of 3D master templates development for soft UV-NIL and SCIL is discussed in section 4.4.

4.1. Methodology of Template fabrication

4.1.1. General fabrication method of 3D templates

It is always comparably easy to fabricate 2D templates, even if the lateral feature of 2D templates is small enough to challenge the limitation of current available lithography techniques [30]. One lithography step plus one etching step are

Page 46: High Resolution 3D Nanoimprint Technology

31

capable of fabricating 2D structures with arbitrary pattern in lateral direction and a desired height.

Fabricating 3D templates, however, is much more challenging due to adding the 3rd dimension. Theoretically, any 3D structure can be divided into a number of layers perpendicular to vertical axis and patterned layer by layer using the combination of lithography and etching/deposition process. However, ultra high process precision, including the lithography resolution and alignment accuracy for lateral dimension as well as etching/deposition control for vertical dimension, must be ensured. Other maskless patterning techniques such as laser and FIB [92][93] are only capable of fabricating a small amount of 3D structures.

Fortunately, most of the possible 3D nanoimprint applications do not require structures of continuous heights with arbitrary distribution. Those 3D structures of the fancy template, shown in Figure 4-1, are already more complicated than those for various applications. Fabricating the gratings and two- or three-tiered stages only need several rounds of lithography and etching process. The slopes and hemispheres can be fabricated based on thermal reflow of patterned resists (section 2.4.3). The more complex structure is a mesa array of many different heights in arbitrary distribution, which is demanded to imprint the 3D filter cavities of our nanospectrometers. It can be fabricated by a series of lithography and etching rounds and the fabrication rounds are possible to be dramatically reduced when the heights are distributed in a digital way. The details would be introduced in the following section.

Figure 4-1. A fancy 3D template with different 3D structures including a mesa array, gratings, slopes, hemispheres, etc.

Page 47: High Resolution 3D Nanoimprint Technology

32

4.1.2. Digital Etching

Mapping Between Wavelengths and Cavity Heights:

Before talking about the methodology of template fabrication, the mapping between wavelength and cavity height of Fabry-Pérot-based microspectrometers (section 5.1) shall be firstly introduced. A Fabry-Pérot filter is capable of filtering one wavelength. A Fabry-Pérot-based microspectrometer, i.e., the combination of a number of different Fabry-Pérot filters, is able to cover a spectral range. The detection wavelengths, i.e., the sampling points, which may have either identical or approximative steps between each other, depend on specific applications. The mapping between wavelength and cavity height, however, can be determined by several factors, including refractive indices and extinction coefficients of DBRs and cavity materials, DBR periods, as well as the order of the cavity mode. Figure 4-2 shows a simulation result, which indicates the influence of the order of the cavity mode.

Figure 4-2. Simulated central wavelengths of Fabry-Pérot filters with organic cavities (Ormocomp, Micro Resist Technology) in different heights and SiO2/Si3N4 DBRs (15.5 pairs, 600 nm central wavelength) as a function of relative shift of the optical cavity thicknesses [94].

Generally speaking, the mapping between wavelength and cavity height of Fabry-Pérot-based microspectrometers is nonlinear and could be quasi-linear under certain preconditions. It is difficult and in most of the case not necessary to implement the detection wavelengths of Fabry-Pérot-based microspectrometers with identical steps. Therefore, the cavity heights of our nanospectrometers and the corresponding structures on the templates will be fabricated with the same step, which enable a significant reducing of the fabrication steps of templates in a way of digital etching.

Page 48: High Resolution 3D Nanoimprint Technology

33

Digital Etching:

The requirement of template fabrication has been discussed in the above paragraphs: to fabricate structures of many different heights with the same step. However, nanospectrometers may need many different cavity heights and each cavity height should not be fabricated in each individual step, because it takes too much cost and time in fabrication. To solve this issue, a methodology of digital etching will be introduced in the next paragraphs.

The Fabry-Pérot filter cavities are “positive” protrusion structures which are imprinted by comparably “negative” concave structures of the templates. For most nanoimprint technologies with rigid templates, “negative” structures on the templates are demanded. In case of soft nanoimprint techniques, “positive” structures are firstly patterned on master templates and then soft templates with “negative” structures are replicated from the master templates. In the fabrication process, either etching or deposition could be implemented. To be concise, “negative” structures and etching process are given as preconditions for the following descriptions.

Assume that there are n different depths with the same step between each other. Those depths can be viewed as an arithmetic sequence. Suppose the initial term of the arithmetic sequence is d and the common difference of successive members is a, then the nth term is equal to

1 (4-1)

The (n-1) can be further expressed in a digital way:

· 2 · 2 · 2 · 2·

· 2 · · 2 · · 2 ·· 2 ·

(4-2)

where s is equal to “1” or “0”, m is the integer part of log 1 1 . That is to say, all the depths can be achieved by a series of digital etching. The initial etching provides all the cavity positions a depth of d. Afterwards, for each single cavity position, it meets either a “1” or a “0” state for each etching process. “1” represents “open state” of the lithography, which leads to etching on the corresponding position, and “0” represents “close state”, which prevents etching on the corresponding position. The etching depth decreases by a factor of 2 after the second etching. Thus, n designed depths have been obtained based on Eq. (4-2)

Page 49: High Resolution 3D Nanoimprint Technology

34

using (m+1) times lithography and etching processes. Additionally, there is no correlation among the distribution of those depths. In other words, the depths can be arbitrarily distributed according to the requirement of applications.

Figure 4-3 gives a simple example of the digital manner described by Eq. (4-2). A 4 × 4 square array with 16 different depths from d to (d + 15a) can be obtained by 5 lithography and etching steps in sequence.

Figure 4-3. A simple example of 3D template fabrication: each lithography state (top) and its corresponding etching depth (bottom).

This digital methodology significantly saves the fabrication steps, as long as all the depths are digital, i.e., they have the identical step or steps with a common divisor. The benefit increases when more different depths are implemented. However, it requires rather accuracy etching depth control. Otherwise, the errors of the etching processes will accumulate. Another advantage of this methodology is that the mask design and etching depths of all the fabrication sequences can be easily aided using computer programming because of its intrinsic digital characteristic. Some self-written MATLAB® codes are attached in appendix A1, which are used for aiding mask design and etching depth calculation.

In most of the research work during 3D template development, masks as shown in Figure 4-3 were implemented due to the simple and obvious depth distribution. In practical applications, the “1”/“0” distribution of mask series has to follow the “custom specific” cavity height distribution of nanospectrometers. It will be calculated by the help of the MATLAB® codes “calculate mask design” (appendix A1.1). Although, the required etching depths of template fabrication may vary according to the choice of the imprint resist, the residual layer thickness, DBRs, the order of the cavity mode, etc., the rule of digital etching of Eq. (4-2) doesn’t change. Therefore, the mask design could begin after fixing the number of different cavity thicknesses and the lateral design of nanospectrometers.

Page 50: High Resolution 3D Nanoimprint Technology

35

An Example: Design of “Custom Specific” Templates:

An example is given to show the procedure for designing “custom specific” templates: A “custom specific” detection wavelength distribution is given by our cooperation partner Opsolution NanoPhotonics (OPN) for nanospectrometers in the visible light range, as shown in Figure 4-4 and Table 4-1. The lateral dimension of the cavities is designed in micrometer range to adapt pixels of CCD image sensors. The tasks are the photomask design and the calculation of the desired etching depths.

Figure 4-4. A “custom specific” detection wavelength distribution: a microspectrometer with 12 × 12 array of Fabry-Pérot filters is designed for detecting 64 different wavelengths in the spectral range of 392–518 nm with a step of 2 nm. The color represents the designed central wavelength of each Fabry-Pérot filter.

Page 51: High Resolution 3D Nanoimprint Technology

36

Table 4-1. A “custom specific” detection wavelength distribution: the table form of Figure 4-4.

0.0 504.0 480.0 448.0 492.0 0.0 2.0 458.0 502.0 410.0 490.0 2.0 440.0 516.0 412.0 396.0 496.0 436.0 394.0 510.0 466.0 426.0 498.0 518.0404.0 408.0 456.0 420.0 460.0 468.0 434.0 470.0 446.0 514.0 442.0 438.0484.0 432.0 464.0 488.0 500.0 444.0 462.0 474.0 486.0 422.0 506.0 398.0428.0 424.0 452.0 392.0 416.0 400.0 450.0 482.0 430.0 494.0 402.0 478.0

0.0 472.0 512.0 476.0 508.0 0.0 2.0 406.0 418.0 414.0 454.0 2.0 2.0 414.0 518.0 422.0 470.0 2.0 0.0 400.0 420.0 452.0 424.0 0.0

486.0 454.0 434.0 482.0 426.0 494.0 444.0 472.0 456.0 488.0 484.0 448.0402.0 462.0 490.0 458.0 394.0 410.0 492.0 496.0 480.0 436.0 464.0 512.0398.0 406.0 506.0 510.0 514.0 478.0 404.0 508.0 504.0 412.0 468.0 428.0498.0 418.0 438.0 450.0 446.0 474.0 396.0 476.0 500.0 392.0 440.0 460.0

2.0 502.0 466.0 442.0 430.0 2.0 0.0 516.0 416.0 408.0 432.0 0.0

As already discussed, the detailed physical parameters of filters and process parameters only influence the etching depths and make no impact on the mask design. Therefore, the following assumptions and approximations are given to make the discussion concise and easy to understand:

1) Assume λ/2 cavities are implemented 2) Assume no residual layer after nanoimprint process 3) Assume that the refractive index of the implemented nanoimprint resist

keeps 1.5 through the whole required wavelength range (392–518 nm). 4) The other cavity heights are linearly mapped from their central wavelengths,

i.e., the heights are distributed uniformly with the same step. Then, the heights can be calculated using the following equation:

2 1.5 3 (4-3)

The required wavelength distribution contains actually four 6 × 6 subarrays. Two of them contain the same wavelengths, while the other two contain the comparably “+2 nm” wavelengths. The 2 nm wavelength shift requires a cavity height difference under 1 nm in the case of λ/2 cavities which is extremely difficult to be etched in the last etching step (details will be introduced in section 4.2.3). Therefore, last etching step will be combined with the initial etching step, i.e., two of the subarrays will be etched in the first etching step only as their initial etching, the other “+2 nm” subarrays will be etching in the second etching step only as their initial etching to create the 0.67 nm height difference. After the two initial etching steps, all the four subarrays will be processed together.

Page 52: High Resolution 3D Nanoimprint Technology

37

Based on those assumptions and approximations, the physical heights of the filter cavities are calculated as Table 4-2, which is the input of the program “calculate mask design” (appendix A1.1).

Table 4-2. Physical height distribution of filter cavities calculated from Table 4-1.

0.00 168.00 160.00 149.33 164.00 0.00 0.00 152.00 166.67 136.00 162.67 0.00 146.67 172.00 137.33 132.00 165.33 145.33 130.67 169.33 154.67 141.33 165.33 172.00134.67 136.00 152.00 140.00 153.33 156.00 144.00 156.00 148.00 170.67 146.67 145.33161.33 144.00 154.67 162.67 166.67 148.00 153.33 157.33 161.33 140.00 168.00 132.00142.67 141.33 150.67 130.67 138.67 133.33 149.33 160.00 142.67 164.00 133.33 158.670.00 157.33 170.67 158.67 169.33 0.00 0.00 134.67 138.67 137.33 150.67 0.00 0.00 137.33 172.00 140.00 156.00 0.00 0.00 133.33 140.00 150.67 141.33 0.00

161.33 150.67 144.00 160.00 141.33 164.00 148.00 157.33 152.00 162.67 161.33 149.33133.33 153.33 162.67 152.00 130.67 136.00 164.00 165.33 160.00 145.33 154.67 170.67132.00 134.67 168.00 169.33 170.67 158.67 134.67 169.33 168.00 137.33 156.00 142.67165.33 138.67 145.33 149.33 148.00 157.33 132.00 158.67 166.67 130.67 146.67 153.330.00 166.67 154.67 146.67 142.67 0.00 0.00 172.00 138.67 136.00 144.00 0.00

The output of the program “calculate mask design” is shown in Table 4-3. Since SCIL is planned to be implemented and thus master templates should be fabricated, here “1” represents “close state” (covered by chrome layer on the photomask and thus will not be exposed to UV light. After photolithography process, it is covered by photoresist if positive photoresist is implemented. After etching process, it “grows”. Details are introduced in section 4.4.1) and “0” represents “open state” (not covered by chrome layer on the photomask and thus finally do not “grows”) for each filter cavity position of the photomasks.

Page 53: High Resolution 3D Nanoimprint Technology

38

Table 4-3. Mask design generated by MATLAB® program “calculate_mask_design” (see appendix A1.1). “1” represents “close state” (Cr) and “0” represents “open state” (glass) for each filter cavity position on the photomasks.

0 1 1 0 1 0 0 1 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 1 0 0 1 0 1 1 0 1 0 1 0 0 1 0 1 1 1 0 1 1 1 0 1 0 0 0 0 0 0 0 0 1 0 1 0 1 0 1 1 1 1 0 0 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 1 0 0 1 0 1 0 1 1 1 1 0 0 1 1 1 0 0 1 1 1 0 1 1 0 0 1 1 1 1 0 1 1 0 1 0 1 0 0 0 0 1 0 1 1 0 0 1 0 1 1 0 0 0 0 1 0 0 0 0

Mask 1 Mask 2

0 1 1 1 0 0 0 0 0 1 0 0 1 1 1 0 0 0 0 1 0 0 0 1 0 1 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 1 1 1 0 0 0 1 0 1 0 1 1 0 0 0 1 0 1 1 1 1 0 0 0 1 1 1 0 0 1 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 0 1 1 0 0 1 1 0 0 0 0 0 1 0 0 1 0 0 1 0 0 1 1 1 1 0 1 1 1 0 0 0 1 0 1 1 1 0 1 0 0 1 0 0 0 0 1 0 0 0 1 1 1 0 0

Mask 3 Mask 4

0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 1 0 0 0 1 1 0 0 1 1 1 0 1 1 0 0 1 1 0 0 0 1 1 1 0 1 1 0 1 1 0 1 0 0 0 0 0 1 1 0 1 0 0 0 1 1 0 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 1 0 0 1 1 0 0 0 1 1 0 0 0 1 0 0 1 0 0 0 0 1 0 0 1 0 0 1 1 0 1 0 1 1 1 0 1 1 1 0 0 1 0 1 0 1 1 1 0 0 1 0 1 0 0 1 0 0 1 0 0 0 0

Mask 5 Mask 6

Since the two “+2 nm” subarrays have to be etched separately, the mask 1 should be separated into two masks for different initial etchings, as shown in Table 4-4.

0 1 1 1 1 0 0 1 1 1 1 01 1 1 1 1 1 1 1 1 1 1 11 1 1 1 1 1 1 1 1 1 1 11 1 1 1 1 1 1 1 1 1 1 11 1 1 1 1 1 1 1 1 1 1 10 1 1 1 1 0 0 1 1 1 1 00 1 1 1 1 0 0 1 1 1 1 01 1 1 1 1 1 1 1 1 1 1 11 1 1 1 1 1 1 1 1 1 1 11 1 1 1 1 1 1 1 1 1 1 11 1 1 1 1 1 1 1 1 1 1 10 1 1 1 1 0 0 1 1 1 1 0

0 1 0 1 1 0 0 0 1 0 1 01 1 0 0 1 1 0 1 0 1 1 10 0 0 0 0 0 1 0 1 1 1 10 1 0 1 1 1 0 0 0 0 1 01 1 1 0 0 0 1 0 1 1 0 00 0 1 0 1 0 0 0 0 0 1 00 0 1 0 0 0 0 0 0 1 1 00 1 1 0 1 1 1 0 0 1 0 10 0 1 0 0 0 1 1 0 1 0 10 0 1 1 1 0 0 1 1 0 0 11 0 1 1 1 0 0 0 1 0 1 00 1 0 1 1 0 0 1 0 0 1 0

0 0 1 1 0 0 0 0 1 0 0 00 1 0 0 1 1 0 0 1 0 1 11 0 0 1 0 1 1 1 0 1 0 11 1 1 0 1 0 0 0 1 1 0 00 0 1 0 1 1 1 1 0 0 1 00 0 1 0 0 0 0 1 1 0 1 00 0 1 1 1 0 0 1 1 1 0 01 1 1 1 0 0 0 0 0 0 1 11 0 0 0 0 0 0 1 1 1 1 10 1 0 0 1 0 1 0 0 0 1 01 1 1 1 0 0 0 0 1 0 0 00 1 1 0 0 0 0 1 1 0 1 0

Page 54: High Resolution 3D Nanoimprint Technology

39

Table 4-4. Separated mask 1a (left) and mask 1b (right).

0 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 0 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 0 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 0 0 0 0 0 0 0 0 1 1 1 1 0 0 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 0 0 1 1 1 1 0 0 0 0 0 0 0

The final mask design is attached in appendix A2.3.

The etching depths of each fabrication step are also generated by this program (Table 4-5). As already mentioned, these etching depths are obtained under the above-mentioned assumptions and approximations. In case of practical applications, a test template should be firstly fabricated using estimated structure depths/heights for imprint tests. When all parameters of DBRs, imprint resists, the order of the cavity mode and the residual layer (determined by imprint parameters) are fixed, the “final” depths/heights of the cavity structures on the templates are ready to be calculated using numerical simulation (calculating the minimum and maximum depths/heights and linearly mapping the other depths/heights between them). Then, the “final” etching depths could be automatically generated in the same way through the MATLAB® codes.

Table 4-5. Etching depth of etch fabrication step.

Mask No. 1a 1b 2 3 4 5 6

Etching depth (nm)

130.6667 132.6667 21.3333 10.6667 5.3333 2.6667 1.3333

Page 55: High Resolution 3D Nanoimprint Technology

40

4.2. Development of High Vertical Resolution 3D Nanoimprint Templates

This section introduces the development of the fabrication technology for 3D nanoimprint templates with “negative” cavity structures: from early stage ideas and try-out mentioned in the beginning of this chapter to 3D templates of high vertical precision. Section 4.2.1 introduces the different possible solutions of 3D template fabrication at INA. The development and improvement of the chosen template fabrication process is described in section 4.2.2. Section 4.2.3 deals with the characterization of the RIE process. At last, the experimental results are given in section 4.2.4.

4.2.1. Possible Solutions for Fabricating 3D Templates

The fabrication process of 3D templates is actually a series of lithography and etching processes. Since the lateral dimension of each Fabry-Pérot filter is in µm range, obviously the photolithography should be implemented as lithography process. Photomask “INA Templates SA1” (appendix A2.1) was designed for fabricating 3D templates up to 64 different heights. Those templates contain 8 × 8 “negative” mesa arrays which are corresponding to the filter cavities. All the mesa structures have an identical lateral size of 100 µm × 100 µm. The “1”/“0” distribution of this mask series is similar to those shown in Figure 4-3, which is designed for using positive photoresists.

The next issue is to choose the appropriate etching method. Based on the ideas mentioned in the beginning of this chapter, there were three solutions for 3D template etching: (i) reactive ion etching of GaAs epitaxial layers or GaAs substrates, (ii) selective wet etching of GaAs/AlGaAs multilayers, (iii) the combination of RIE and selective wet etching of GaAs/AlGaAs multilayers.

In the first solution, as shown in Figure 4-5, CH4/H2 plasma was implemented. Reactive ion etching of III/V semiconductors such as GaAs and InP using the mixture of methane and hydrogen is a classic method which was developed since 1980s [95] and is still widely implemented today. It offers controllable, smooth and anisotropic etching of GaAs. The etching depths control is determined mainly by the precision and reproducibility of the RIE device. In our case, the Oxford Plasmalab 80+ RIE system was used at INA. The etching depths accuracy could be controlled within 1 nm after process characterization. More information about this point is given in section 4.2.3. Besides, wet etching theoretically also allows a

Page 56: High Resolution 3D Nanoimprint Technology

41

good control of the etch depth. However, its isotropic property is not preferred for our template fabrication.

Figure 4-5. Schematic of reactive ion etching of GaAs substrate.

Figure 4-6 indicates the method of selective wet etching of GaAs/AlGaAs multilayers. The GaAs layer was etched using citric acid/H2O2, because it provides an etching selectivity over 100 for GaAs on AlxGa1-xAs (when x ≥ 0.2) [96] with a low etching rate (measured to be about 10~60 nm/min). The best ratio of citric acid(50%)/H2O2(30%) is 1:3, which gives an etching rate of about 10 nm/s and surface roughness of etched GaAs structures within +/-0.4 nm (peak value). The selective etching of AlGaAs on GaAs was performed using hydrofluoric acid (HF), because GaAs remains unaffected by HF [97]. Thus, GaAs layers act as etch stop layers and determines the depths of structures on the final templates. The etching rate of AlGaAs using HF can be controlled by the Al content of AlGaAs as well as by the HF concentration [98]. A practical combination of AlGaAs etching could be Al0.6Ga0.4As and HF (40%)/H2O of 1:40, which provides an etching rate of about 1 nm/s.

An alternative method of selective wet etching of GaAs/AlGaAs multilayers is the combination of RIE and selective wet etching, i.e., dry etching is firstly applied down to the demanded AlGaAs layer and the residual AlGaAs was then removed using the same selective wet etching method. Compared with pure selective wet etching, the advantage of this combination is that RIE could etch through several layers and reach the final required AlGaAs layer in only one step. Additionally, it is able to provide anisotropic etching and leads to better sidewalls.

Page 57: High Resolution 3D Nanoimprint Technology

42

Figure 4-6. Schematic of selective wet etching of GaAs/AlGaAs multilayer layer substrates: (a) GaAs layer is etched through by citric acid/H2O2, (b) selective etching of AlGaAs layer using HF.

Because of the selective etching, the vertical precision of multilayer templates is determined only by the accuracy of the multilayer thickness. The GaAs/AlGaAs multilayers were grown using molecular beam epitaxy (MBE), which is possible to offer ultra high vertical resolution of 0.1 nm [99][100]. Nevertheless, such a high vertical resolution is not necessary in the application of nanospectrometers, since much larger error is brought in from other fabrication steps. Additionally, since nanospectrometers can be calibrated, a slight shift of the detection wavelength due to fabrication processes is not critical. Therefore, the vertical precision (<1 nm) of the first solution is high enough for practical applications. Considering the complexity and cost of the fabrication process, the first solution, i.e., reactive ion etching of GaAs, was chosen as the final template fabrication method. The development and characterization of this fabrication method as well as the experimental results will be discussed in the following sections.

4.2.2. Development and Improvement of Template fabrication Process

The fabrication process of 3D templates is a “repetition” of photolithography (using different photomasks), reactive ion etching, removal of the photoresist and measurement (for quality control). A schematic fabrication process is shown in Figure 4-7.

Page 58: High Resolution 3D Nanoimprint Technology

43

Figure 4-7. Schematic of fabrication process of 3D templates: in the first round, (a) spin-coating of photoresist, (b) alignment, exposure and development, (c) RIE, (d) remove photoresist; (a´)-(d´) denote the fabrication rounds afterwards.

In the step of photolithography, the positive photoresist AZ1518 (Microchemicals) was implemented. AZ1518 provides a resist thickness of 1.8 µm (40 s, 4000 rpm) and a maximum resolution within 1 µm, which fulfill the requirements for fabricating the filter cavities of the nanospectrometers.

During the lithography step, the substrate was first cleaned by acetone and iso-propanol. As an optional cleaning step, an O2-plasma could be applied afterward. After cleaning, the substrate was placed on a hotplate for dehydration. Then, an adhesion promoter, Ti-Prime (Microchemicals), and the photoresist, AZ1518, were sequentially spin-coated on the substrate. After spin-coating process, the substrate was placed again on a hotplate to reduce the remaining solvent concentration in the photoresist (i.e., softbake). Afterwards, the alignment and exposure was performed using SUSS MicroTec MA4 mask aligner which offers alignment accuracy practically up to 1 µm. The exposed sample was then developed in 0.8% KOH solution. Finally, the substrate was placed on a hotplate (i.e., hardbake) in order to increase the thermal and chemical stability of the developed photoresist for the subsequent RIE process.

Before RIE, a short time O2-plasma (2min, 50W) etching was given to avoid photoresist residual in case of non-sufficient exposure or development. It is called descum process in semiconductor manufacturing. During the RIE process, a standard recipe for III/V semiconductor etching was used. It is (CH4(6)/H2(36)sccm, 150W, 0.008Torr, 20°C), i.e., the gas flow of the process

Page 59: High Resolution 3D Nanoimprint Technology

44

gases CH4 and H2 are 6 standard cubic centimeters per minute (sccm) and 36 sccm, respectively, the RF power is 150 W, the process pressure is 0.008 Torr and the process temperature is 20°C. Since the recipe provides moderate etching rate and smooth surface, it was not changed during the development of 3D GaAs templates with “negative” cavity structures.

In the next step, the photoresist was removed. Since re-deposition always occurs during RIE process, a single process does not remove the photoresist in an efficient way. The method used in this work was the combination of an organic solvent and an O2-plasma ashing process. At first, the sample was put into acetone for about 30 min. The photoresist was kind of “peeled off” slowly by acetone. Then, it was rinsed with iso-propanol and dried with nitrogen blowing. After this, an O2-plasma ashing process was applied to burn the photoresist completely.

To investigate the surface topology and control the different heights of mesa structures, white light interferometry (WLI) was chosen to study the structures because of its high vertical resolution (within 0.1 nm) and non-destructive measurement process. Another crucial parameter for optically functional surfaces beneath the accuracy of the designed heights is the surface roughness and uniformity. To investigate roughness topology, WLI enables better performance than atomic force microscopy (AFM), because as an optical tool WLI has the same optical dependencies and sensitivities on the surface structures as the designated optical devices. In addition, WLI is much faster in characterization and enables considerably larger areas to be studied in contrast to AFM. Although some other surface profilometers may also offer fast and large area measurement, their resolutions are not enough for characterization of our 3D templates.

The detailed process flow of the GaAs template fabrication is attached in appendix A3.1.

4.2.3. Characterization of Etching Process

As mentioned in section 4.2.1, both GaAs wafers and epitaxial layers of GaAs on GaAs wafers were tested. It gave no difference of any etching properties. Therefore, GaAs wafers were implemented for the template fabrication. It was also observed that RIE (CH4(6)/H2(36)sccm, 150W, 0.008Torr, 20°C) doesn’t increase the surface roughness, i.e., the surface roughness is mainly determined by the surface roughness of the GaAs wafer itself.

The implemented GaAs substrates were cut from GaAs wafers from either Freiberger Compound Material or Wafer Technology Ltd. All the wafers are 2

Page 60: High Resolution 3D Nanoimprint Technology

45

inch VGF-grown Si-doped GaAs (100) wafer with the same specifications. During the whole time period of the development of the 3D template, however, the RIE system (Oxford Plasmalab 80+) had been tuned and repaired a few times. The sample holder was replaced once. The programmable logic controller (PLC) and the automatic matching units of capacitors for the RF power were also adjusted. Those activities slightly varied the etching rate of GaAs without influencing other etching properties. Nevertheless, once the etching rate is tested and characterized again, it still enables precise etching process.

From section 4.2.1, the height accuracy of the GaAs templates is only determined by the precision of the etching process. Therefore, it is critical to characterize the RIE process, i.e., to investigate the etching rate, reproducibility and all other parameters which are helpful for controlling the etching depths.

Figure 4-8 shows the mapping between etching depth and etching time in the earlier stage of templates development.

Figure 4-8. Etching depth of GaAs using RIE (CH4(6)/H2(36)sccm, 150W, 0.008Torr, 20°C) as a function of etching time.

From Figure 4-8, a linear mapping between the etching depth and the etching time can be observed. Besides, it crosses the y axis at a value above zero. It indicates an initial depth generated in the beginning of the etching. Figure 4-8 can be further fitted to an empirical equation (4-4).

´ · · · ´ (4-4)

Page 61: High Resolution 3D Nanoimprint Technology

46

where D and D´ denote the etching depth and initial etching depth, respectively, T and T´ are the etching time and initial etching time, v is the etching rate after T´, p is the machine period coefficient of RIE device (The Oxford Plasmalab 80+ is cleaned regularly, normally after about 10-12 hours accumulated working time. The accumulated working time may slightly influence the etching rate) and s is the sample coefficient (the sample size and position of the wafer may lead to different etching rate).

The etching depth can be calculated and thus enables the precise etching depth control of RIE. It was observed that machine period coefficients does not influence anything of the etching process during the period approximately between the beginning of 2nd accumulated working hour and shortly after 10 hours; the different wafers from the same batch, the size of samples and the position of the wafer (The edge of the wafers are never implemented, because the etching properties in edge of wafers are normally different) could hardly provided difference of the etching process. From Figure 4-8, the value of v, T´ and D´ were calculated to be the following: v is about 1.3 nm/min and T´ is within 1 min. Suppose T´=1, then D´= about 4 nm. If so, the etching rate in the first minute of RIE becomes about 4 nm/min. Even if the instability of the plasma during the initialization stage (e.g., the first minute after the plasma is generated) was taken into consideration, such a high etching rate was suspected to be wrong. The most likely hypothesis is the influence of surface native oxidation of GaAs on the initial etching rate.

Surface Native Oxidation:

Surface native oxidation in the range of several nanometers is a common phenomenon of GaAs [101][102]. In case of RIE, it was observed that this native oxidation layer was removed in the first few seconds after the plasma starts. To prove this hypothesis, the following experiment was performed (detailed process parameters except step (d) are similar to the process flow of GaAs template fabrication, appendix A3.1.):

Page 62: High Resolution 3D Nanoimprint Technology

47

a) Cleaning

b) Lithography

c) O2-plamsa ashing

d) Remove native oxidation using HCl (37%)/H2O (1:1), 1 min

e) RIE, 1 min (directly after step d)

f) Remove photoresist

g) WLI measurement

The WLI measurement result is shown in Figure 4-9. The value of the depth of about 4 nm proves the empirical equation as well as the calculated values of T´ and D´. That is to say, the initial etching in the first minute of RIE is caused mainly due to the surface oxidation layer (also slightly due to the initialization of plasma). Back to the empirical equation (4-4), set T´ 0, then D´ 3 nm. The value of D´ is the thickness of the surface native oxidation layer of the GaAs wafers (Freiberger Compound Material). This simplifies the empirical equation (4-4) and gives an accurate control of the etching rate.

Figure 4-9. White light interferometry (WLI) measurement: structures of about 4 nm depth on a GaAs sample after removing the native oxidation using HCl (37%)/H2O (1:1) and 1 min RIE.

Page 63: High Resolution 3D Nanoimprint Technology

48

Some further investigation were made later with GaAs wafers from Wafer Technology Ltd. The thickness of the native oxidation layer was measured again by WLI after removing it using HCl (see Figure 4-10). In this case, the value of D´ is about 1.5 nm. The reason why this value is smaller than that of the Freiberger wafers may lay on the fact that the Freiberger wafers were already several years after delivery when they were implemented for 3D template fabrication and the oxidation slowly intensified.

Figure 4-10. White light interferometry (WLI) measurement: thickness of GaAs surface native oxidation layer is about 1.5 nm, measured after removing the native oxidation using HCl (37%)/H2O (1:1).

Simplified Empirical Equation:

Based on the knowledge of oxidation layer thicknesses and the experimental results, Eq. (4-4) can be further simplified into

· ´ (4-5)

where D is the etching depth, v is the etching rate, T is the etching time and D´ represents the thickness of the native oxidation layer. The etching rate v may vary due to the parameter change of the RIE device, GaAs samples as well as the RIE

Page 64: High Resolution 3D Nanoimprint Technology

49

recipe. Therefore, it was always tested before the template fabrication. If necessary, it could also be verified for every etching process according to the last etching result. From the study of the surface native oxidation, D´ is about 3 nm in case of GaAs wafer (Freiberger Compound Material) or about 1.5 nm in case of GaAs wafer (Wafer Technology Ltd).

Based on Eq. (4-5), a template of 64 designed depths was fabricated with an accuracy of the etching depths about +/-1 nm. Figure 4-11 indicates the accurate etching depth control using Eq. (4-5) (set D´=3, v as the measurement value of the last etching). The differences between designed etching depths and the relevant measured depths were within 1 nm.

Figure 4-11. Designed and measured etching depth as a function of etching time.

Etching Control Tests in the Range of Several Nanometers:

Etching control tests in the range of several nanometers (using GaAs wafer from Wafer Technology Ltd) were performed to i) find a RIE recipe that provides high quality control for very low etching depths; ii) control the etching within the thin oxidation layer. The idea was to find a recipe with ultra low etching rate which could also etch the native oxidation layer in a controllable way. The temperature dependence of the etching rate for III/V semiconductor such as GaAs and InP is quite weak, which had been proved both by literature [103] as well as previous work at INA [104]. Therefore, the process temperature was also kept at 20°C. Based on the standard RIE recipe (CH4(6)/H2(36)sccm, 150W, 0.008Torr, 20°C), the RF power and pressure were varied which influenced the etching rate, as

Page 65: High Resolution 3D Nanoimprint Technology

50

shown in Figure 4-12 and Figure 4-13.

Figure 4-12. Etching depth of GaAs using RIE recipe (CH4(6)/H2(36)sccm, 0.008Torr, 20°C, 10 min) as a function of RF power.

Figure 4-13. Etching depth of GaAs using RIE recipe (CH4(6)/H2(36)sccm, 150W, 20°C, 10 min) as a function of pressure.

Figure 4-12 indicates that the etching rate reduces with the RF power. Thus a RF power of 50 W was applied for further tests. Considering that the etching rate was reduced by using a low RF power, the previous implemented process pressure

Page 66: High Resolution 3D Nanoimprint Technology

51

(0.008 Torr) was kept (the impact of process pressure on the etching rate was indicated in Figure 4-13). Therefore, the recipe of (CH4(6)/H2(36)sccm, 50W, 0.008Torr, 20°C) was implemented for further tests. The performance of this RIE recipe is shown in Figure 4-14. It indicates a very slow etching rate of about 0.5 nm/min that enables rather accurate etching depth control in the nanometer range. It also proves again the thickness of the surface native oxidation layer (about 1.5 nm). However, even such a low RIE power and etching rate destroys the oxidation layer in the very beginning of the RIE process.

Figure 4-14. Etching depth of GaAs using RIE recipe (CH4(6)/H2(36)sccm, 50W, 0.008Torr, 20°C) as a function of etching time.

Besides, as a pure physical dry etching, pure Ar RIE process was applied to avoid the chemical reaction of the oxidation layer caused by reactive gases. Unfortunately, the native oxidation layer was also removed within the first few seconds of RIE. Figure 4-15 shows the etching results using RIE recipe (Ar(10)sccm, 50W, 0.008Torr, 20°C) that has an etching rate of approx. 1.6 nm/min . It indicates that the surface native oxidation was already gone even after only 20s of the RIE process.

Page 67: High Resolution 3D Nanoimprint Technology

52

Figure 4-15. Etching depth of GaAs using RIE recipe (Ar(10)sccm, 50W, 0.008Torr, 20°C) as a function of etching time.

Reference sample:

Additionally, it is possible to apply an additional reference sample for each etching step as a fine verification of the final etching time. It may compensate the slight instability or variation of the etching rate due to the environmental influence or the RIE device itself.

4.2.4. Experimental Results and Discussion

Finally, experimental results are presented in this section. The templates are normally one quarter of a 2 inch GaAs wafers or 17 mm × 17 mm GaAs pieces that were cut from quarter wafers, as shown in Figure 4-16. The 3D templates contain arrays of “negative” mesa structures with up to 64 different heights for test purposes. The differences of the height levels were set from several nanometers to around 200 nm, while lateral features, the checkerboard-like squares (Figure 4-17), were designed in 100 µm × 100 µm. White light interferometry (WLI) measurements (Figure 4-18, Figure 4-19, Figure 4-20) indicate ultra-high vertical resolution of those 3D patterns of the templates. The best results indicate a surface roughness below 0.2 nm rms and vertical precision below 1 nm.

Page 68: High Resolution 3D Nanoimprint Technology

53

Figure 4-16. Photos of 3D templates that based on quarter 2 inch GaAs wafers (left) and 17 mm × 17 mm GaAs pieces (right).

Figure 4-17. Micrograph of checkerboard-like mesa structures on a 3D template.

Page 69: High Resolution 3D Nanoimprint Technology

54

Figure 4-18. White light interferometry (WLI) measurement: 2D (top) and 3D (bottom) topography of a 3D template that contains arrays of “negative” mesa structures of 64 different heights.

Page 70: High Resolution 3D Nanoimprint Technology

55

Figure 4-19. Characterization of mesa heights: The numbers in brackets indicate the designed heights and the numbers without brackets indicate the measured heights (all heights are given in nm).

Page 71: High Resolution 3D Nanoimprint Technology

56

Figure 4-20. Surface roughness measurement of a 71 µm × 53 µm area within a 100 µm × 100 µm mesa structure: surface roughness is about 0.2 nm rms, the peak value is within +/-1 nm (2D image on the top, 3D image on the bottom).

To the best knowledge of the author, such high vertical resolution 3D templates with different heights were firstly fabricated during this thesis work at INA. No other groups had ever achieved it before. The above-mentioned work provided a valuable foundation for the further development of 3D transparent templates for UV-NIL and 3D master templates for soft UV-NIL and SCIL, which will be introduced in section 4.3 and section 4.4, respectively.

4.3. Development of 3D Transparent Templates for UV-NIL

As introduced in section 4.2, the high vertical resolution 3D templates of GaAs were developed. Meanwhile, some nanoimprint tests with these 3D templates were made by other colleagues at INA. Imprint tests using thermal NIL at TU Braunschweig proved that thermal NIL can hardly apply high-quality imprint for

Page 72: High Resolution 3D Nanoimprint Technology

57

the cavity structures required by nanospectrometers [105]. Reverse UV-NIL with our 3D templates was tested at SUSS MicroTec and showed acceptable results [105]. However, opaque templates like the GaAs templates do not really adapt the UV-NIL equipment, SUSS MicroTec MA6 mask aligner with UV-NIL toolkit, which limits the quality of the imprint and the potential of further industrial fabrication.

Those issues above indicate a key idea of the development of 3D templates: The templates must adapt the “right” cutting edge nanoimprint technologies. Since developing nanoimprint methods, resists and equipments are neither our expertise nor our purpose at INA, we have to modify our templates and let them adapt the best nanoimprint resists and equipment that are available.

Considering the success of the UV-NIL method and the cooperation between INA and SUSS MicroTec, 3D transparent templates that adapt SUSS MicroTec MA6 UV-NIL system (SUSS MicroTec MA6 with UV-NIL toolkit) were developed. All the related topics will be introduced in the following sections including template fabrication process (section 4.3.1), characterization of etching process (section 4.3.2) as well as experimental results and discussion (section 4.3.3).

4.3.1. Technology Development

The first issue in the fabrication process of 3D transparent templates was choosing the template substrates. As introduced in section 2.2.2, quartz was selected as the template material due to its optical and mechanical properties as well as relative low price. Considering the requirements of SUSS MicroTec MA6 UV-NIL system (Figure 4-21), 65 mm × 65 mm × 6.35 mm quartz substrates from Schott AG were implemented.

Page 73: High Resolution 3D Nanoimprint Technology

58

Figure 4-21. Schematic of a transparent template for SUSS MicroTec MA6 UV-NIL system.

In principle, the fabrication process of the 3D transparent templates is also a “repetition” of photolithography (using different photomasks), reactive ion etching, removal of the photoresist and measurement, which is quite similar to the process of the GaAs templates. For example, all process parameters of lithography and the way to remove the photoresist after RIE are still capable for the fabrication of transparent templates.

However, the structures on quartz substrates can hardly be seen under the microscopes of the maskaligner if their heights are below 200 nm due to the transparence of quartz. This complicates the fabrication process. Therefore, some more steps have to be added to solve this issue.

Two possible solutions were developed for different purposes:

i) In the first round (etch mask 1), the fabrication process is similar to the fabrication process of GaAs templates (appendix A3.1). From the second round, as shown in Figure 4-22, a thin aluminum layer was deposited on the substrate using vacuum deposition before each lithography step. The opaque and high reflective Al layer enables the alignment during lithography and enables the WLI measurement. After the lithography, the exposed part of the Al layer was removed by an Al etchant (Technic France, etching rate about 40 nm/min). At last, after RIE and removal of the photoresist, the remaining Al was removed by the Al etchant. In this method, etch depths can be characterized after every fabrication round by WLI which ensures a high vertical precision.

ii) As shown in Figure 4-23, a thin Al layer was deposited on the substrate using vacuum deposition before the 1st lithography. After the lithography, the Al layer was also patterned by wet etching using the Al etchant. The patterned Al layer works as a reference, enabling the alignment step of the lithography. Then, RIE and removal of the photoresist was implemented in sequence. From the 2nd round,

Page 74: High Resolution 3D Nanoimprint Technology

59

steps including lithography, RIE and removal of the photoresist were implemented and repeated. It is a simpler and faster fabrication method. The only drawback is that no WLI measurement can be done during the fabrication rounds for characterization.

The detailed process flow and process parameters of quartz template fabrication are included in appendix A3.2 and A3.3.

Figure 4-22. Schematic of fabrication process (i) of 3D transparent templates: (a) template after the 1st round of fabrication process, (b) Al deposition, (c) spin-coating of photoresist, (d) alignment, exposure and development, (e) remove exposed Al, (f) RIE, (g) remove photoresist, (h) remove Al.

Page 75: High Resolution 3D Nanoimprint Technology

60

Figure 4-23. Schematic of fabrication process (ii) of 3D transparent templates: in the first round, (a) Al deposition, (b) spin-coating of photoresist, (c) alignment, exposure and development, (d) remove exposed Al, (e) RIE, (f) remove photoresist; after the first round, (a´) spin-coating of photoresist, (b´) alignment, exposure and development, (c´) RIE, (d´) remove photoresist.

From section 2.2.5, the 25 mm × 25 mm mesa-area on the 65 mm × 65 mm quartz template is an industrial standard, especially for step-and-repeat nanoimprint technique, which avoids the increasing of nonplanarity due to large contact area during imprinting. The fabrication method of the mesa-area was developed and listed as following:

a) Cleaning of quartz templates with imprint structures: acetone; iso-propanol; dry with N2.

b) Lithography using photoresist AZ1518 (exposure was performed using self-made Al mask and UV lamp (12.35 mW/cm2@ 365nm, 26.55 mW/cm2@405 nm), 10 min)

Page 76: High Resolution 3D Nanoimprint Technology

61

c) Wet etching using ammonium fluoride mixture (87.5% ammonium fluoride, 12.5% HF(40%)), 4 hours.

d) Remove photoresist: acetone, 30 min; Rinse with iso-propanol; dry with N2. O2-plasma asher (250W / 15 min).

The measurement using surface profilometer indicated a height of the mesa-area of about 20 µm, which fits the requirements of the standard quartz templates for UV-NIL.

4.3.2. Characterization of Etching Process

The RIE process was performed using process gases including Ar, CHF3 and SF6. Process parameters including gas recipe, gas flow, RF power and pressure were investigated for an appropriate and controllable etching rate as well as a minimum surface roughness. In general, the Ar component is necessary to add in the process gases to achieve anisotropic etching profiles and smooth surfaces. In this case, the surface roughness is hardly influenced by the RIE process, i.e., it is determined by the surface of the substrates. The etching rate varies depending on the above-mentioned process parameters. For example, Figure 4-24 shows the mapping between etching depth and etching time in case of two typical RIE recipes. Figure 4-25 and Figure 4-26 show the etching rate as a function of RF power and etching time. More detailed information is included in the master thesis of M. Abd El Awwad [106]. Nevertheless, due to the limitation of the implemented RIE system, ultra precise etching depth control (like for the GaAs templates) for quartz templates is rather difficult to be implemented.

Page 77: High Resolution 3D Nanoimprint Technology

62

Figure 4-24. Etching depth using RIE recipe (Ar(8)sccm, 30W, 0.025mbar, 12°C) and (Ar(4)/CHF3(4)/SF6(0.4)sccm, 25W, 0.025mbar, 12°C) as a function of etching time.

Figure 4-25. Etching rate (15 min etching time) using RIE recipe (Ar(8)sccm, 0.025mbar, 12°C) and (Ar(4)/CHF3(4)/SF6(0.4)sccm, 0.025mbar, 12°C) as a function of RF power.

Page 78: High Resolution 3D Nanoimprint Technology

63

Figure 4-26. Etching rate (15 min etching time) using RIE recipe (Ar, 30W, 0.025mbar, 12°C) and (CHF3, 30W, 0.025mbar, 12°C) as a function of gas flow.

4.3.3. Experimental Results and Discussion

This section introduces the experimental results of the 3D transparent templates. A photo of a 3D quartz template is shown in Figure 4-27. The 3D transparent templates contain arrays of “negative” mesa structures with up to 16 different heights for test purposes. Quite similar to the GaAs templates in section 4.2, the differences of the height levels were set from several nanometers to around 200 nm, while the 100 µm × 100 µm checkerboard-like square arrays (Figure 4-28) were implemented as lateral features. White light interferometry (WLI) measurements (Figure 4-29, Figure 4-30) indicate high vertical resolution of those 3D patterns of the templates. The best results show surface roughness of approximately 0.6 nm rms.

Page 79: High Resolution 3D Nanoimprint Technology

64

Figure 4-27. Photo of a 3D quartz template.

Figure 4-28. Micrograph of checkerboard-like mesa structures on a 3D transparent template.

Page 80: High Resolution 3D Nanoimprint Technology

65

Figure 4-29. White light interferometry (WLI) measurement: 2D (top) and 3D (bottom) topography of s 3D transparent template that contains arrays of “negative” mesa structures of 16 different heights.

Figure 4-30. Surface roughness measurement of a 71 µm × 53 µm area within a 100 µm × 100 µm mesa structure: surface roughness is about 0.6 nm rms, the peak value is about +/-3 nm.

Page 81: High Resolution 3D Nanoimprint Technology

66

Alternative Material: Sapphire:

Sapphire is also a good candidate for 3D transparent templates, because of its sufficient optical and excellent mechanical properties. Sapphire provides some superior mechanical properties compared with quartz, such as much higher Young's modulus, hardness value as well as lower surface energy [107]. All the described fabrication processes for quartz templates can be implemented for sapphire. Sapphire samples from Schott AG were tested using RIE recipe (Ar(4)/CHF3(4)/SF6(0.4)sccm, 25W, 0.025mbar, 12°C), which resulted an etching rate about 0.8 nm/min and surface roughness about 0.4 nm rms (peak value about +/- 2nm). By applying higher RF power, the etching rate should be above 1 nm/min, which would be an appropriate rate for applying accurate etching control. Due to their extreme hardness, sapphire templates are also possible to directly imprint glass under high temperatures [43]. It gives sapphire templates the potential to be applied as master templates for UV-NIL template fabrication and thus reduce the cost of template fabrication of UV-NIL. The main disadvantage of sapphire templates is the high price of sapphire substrates.

Bonding Techniques for Transparent Template fabrication:

From section 4.2.2, maximum a 25 mm × 25 mm mesa structure can be implemented as the functional imprint area for the quartz template, while a 65 mm × 65 mm × 6.35 mm quartz plate has to be applied for all the fabrication processes. This causes a low yield of template fabrication in the batch process. An alternative plan is to fabricate the functional structures on smaller quartz substrates (e.g., 25 µm × 25 µm quartz substrates) and to bond them with standard (65 mm × 65 mm) quartz plates. Recently, some bonding techniques such as adhesive bonding [108] and plasma-assisted direct bonding [109] have been implemented for quartz templates. Inspired by the work of R. Kirchner [108], adhesive bonding experiments for the 65 mm × 65 mm × 6.35 mm quartz substrates and 25 mm × 25 mm × 0.7 mm borosilicate glass substrates (Praezisions Glas & Optik GmbH) were made at INA. The best results came from the simple dispensing and thermal curing of an optical grade epoxy Epo-Tek 305 (Polytec PT GmbH). A 25 mm × 25 mm mesa area with a total thickness variation (TTV) under 0.5 µm and a clue layer thickness of about 1 µm were achieved. The results can be improved by implementing a professional positioning and pressing machine.

Page 82: High Resolution 3D Nanoimprint Technology

67

4.4. Development of 3D Master Templates for Soft UV-NIL and SCIL

The 3D transparent templates described in section 4.3 were successfully implemented via UV-NIL for our nanospectrometers (details are included in chapter 5 as well as in the PhD thesis of my colleague F. Köhler [105]). Considering i) soft UV-NIL is more superior than UV-NIL, especially in the industrial fabrication (as discussed in section 2.2), ii) SCIL is a cutting edge soft UV-NIL technology that provides industry-standard imprinting, the development of 3D master templates for SCIL as well as other soft UV-NIL techniques became an interesting and urgent topic.

Considering the success of GaAs templates, master templates for SCIL were also developed based on GaAs wafers. Most of the experience and fabrication processes described in section 4.2 could be inherited. The new issue was to fabricate the “positive” structures for Fabry-Pérot filter cavities. Different solutions and relevant issues of the fabrication process are included in section 4.4.1. Some experimental results and the study of alternative materials are discussed in section 4.4.2. Finally, section 4.4.3 introduces the construction and the replication process of SCIL templates as well as the comparison between master templates and SCIL templates.

4.4.1. Technology Development of 3D Master Templates

There are three possible way to fabricate the “positive” mesa structures with different heights on GaAs wafers:

i) Master template fabrication using the same mask for “negative” templates (INA_Template_SA1 (appendix A2.1)) and negative photoresist.

ii) Master template fabrication using new mask series designed for “positive” templates (INA_Template_SA2 (appendix A2.2)) and positive photoresist.

iii) Applying an additional etching step for the “negative” structures which are fabricated using mask (INA_Template_SA1) and positive photoresist (as introduced in section 4.2).

Page 83: High Resolution 3D Nanoimprint Technology

68

Figure 4-31. Schematic of fabrication process (i) of 3D master templates: in the first round, (a) spin-coating of negative photoresist, (b) alignment, exposure, (c) development, (d) RIE, (e) remove photoresist; (a´)-(e´) denote the fabrication rounds afterwards.

Figure 4-31 shows the fabrication method (i): In the lithography step, the negative photoresist AZ nLof 2070 (Microchemicals) (diluted 5:1 with AZ EBR solvent, Microchemicals) was implemented directly as etch mask instead of its normal application in lift-off process. Lithography with negative photoresist and the photomask INA_Template_SA1 (appendix A2.1) provided the required “positive” pattern of photoresist, i.e., the photoresist covers all or part of the mesa positions. The uncovered mesa position and the space between the mesa were etched during the RIE process, while those mesa under the photoresist remained unetched. It works as those mesa under the protection of photoresist “grow” up. In this way, after several rounds of process, cavity mesa with different heights were achieved.

Another issue in this method is how the removal of the negative photoresist AZ nLof 2070 after RIE process (CH4(6)/H2(36)sccm, 150W, 0.008Torr, 20°C). The method of organic solvent “peeling off” plus O2-plasma ashing mentioned in section 4.2.2 doesn’t work anymore. Many attempts such as implementing hot 1-methyl-2-pyrrolidone (NMP) instead of acetone, keeping the samples long time in organic solvent, applying long time O2-plasma ashing and the combination of them have been tested. However, none of them can completely remove the photoresist. Those experiments indicates that AZ nLof 2070 tends to stick firmly on the surface of GaAs substrates after O2-plasma ashing and it can’t be removed anymore

Page 84: High Resolution 3D Nanoimprint Technology

69

without etching or damaging the templates. It is likely due to the heating during O2-plasma ashing, which leads to further cross-linking of AZ nLof 2070. That is to say, some other methods without O2-plasma ashing should be applied to overcome the re-deposition problem (4.2.2) and remove the photoresist completely. A possible process was developed as the following:

a) Keep the sample in NMP (80°C) b) Apply ultrasonic cleaning with 60% ultrasound power (Elma,

Transsonic Digitals) to the container with the sample and NMP.

NMP provides high solubility at 80°C and the ultrasonic vibration helps to break and peel off the redeposition layer. In this way, the AZ nLof 2070 was successfully removed. A detailed process time and sequence still need to be optimized.

The detailed process flow of the fabrication method (i) is attached in appendix A3.4.

Figure 4-32. Schematic of fabrication process (ii) of 3D master templates: in the first round, (a) spin-coating of positive photoresist, (b) alignment, exposure, (c) development, (d) RIE, (e) remove photoresist; (a´)-(e´) denote the fabrication rounds afterwards.

A schematic of the fabrication method (ii) is shown in Figure 4-32: It inherits exactly the same process flow as for the GaAs templates with “negative” structures (appendix A3.1). The only difference is the photomasks. Photomask series

Page 85: High Resolution 3D Nanoimprint Technology

70

INA_Template_SA2 (appendix A2.2) were designed for fabricating 3D master templates using positive photoresist. Besides, different lateral sizes of mesa (from 25 µm to 200 µm, plus 10 µm mesa of test structures) are included for SCIL imprint tests (see section 5.2).

Photomask series INA_Template_SA2 and positive photoresist AZ1518 offers “positive” patterns in the lithography process. Then, the mesa structures under the photoresist “grew” to the desired height during each RIE process. After repeating the fabrication process including lithography using different photomasks, reactive ion etching and removal of the photoresist, positive structures with different heights were finally obtained.

Inhomogeneous Etching and the Solution:

Inhomogeneous etching is an issue that occurs at “positive” structures on GaAs master templates using RIE (CH4(6)/H2(36)sccm, 150W, 0.008Torr, 20°C). As shown in Figure 4-33, while the etching depth is Δd, i.e., those mesa covered with photoresist “grow” Δd, some of the uncovered mesa get a different etching depth Δd´ and thus unexpectedly “grow” (Δd- Δd´) as well.

Figure 4-33. Schematic of inhomogeneous etching of “positive” structures on GaAs master templates using RIE (CH4(6)/H2(36)sccm, 150W, 0.008Torr, 20°C): (a) lithography, (b) homogeneous RIE with etching depth Δd, (c) remove photoresist, right mesa “grow” Δd; (b´) inhomogeneous RIE with etching depth Δd´ of left mesa and Δd for other uncovered area, (c´) remove photoresist, right mesa “grow” Δd and left mesa “grow” (Δd-Δd´).

Page 86: High Resolution 3D Nanoimprint Technology

71

As an example, Table 4-6, Table 4-7 and Table 4-8 show the measured heights of mesa (100 µm × 100 µm) arrays on a master template after the 3rd, 4th and 5th etching by RIE (CH4(6)/H2(36)sccm, 150W, 0.008Torr, 20°C) with a precision of 1 nm. The 1st etching gave 8 × 8 mesa arrays with a height about 80 nm. After the 2nd etching, the heights of the top half mesa were about 172 nm and the heights of the other mesa were about 80 nm. The inhomogeneity started from the 3rd etching, with 44 nm required “growth” (marked grey in Table 4-6) and 5 nm unexpected “growth” (marked red in Table 4-6). The 4th etching gave required “growth” (marked grey in Table 4-7) of about 23 nm and unexpected “growth” (marked red in Table 4-7) of about 13 nm. The 5th etching gave required “growth” (marked grey in Table 4-8) of about 13 nm and unexpected “growth” (marked red in Table 4-8) of about 8 nm. This unexpected “growth” occurred only at the highest mesa (by neglecting 1–2 nm height differences between etching steps, which are caused mainly by the variation between mesa arrays as well as by the approximation of the measurement data by rounding). The less the number of the unexpected “grown” mesa, the more the unexpected “growth” compared with required mesa “growth”. Both required and unexpected “growth” rates are summarized in Figure 4-34. Additionally, the mesa arrays with other mesa dimensions (200 µm, 150 µm, 75 µm, 50 µm, 25 µm) on the same master template shows also similar results.

Table 4-6. Measured heights of mesa arrays on a master template after 3rd etching by RIE (CH4(6)/H2(36)sccm, 150W, 0.008Torr, 20°C, 32min). Required “growth” (grey) is about 44 nm, unexpected “growth” (red) is about 5 nm.

215 215 215 215 176 177 177 177

215 215 216 215 178 178 177 177

215 216 215 216 177 178 177 177

215 215 216 216 177 177 177 178

124 125 126 125 81 80 80 80

125 125 125 125 80 80 80 80

124 125 124 125 80 80 80 79

124 124 124 124 80 79 80 79

Page 87: High Resolution 3D Nanoimprint Technology

72

Table 4-7. Measured heights of mesa arrays on a master template after 4th etching by RIE (CH4(6)/H2(36)sccm, 150W, 0.008Torr, 20°C, 16min). Required “growth” (grey) is about 23 nm, unexpected “growth” (red) is about 13 nm.

241 240 241 241 201 202 201 201

241 242 242 242 202 202 202 202

228 228 228 228 180 179 180 179

228 227 228 228 180 179 179 179

148 147 148 150 104 103 103 104

148 148 148 148 103 102 102 102

125 125 125 125 81 80 80 79

125 123 124 124 79 80 80 80

Table 4-8. Measured heights of mesa arrays on a master template after 5th etching by RIE (CH4(6)/H2(36)sccm, 150W, 0.008Torr, 20°C, 8min). Required “growth” (grey) is about 13 nm, unexpected “growth” (red) is about 8 nm.

253 254 249 249 214 214 200 200

253 254 250 250 215 215 201 200

241 241 226 226 192 192 178 178

241 240 227 227 192 192 178 178

161 161 151 151 118 117 102 103

162 161 151 148 116 116 101 102

138 139 125 125 94 94 80 79

137 137 123 123 93 94 80 80

Page 88: High Resolution 3D Nanoimprint Technology

73

Figure 4-34. The required and unexpected “growth” of GaAs using RIE recipe (CH4(6)/H2(36)sccm, 150W, 0.008Torr, 20°C) in the 3rd ,4th and 5th etching rounds.

Besides, the inhomogeneous etching occurred late and less in case of smaller mesa heights. For example, for another template with final mesa heights between 23–125 nm, the unexpected “growth” only occurred in the last (5th) etching step of about 3 nm, while the required “growth” was about 10 nm. It seems that the inhomogeneous effect is stronger when the mesa heights are larger.

The inhomogeneous etching also occurs by applying other CH4/H2 based RIE recipes, for example (CH4(5)/H2(30)sccm, 150W, 0.008Torr, 20°C), (CH4(6)/H2(18)sccm, 150W, 0.008Torr, 20°C) and (CH4(6)/H2(30)sccm, 150W, 0.008Torr, 20°C). In case of RIE recipe (CH4(6)/H2(18)sccm, 150W, 0.008Torr, 20°C), some of the uncovered mesa got a different etching depth Δd´ which is larger than the required etching depth Δd and thus had a negative “growth” of (Δd- Δd´).

Unfortunately, no convincing explanation could be given for this complex phenomenon. Only one point has been fixed that it is related to the chemical reaction between GaAs and the reactive gases (CH4/H2), because this inhomogeneous etching doesn’t occur in those master templates fabricated using a pure physical dry etching process, such as (Ar(10)sccm, 0.008Torr, 20°C). It is also the solution to this problem.

The Ar process provides the same smooth surface of the templates like the CH4/H2 process. The RIE recipe (Ar(10)sccm, 50W, 0.008Torr, 20°C) provides moderate

Page 89: High Resolution 3D Nanoimprint Technology

74

etching rate for master template fabrication. The mapping between etching depth and etching time is not linear, as shown in Figure 4-35. Nevertheless, the required etching time can be mapped from the design etching depth. More information of the master template fabrication method (ii) can be found in the master thesis of M. Ali Butt [110].

Figure 4-35. Etching depth and etching rate of GaAs using RIE (Ar(10)sccm, 50W, 0.008Torr, 20°C) as a function of etching time.

Figure 4-36 shows a schematic of the fabrication method (iii) of 3D master templates: Based on the high vertical resolution “negative” mesa structures (section 4.3), only one more fabrication round (including lithography using a photomask with bigger square patterns than those on INA_Template_SA1 (appendix A2.1) and positive photoresist, RIE and removal of the photoresist) enables the converting of “negative” filter cavity depths to “positive” filter cavity heights. The vertical accuracy at the positions corresponding to the filter cavities are ensured in this fabrication method. However, increased lateral structure size and the “walls” around them may lead troubles for imprinting filter cavities using SCIL process (section 5.2) as well as bring additional cavities around designed cavities of the Fabry-Pérot based filter arrays (section 5.1), respectively.

Page 90: High Resolution 3D Nanoimprint Technology

75

Figure 4-36. Schematic of fabrication process (iii) of 3D master templates: based on a 3D GaAs templates with “negative” structures, (a) spin-coating of positive photoresist, (b) alignment, exposure, (c) development, (d) RIE, (e) remove photoresist.

4.4.2. Experimental Results of 3D Master Templates and Discussion

Experimental results are included in the following: Figure 4-37 shows a photo of a 3D GaAs master template for SCIL, which was fabricated using the mask sets of “INA_Template_SA2” (appendix A2.2), where 8 × 8 “positive” checkerboard-like mesa arrays with different mesa dimensions from 25 µm to 200 µm can be found as squares in different sizes on the master template. A micrograph image of the mesa arrays with a mesa dimension of 100 µm is shown in Figure 4-38. Figure 4-39 shows the WLI measurement of the topography of a “positive” mesa array with 64 different heights. Figure 4-40 indicates the surface roughness of the 3D master templates about 0.2 nm rms. The WLI measurement of a master template with arrays of “positive” mesa in “custom specific” heights distribution is shown in Figure 4-41.

Page 91: High Resolution 3D Nanoimprint Technology

76

Figure 4-37. Photos of a 3D master template for SCIL. It is fabricated based on a 2 inch GaAs wafer.

Figure 4-38. Micrograph of “positive” checkerboard-like mesa structures on a 3D master template.

Page 92: High Resolution 3D Nanoimprint Technology

77

Figure 4-39. White light interferometry (WLI) measurement: 2D (top) and 3D (bottom) topography of a 3D template that contains arrays of “positive” mesa structures of 64 different heights.

Figure 4-40. Surface roughness measurement of a 71 µm × 53 µm area within a 100 µm × 100 µm mesa structure on a master template: surface roughness is about 0.2 nm rms, the peak value is about +/-1 nm (2D view on the top, 3D view on the bottom).

Page 93: High Resolution 3D Nanoimprint Technology

78

Figure 4-41. White light interferometry (WLI) measurement: 2D (top) and 3D (bottom) topography of a 3D template that contains arrays of “positive” mesa in “custom specific” heights distribution.

Alternative Materials:

Silicon, as mentioned in chapter 2, is the most common material for nanoimprint templates. It is also an alternative material for 3D master templates. 3D Si master templates were fabricated using similar processes like GaAs master templates (detailed process flow of Si template fabrication is attached in appendix A3.5) at INA. 3 inch n-type Si wafers (100) from Active Business Company GmbH were implemented as substrates. The RIE process was performed using the recipe (Ar/CHF3/SF6, 50W, 0.025mbar, 12°C). By varying the gas flow, etching rate between 1–5 nm/min can be adjusted. The surface roughness of Si templates is typically about 0.6 nm rms (PV within +/- 3 nm). The surface roughness as well as the etching depth control of Si templates is not as superior as GaAs templates due to the surface roughness of our Si wafers and the equipment precision of the implemented RIE system, respectively. Nevertheless, the comparably cheap and robust Si templates are a good candidate for test purposes. Besides, structures with tilted sidewalls on 3D templates, which are interesting, e.g., for the applications of optical MEMS, can be obtained through anisotropic wet etching along the crystal

Page 94: High Resolution 3D Nanoimprint Technology

79

orientation of Si. Etching tests of Si templates in KOH solution were performed and characterized at INA as well, resulting controllable heights ranging from several tens of nanometers to several tens of micrometers. More detailed information is described in the diploma thesis of K.G. Nöding [111].

InP was also tested as master template material. All the fabrication technologies for GaAs are compatible to InP. The surface quality of InP templates is also quite close to GaAs. However, InP substrates are too fragile and can be easily broken in the replication process of soft templates.

4.4.3. SCIL Templates Replication

The SCIL templates contain double layer of PDMS on a thin glass carrier, as shown in Figure 4-42. The hard PDMS (h-PDMS) layer replicates the pattern from the master template and reduces the structure deformation during imprint. The normal PDMS layer compensates the nonplanarity of substrates and thus provides good contact. The thin glass carrier enables the sequential imprint process of SCIL and avoids lateral deformation of the SCIL template. The rubber flap ring helps the vacuum system of MA6 to fix the SCIL templates on the mask holder.

Figure 4-42. Schematic of SCIL template.

To replicate such a SCIL template, a series of steps have to be applied (Figure 4-43). The first step is the surface modification of the master template to avoid the sticking of PDMS. The anti-sticking layer was performed by a vapor phase deposition [112] of 1H,1H,2H,2H-Perfluorodecyltrichlorosilane perfluorodecyltrichlorosilane (FDTS). Then, a hard PDMS layer and a PDMS layer were spin-coated and dispensed, respectively, on the master template. Afterwards, a 200 mm × 200 mm × 0.2 mm thin glass carrier was placed on the PDMS. Both the master template and the glass carrier were fixed in a special master replication tool (MRT) (developed by Philips Research) that enables precise positioning. After 4 days curing at 50°C, the SCIL template can be glued with a rubber flap ring and separated from the master template. Then, the SCIL

Page 95: High Resolution 3D Nanoimprint Technology

80

template was completed and ready for use. Figure 4-44 shows a SCIL template replicated from a 3D master template.

Figure 4-43. Schematic of SCIL template replication process: (a) PDMS dispensing on master templates, (b) place glass carrier and thermal curing of PDMS, (c) separate SCIL template from master template [113].

Page 96: High Resolution 3D Nanoimprint Technology

81

Figure 4-44. A SCIL template replicated from a 3D master template. It contains the “negative” 3D filter cavity structures for our nanospectrometers.

The comparison between the structure heights of the master templates and the depths of their replication is a very important study topic for the design of the master templates. The surface topology of the same array of the filter cavity structures on the master template and its replication were measured using WLI (Figure 4-45). There are 16 different heights of the 8 × 8 mesa array (each mesa is 100 µm × 100 µm), where each 2 × 2 adjacent mesa have nearly the identical height. The average heights of each 2 × 2 adjacent mesa are shown in Table 4-9. Neglecting the 1 or 2 nm difference that might be caused by approximation error, it indicates a 1:1 replication from the master template to the SCIL template.

Page 97: High Resolution 3D Nanoimprint Technology

82

Figure 4-45. A mesa array of 16 different heights on a master template (top) and the same array of its SCIL replication (bottom).

Table 4-9. The heights of the mesa array on the master template in Figure 4-45 (top) and the corresponding heights in mirror symmetry of its SCIL replication (bottom).

151 147 124 117

138 133 110 104

83 78 54 48

68 63 40 34

115 122 144 152

102 109 131 136

48 56 78 86

34 41 61 69

The surface roughness of replicated SCIL template is about 0.5 nm rms and within +/-2 nm of peak value, which is not as smooth as the surface of GaAs master

Page 98: High Resolution 3D Nanoimprint Technology

83

template due to the material properties of PDMS. Nevertheless, it is still slightly better than quartz template and suitable enough for most of the applications including our nanospectrometers.

Figure 4-46. Surface roughness measurement of a 100 µm × 100 µm area within a mesa structure: surface roughness is about 0.5 nm rms, the peak value is within +/-2 nm (2D view on the top, 3D view on the bottom).

Page 99: High Resolution 3D Nanoimprint Technology

84

5. Application of 3D Nanoimprint for Nanospectrometers

This chapter deals with the application of 3D nanoimprint technology for nanospectrometers. Section 5.1 introduces the foundations of Fabry-Pérot-filter-based nanospectrometers. Two types of nanospectrometers, static sensor array (5.2) and its variation, tunable optical sensor array (TOSA) (5.3) have been developed at INA. While introducing the design, fabrication process and optical characterization, this chapter focuses on the development of 3D nanoimprint technology for structuring the filter cavities of static sensor array and the sacrificial layers of TOSA.

5.1. Theoretical Overview: Fabry-Pérot-Filter-based Nanospectrometer

5.1.1. Foundation of Fabry-Pérot Filter

Generally speaking, a Fabry-Pérot filter is a type of thin-film optical filter, which was first introduced in 1899 by Charles Fabry and Alfred Pérot [114]. Based on complex thin film optical interference, it provides a narrow transmission band on a normally much broader stop band. Fabry-Pérot filters and their variations have been widely implemented in optics, sensing techniques, telecommunication, laser resonator, etc. [115][116][117][118].

More than enough books, theses and scientific papers have been described the theories related to thin-film optics and Fabry-Pérot filter [119]. Therefore, in the following, the author would like to concisely and intuitively introduce some most important theories and definitions.

Light Interference in a Single Thin Film:

A single thin film, as shown in Figure 5-1 (a), reflects an incident light at its upper and lower borders (here, only consider two beams, i.e., neglect the further reflection in the thin film). The two beams of reflected light recombine and interfere with each other. Constructive interference occurs when the relative phase shift

Page 100: High Resolution 3D Nanoimprint Technology

85

∆ 2 (5-1)

where m is integer and the thin film promotes reflection. Destructive interference occurs when the relative phase shift

∆ 2 1 (5-2)

and thin film functions as an anti-reflection coating. An intermediate state takes place in other cases. The relative phase shift is determined by the optical path difference (OPD) of the reflected light, which can be calculated as

2 cos (5-3)

where , , are the refractive indices, d is the thickness of thin film and is the incident angle of light in the thin film, m is integer. Set , , the light reflected from the lower border of the thin film has a phase shift of 2 · 2 cos ⁄ , while the light reflected from the upper border of the thin film is induced a phase shift of due to half-wave loss (when incident light travels from a medium of higher refractive index to a medium of lower refractive index, a phase shift of occurs). Then, the relative phase shift is

∆2 cos

2 (5-4)

where λ is the wavelength of the incident light. If , , the half wave loss occurs at lower border of thin film and brings 2 for Eq. (5-4), which doesn’t influence the relative phase shift ∆ . Set is the phase shift through the optical thickness of the thin film including oblique incidence , i.e., half OPD, then

2 cos (5-5)

From (5-4) and (5-5),

∆ 2 (5-6)

The condition of constructive reflection is

12

(5-7)

The condition of destructive reflection is

(5-8)

Page 101: High Resolution 3D Nanoimprint Technology

86

Thin film (n )2

Medium (n )3

Medium (n )1

Incidentlight

Reflectedlight

Transmitted light

2

1

3

Thin film (n )2

Medium (n )3

Medium (n )1

Incidentlight

Reflectedlight

Transmitted light

2

1

3

(a) (b)

Figure 5-1. Reflection and transmission of incident light on a single thin film: (a) two beam interference, (b) multiple beam interference.

Now consider a more complex case, the multiple beam interference of a single thin film, as shown in Figure 5-1 (b). To simplify the equation, suppose , no light intensity loss due to absorption, then, according to [119][120]

41 sin

14

1 sin (5-9)

1

14

1 sin (5-10)

where and denotes the reflectance and transmittance of the thin film, is the reflectance at the interface. Obviously, maximum reflection and transmission conditions also match Eq. (5-7) and (5-8).

Page 102: High Resolution 3D Nanoimprint Technology

87

Fabry-Pérot Interferometer:

Figure 5-2. Fabry-Pérot interferometer and cavity modes.

A Fabry-Pérot interferometer, also known as Fabry-Pérot resonator or Fabry-Pérot etalon, is composed by two high reflective mirrors and a cavity, as shown in Figure 5-2. It is also based on the principle of multiple beam interference. From the discussion above, obviously, the wavelengths of cavity modes, i.e., the transmission wavelengths are determined by the cavity thickness. Assuming that the two mirrors are identical and no absorption in the cavity, the reflectance and transmittance can be also expressed in Eq. (5-9) and (5-10). Set

41

(5-11)

then

11 sin

(5-12)

Figure 5-3 shows the plot of the transmittance of Fabry-Pérot interferometer from Eq. (5-11) and (5-12). The maximum transmission phase shift of Figure 5-3 corresponds to the cavity modes of Figure 5-2. The distance between two successive maximum transmission modes is called free spectral range (FSR) [121]. In Figure 5-3, the FSR is , the full width at half maximum (FWHM) of a transmission fringe can be written as

2

√ (5-13)

Page 103: High Resolution 3D Nanoimprint Technology

88

The ratio of FSR and FWHM of the implemented transmission fringe, which indicates the number of resolvable lines, is normally used to evaluate the resolution of a Fabry-Pérot interferometer, which is named as finesse

1 (5-14)

From Eq. (5-12), the finesse, i.e., the resolution of a Fabry-Pérot interferometer only depends on the reflectance of the mirrors.

Figure 5-3. Fabry-Pérot fringes: Plotted transmittance (T) of Fabry-Pérot interferometer as a function of phase shift ( ) for different values of (from Eq. (5-11)(5-12)).

Distributed Bragg Reflector (DBR):

From Eq. (5-9), a single thin film is not capable of providing a high reflection. A typical solution is to create a stack of thin films with alternate high- and low refractive indices. It consists of a number of alternating high- and low index thin film pairs as well as one extra high-index thin film layer, as shown in Figure 5-4. The optical thicknesses of both high index and low index thin film fulfill Eq. (5-7). In this way, the relative phase shift among all the reflected light fulfills Eq. (5-1) and thus all the reflected light constructively interferes. This kind of thin film stack is called distributed Bragg reflector (DBR).

Page 104: High Resolution 3D Nanoimprint Technology

89

Air

High index

Low index

High index

High index

Low index

Substrate (low index)

Incidentlight

Reflected light

Transmitted light

Figure 5-4. Reflection and transmission of incident light on a multilayer of thin films.

In practical applications, quarter-wavelength thin film layers are commonly implemented, i.e.,

cos4

(5-15)

the OPD of the reflected light is 2 , i.e 2 . In this case, the methods

mentioned above are not capable of calculating the complicated interference of the DBR (as well as for Fabry-Pérot filter, mentioned later). Therefore, the transfer matrix method (TMM) is commonly implemented to calculate plane wave incident. As shown in Figure 5-5, consider each thin film layer as a two-port matrix and calculate the tangential components of the electric field (E) and the magnetic field (H) at the boundaries, then

Medium N

Thin film N

Medium N

1

2

3

Incident plane wavefront

Boundary a

Boundary b

Z

Normal to filmboundaries

Figure 5-5. Plane wave incident on a thin film.

Page 105: High Resolution 3D Nanoimprint Technology

90

cossin

sin coscos

4 (5-16)

where is the tilted optical admittance of the thin film. The reflectance in

air of the DBR can be written as [119]

1

1 (5-17)

where is the refractive index of the high index layer, is the refractive index of the low index layer, is the refractive index of the substrate, is the number of high- and low index layer pairs. From Eq. (5-17), the reflection of a DBR is determined by the contrast of the refractive indices and the number of thin film layers. The bandwidth (FWHM) of the stop band (the spectral range of the reflected light by the DBR) can be written as [119]

∆4

sin (5-18)

where is the center wavelengths of the stop band.

Fabry-Pérot Filter:

When Fabry-Pérot interferometers are implemented as optical filters, they are usually called Fabry-Pérot filters. DBRs are normally implemented as high reflective mirrors. The cavities could be either static or tunable depending on the applications. In most of the cases, only one transmission peak in the stop band is demanded. High reflection and broad stop band of DBRs, high transmission and small FWHM of the narrow transmission band as well as accurate transmission wavelength are important for high resolution Fabry-Pérot interferometers.

Practically, refractive index and absorption of thin-film and cavity materials vary with the wavelengths of the incident light. Therefore, the design and calculation of Fabry-Pérot filters must rely on numerical simulations. For example, the transfer matrix method is widely implemented for 1D simulation [122][123][124] and the finite element method (FEM) is capable of 2D or 3D simulations [125].

The fabrication of DBRs and cavities can be realized by a number of processes, such as vacuum evaporation, sputtering, molecular beam epitaxy (MBE) and

Page 106: High Resolution 3D Nanoimprint Technology

91

different chemical vapor deposition (CVD) techniques [119][126]. For fabricating organic or polymeric cavities, there are various techniques, e.g., spin-coating and organic molecular beam deposition (OMBD) [127][128]. In case of tunable Fabry-Pérot filters [117], additional micro- and nanofabrication processes have to be applied.

5.1.2. State of the Art: Fabry-Pérot-Filter-based Microspectrometer

Optical spectrometers, which are spectroscopic measurement devices for the visible, near IR and UV light, play an important role in sensing technologies. They are widely implemented in the field of industry process control, medicine, physics, chemistry, biology, space technology, food and agriculture [3][4][5][6][7][8][9][10]. Since conventional spectrometers are normally expensive, bulky laboratory equipments which often do not fit the requirements of industrial applications, it is necessary to develop miniaturized spectrometers.

Microspectrometer:

Great efforts have been done in the last decade to miniaturize spectrometers. One approach implements micro-assembly of conventional fabricated components. It is still strongly limited by the size and cost [13]. Another more successful approach is based on optical micro-electro-mechanical system (MEMS) [14]. Different types of MEMS-based microspectrometers have been developed including grating-based microspectrometer [15][16], interferometer-based microspectrometer [18][19] as well as the combination of tunable Fabry-Pérot filters and detectors [20]. Grating-based microspectrometers, fabricated either by micro-assembly or by MEMS-based methods, currently dominate the market, as shown in Figure 5-6. For further miniaturization, it is more attractive to implement the Fabry-Pérot-filter-based microspectrometers with static filter arrays. The thin-film filter arrays can be directly combined with detectors, saving the necessary space between gratings and detectors of grating-based microspectrometers. Besides, the Fabry-Pérot-filter-based microspectrometers are accurate, flexible in spatial distribution and easy to integrate.

Page 107: High Resolution 3D Nanoimprint Technology

92

Figure 5-6. Commercial available grating-based microspectrometers: Boehringer Ingelheim microParts, 54 mm × 32 mm × 9,5 mm [12] (left); Carl Zeiss, 70 mm × 60 mm × 40 mm (middle) [11]; Hamamatsu, 27.6 mm × 13 mm × 6.8 mm [17] (right).

Fabry-Pérot-Filter-based Microspectrometer:

Correia et al. combined Fabry-Pérot filter arrays including 16 cavity heights and photodiodes to detect 16 different wavelengths [18]. SW. Wang et al. implemented a similar structure and extended to detect 128 different wavelengths in the range of 722.0 - 880.0 nm [19]. The above-mentioned Fabry-Pérot-filter-based microspectrometers, however, have common drawbacks: the fabrication of different filter cavities is too complicated. For 2N different Fabry-Pérot filter cavities, at least N individual steps including lithography and etching (or deposition) processes are required. These concepts are obviously not cost efficient for the industrial fabrication. Besides, the cavity thickness, which is essential for the spectral accuracy of Fabry-Pérot filters, is difficult to control precisely in multi-etching or deposition steps. Recently, Emadi et al. reported a linear variable microspectrometer with a slope cavity which is fabricated based on photoresist reflow and further dry etching [21]. However, an arbitrary distribution of Fabry- Pérot filters can’t be implemented in this concept. Besides, the fabrication process for the slope cavity is also not time and cost efficient and the practical resolution of this microspectrometer is doubtful.

Figure 5-7. Schematic of a Fabry-Perot-based microspectrometer: Correia et al., 16 channels on a single chip CMOS, the lateral dimension is 4.7 mm × 4.7 mm [129] (left); Wang et al., 128 channels on a CCD, 12 mm × 12 mm × 5 mm [8] (middle); Emadi et al., more than 20 channels on a CCD [21] (right).

Page 108: High Resolution 3D Nanoimprint Technology

93

Nanospectrometer:

To overcome those issues mentioned above and promote the state of the art, we developed a novel methodology to fabricate Fabry-Pérot-based microspectrometers using high vertical resolution 3D nanoimprint technology [81] for structuring 3D filter cavities [130][23] and thus we name our new device as nanospectrometers. All Fabry-Pérot filter cavities with different cavity thickness can be fabricated in one single step by nanoimprint technology [22]. This batch process enables industrial products including low-cost nanospectrometers. Those high-resolution, low-cost nanospectrometers have great potential to be integrated to existing mobile devices as well as to the networked sensing systems [24][25].

Two types of nanospectrometers have been developed at INA: the static nanospectrometer, named as static sensor array (Figure 5-8 (a)), is the combination of a static Fabry-Pérot filter array and commercially available detectors, e.g., charge-coupled device (CCD), complementary metal–oxide–semiconductor (CMOS) and a photodiode array; the tunable nanospectrometer, named as tunable optical sensor array (TOSA) (Figure 5-8 (b)), is the combination of a tunable Fabry-Pérot filter array and conventional commercially available detectors. Details about the static sensor array and TOSA are introduced in in section 5.2 and 5.3, respectively.

Figure 5-8. Schematic of nanospectrometers: (a) static sensor array, (b) tunable optical sensor array (TOSA).

Previous works at INA such as design and fabrication of tunable Fabry-Pérot filter and Fabry-Pérot-filter-based laser devices [125][131][104][132][133][134] provide a strong basis for developing nanospectrometers. Despite implementing new design and materials, simulation of Fabry-Pérot filter array and DBRs deposition as well as structuring tunable filters in case of TOSA are developed technologies. The most challenging part of the development of the nanospectrometers is to

Page 109: High Resolution 3D Nanoimprint Technology

94

implement 3D nanoimprint technology, since no other group has ever made similar work. The 3D nanoimprint template fabrication has been introduced in chapter 4. Its application for nanospectrometers will be discussed in the following sections, i.e., structuring 3D filter cavities of static filter arrays (section 5.2.3) and sacrificial layers of TOSA (section 5.3.3).

5.2. Static Sensor Arrays

5.2.1. Introduction and Design

The working principle of our static sensor array is based on Fabry-Pérot filter arrays that have been reported some years ago [14][18], as introduced in section 5.1.2. In case of those reported Fabry-Pérot-based microspectrometers [14][18][8], however, the cavities have to be fabricated in several individual steps. This is the reason why Fabry-Pérot-based microspectrometers are still very expensive and irreproducible.

Figure 5-9. Schematic of a design of a static sensor array: (a) a static sensor array, (b) corresponding calculated transmission spectra.

We implemented new designs to solve this issue, as shown in Figure 5-9. A filter array consists of two dielectric DBR mirrors which are identical and a cavity of transparent polymer resist [31] structured by nanoimprint. The optical thickness of each dielectric thin film layer is one quarter of the design wavelength of the filter, while the optical thickness of the cavity is one half of the design wavelength.

Page 110: High Resolution 3D Nanoimprint Technology

95

Because of the thin film interference, in the wavelength range of the stop band of DBR, only the light within the designed narrow transmission band of each single filter can pass through the same filter. The filter array will be directly deposited on a detector array (or deposited on a transparent substrate which is then bonded on a detector array), such as silicon CCD chip, CMOS chip and photodiode array, which is commercially available. Each detector will correspond to one filter to detect the transmitted light.

The lateral geometry of the cavities is designed to be checkerboard-like to match the detector. The vertical precision of the cavity is a key factor for the accurate filter transmission. Both lateral and vertical dimensions of the cavities can be precisely structured by means of high vertical resolution 3D nanoimprint technology which also enables a cheap and reproducible approach.

5.2.2. Fabrication Process

The fabrication of the static sensor array comprises only few steps, as shown in Figure 5-10. The bottom DBR is firstly deposited on a detector array or on a transparent substrate that is combined with the detector array afterwards. Then a 3D nanoimprint process is performed using a 3D nanoimprint template which contains the reverse cavities structures (negative/positive principle). In the next step, the top DBR is deposited on the nanoimprinted cavities, finishing the fabrication process of a static sensor array.

Page 111: High Resolution 3D Nanoimprint Technology

96

Figure 5-10. Fabrication steps of static sensor array: (a) bottom DBR deposition, (b) and (c) 3D nanoimprint to structure the filter cavities, (d) top DBR deposition.

The fabrication process includes two main technologies: Nanoimprint and DBR deposition. As mentioned in chapter 2, nanoimprint is an emerging technology which combines the potential of high through-put and low-cost production. Different technologies of nanoimrpint have been developed since the invention of nanoimprint in 1995. Although highly precise lateral structures down to 6 nm were recently reported to be patterned using nanoimprint [76], it is still extremely challenging to precisely apply arbitrary heights of 3D structures in a flexible way, which is the essential issue in the filter cavities fabrication of our static sensor array.

The key issue to implement 3D nanoimprint for the filter cavities is the 3D template fabrication. As introduced in Chapter 4, we developed a novel methodology to fabricate 3D nanoimprint templates with ultra-high vertical resolution [81]. The 3D templates contain arrays of “negative” or “positive” mesa structures with up to 64 different heights for test purposes. To meet the requirements of the filter cavities, the differences of level heights were set from several nanometers to several hundred nanometers, while lateral features were designed in the micrometer range. Both master templates for soft nanoimprint with “positive” patterns and rigid transparent templates for UV-NIL with “negative” patterns were produced. The best results of the master templates show surface

Page 112: High Resolution 3D Nanoimprint Technology

97

roughness below 0.2 nm and vertical precision below 1 nm, while the transparent templates obtain surface roughness of about 0.5 nm and vertical precision below 2 nm.

With our 3D templates, two different nanoimprint methods, UV nanoimprint lithography (UV-NIL) and substrate conformal imprint lithography (SCIL) [61], were used in parallel to structure the filter cavities. Conventional UV-NIL with rigid quartz templates enables relative simple and fast process. SCIL, which was developed by Philips Research and SUSS MicroTec, is an advanced soft nanoimprint technology, offering high quality imprints over large areas. The nanoimprint process was performed using SUSS MicroTec MA6 with UV-NIL toolkit (3.2.1) and SCIL toolkit (3.2.2). Besides, corresponding nanoimprint resists such as AMONIL (AMO, Germany), Sol-gel (Philips Research, Netherlands), mrUVcur06 and mrUVcur21 (Microresist, Germany) were also tested.

To ensure a high quality Fabry-Pérot filter, the thin films of the bottom DBR and the top DBR should fit exactly to the designed refractive indices and layer thicknesses. High purity and low absorption are also required as well as good adhesion and moderate stress between films and between DBRs and substrates or cavities.

Two DBR deposition methods, plasma enhanced chemical vapor deposition (PECVD) [132][134] and ion beam sputtering deposition (IBSD) [133], were implemented in parallel during this work. PECVD is a cost-efficient process for depositing a variety of thin films. Some other attractive properties of PECVD deposited DBRs are good adhesion, step coverage and moderate stress. Standard SiO2/Si3N4 DBRs were implemented in case of PECVD. IBSD is a method based on the sputtering technology. It provides excellent control and repeatability of thin film thickness and properties. Despite of its high performance, IBSD is a slow and expensive process and has a relatively high stress for organic cavities. Ultra high reflective SiO2/TiO2 DBRs was developed using IBSD at INA. The SiO2/TiO2 DBRs have a rather broad stop band about 200 nm and a high reflectance up to 99.9%.

5.2.3. Filter Cavities Structuring using 3D Nanoimprint

As mentioned in section 5.1.2, there has been a strong technological basis at INA for DBR deposition, including PECVD and IBSD. Therefore, the key issue of the static sensor array fabrication is structuring the filter cavities using 3D nanoimprint. It was performed by both UV-NIL using 3D transparent templates (section 4.3) and SCIL using 3D soft templates (section 4.4).

Page 113: High Resolution 3D Nanoimprint Technology

98

Characterization Methods:

Generally speaking, all the implemented imprint resists (mrUVcur06, mrUVcur21, AMONIL and Sol-gel) offer high optical properties. The refractive indices are around 1.5 in the visible light range. Therefore, the surface topology and residual layer thickness are important parameters to investigate and to characterize for the 3D nanoimprint process.

The surface profiles of nanoimprinted cavities were studied by white light interferometry (WLI) [87], as shown in Figure 5-11. Before WLI, A thin chromium or aluminum layer was deposited on the transparent nanoimprinted cavities by vacuum deposition. WLI provides fast and accurate measurements over a much larger area than atomic force microscopy (AFM) micrographs. Chromium or aluminum layers can be removed by chromium or aluminum etchant after the measurements without disturbing the cured implemented imprint resists. The Al etchant may slightly influence the cured mr-UVCur06, if the samples are immersed in the solution for a long time, e.g., more than 1 hour.

Page 114: High Resolution 3D Nanoimprint Technology

99

Figure 5-11. WLI measurement of a transparent template (top) and the corresponding nanoimprinted cavity structures using UV-NIL with mr-UVCur06 (bottom).

The residual layer measurements can be performed using focused ion beam (FIB) technology, as shown in Figure 5-12. At first, platin was deposited on the sample. Then, a slit or hole at the chosen location was defined by ion milling of the FIB system. The cross-section was measured by an integrated scanning electron microscope (SEM) within the FIB system. It is a fast and rather flexible method. Those FIB measurement results were also proven by another method, i.e., WLI measurement of the imprinted structures with defects (e.g., air bubbles or incomplete filling) or manual scratches through the residual layer, as shown in Figure 5-13. Similar to the surface topology measurement, a chromium or aluminum layer has to be deposited at first and can be removed afterwards.

Page 115: High Resolution 3D Nanoimprint Technology

100

Figure 5-12. Residual layer measurement using FIB: SEM image shows the cross-section of SCIL imprinted structures on DBR. White layer in the surface is platin, the darkest layer in the middle is cured AMONIL (Mesa structures is on the right side, left side is only residual layer) and the less dark layer on the bottom is DBR.

Figure 5-13. Residual layer measurement using WLI for the position where air bubbles were trapped: The top image shows the surface topology of an imprinted cavity structures using UV-NIL with mr-UVCur21, where bubble points indicate the defects caused by air bubbles. The cross section profile of the plotted line indicates a residual layer about 70 nm (bottom).

Page 116: High Resolution 3D Nanoimprint Technology

101

Filter Cavities Structuring using UV-NIL:

An early attempt of UV-NIL using 3D transparent templates without professional nanoimprint devices was introduced in the PhD thesis of my co-work F. Köhler [105]. Afterwards, it was performed using SUSS MicroTec MA6 with UV-NIL toolkit at SUSS MicroTec. After imprint, the surface profiles and the residual layer thickness of nanoimprinted cavity structures were investigated. Several colleagues of INA including A. Albrecht, F. Köhler, S. Schudy, T. Woit and the author were involved in this step.

Commercially available UV-curable nanoimprint resists including mr-UVCur06, mr-UVCur21 (Micro Resist Technology) and AMONIL (AMO) were tested. As all the other quartz templates, the 3D transparent templates fully adapt those commercial UV-curable imprint resists. The anti-sticking layer, however, plays no critical roles any more in our case. No matter with or without anti-sticking layer, no difference of the imprint result could be distinguished. It is mainly due to the comparably big pattern of our templates. Compared to quartz templates with typical structures with nanometer lateral dimensions such as gratings and photonic crystals, the mesa structures for the static sensor arrays have smaller contact areas and thus reduce the surface energy of templates.

The implemented 3D transparent templates include 100 µm × 100 µm square mesa arrays whose depths range from approx. 80-240 nm. The imprint resists were always spin-coated to achieve thicknesses between approximately 250-300 nm to match the structure heights of the templates. After imprinting, the results were characterized using the methods mentioned above.

Table 5-1 shows an overview of all the implemented resists for structuring the filter cavities. UV-NIL replicated fine structures (Figure 5-11) from the rigid quartz templates and thus no deformation occurs. As common issues of all the UV-NIL techniques with rigid templates, air bubble trapping appeared and the reproducibility was limited due to the non-ideal surfaces of the templates and substrates. Theoretically, a slower imprint and a longer degas process under vacuum would help to reduce air bubble trapping [45]. Unlike normal 2D nanoimprint with relatively homogenous pattern distribution, the resist in 3D nanoimprint may flow a much longer distance to compensate the vertical difference of the structures on templates. Therefore, an ultra low viscosity of the imprint resist is crucial to ensure a complete resist filling. It was also proven by our experiments: mr-UVCur21 that has a viscosity about 2 mPa·s made much better performance in resist filling than mr-UVCur06 (about 14 mPa·s), while mr-UVCur06 performed much better than AMONIL whose viscosity is 50 mPa·s.

Page 117: High Resolution 3D Nanoimprint Technology

102

Both mr-UVCur21 and mr-UVCur06 could enable a full array imprint of 3D cavity structures. For example, Figure 5-14 shows the imprint results using mr-UVCur06. Similarly, the viscosity of the imprint resist also plays a critical role in residual layer thickness. Thinner residual layers were achieved using imprint resists with lower viscosity. Excellent resist filling and a residual layer of around 70 nm were obtained by UV-NIL with mrUVcur21.

Table 5-1. Performance overview of the implemented nanoimprint resists for UV-NIL.

Nanoimprint resist

Viscosity (mPa·s)

Performance Defor- mation

Resist filling

Air bubble trapping

Residual layer (nm)

Reprodu-cibility

AMONIL 50 no medium Yes 150-200 medium

mr-UVCur06 about 14 no good Yes over 100 medium

mr-UVCur21 about 2 no excellent yes around 70 medium

Figure 5-14. WLI measurements: 2D (top) and 3D (bottom) topography of a full array of 3D cavity structures, imprinted by UV-NIL with mr-UVCur06.

Page 118: High Resolution 3D Nanoimprint Technology

103

Figure 5-15. WLI measurement on the surface of one cavity structure of Figure 5-14: the surface roughness is approx. 0.6 nm rms.

Figure 5-15 shows the same surface roughness measurement of one cavity structure of Figure 5-14. The surface roughness is approx. 0.6 nm rms which matches the surface roughness of the 3D transparent templates. It proves again the basic theory that the surface roughness of the imprinted structures is determined by the surface of the template.

As a conclusion, 3D UV-NIL using the combination of 3D transparent templates (section 4.3), mr-UVCur21 and SUSS MicroTec MA6 with UV-NIL toolkit indicated convincing performance. The issue of air bubble trapping is expected to be improved or avoid by optimizing the process parameters. Similarly, the thickness of the residual layer could also be further reduced. Besides, mr-UVCur21 is also able to withstand the stress of IBSD layers and thus enables the sensor arrays to benefit the high performance SiO2/TiO2 DBRs deposited by IBSD. The main disadvantage of this 3D UV-NIL technology is the relatively low reproducibility and yield in high throughput fabrication, which is still an issue of all the current UV-NIL based techniques.

Filter Cavities Structuring using SCIL:

Investigation and experiments of 3D SCIL were performed using SUSS MicroTec MA6 with SCIL toolkit at INA. This part of work was carried out together with A. Albrecht. The general characteristic of 3D SCIL was studied. Different SCIL templates (4.4.3) were implemented for SCIL imprint tests as well as the filter cavities structuring. All available resists for SCIL, including AMONIL, mr-UVCur06 and Sol-gel, were tested and characterized for the static sensor arrays.

Page 119: High Resolution 3D Nanoimprint Technology

104

Compared with UV-NIL, SCIL shows higher reproducibility, since the soft templates enable better contact with the substrates. Because of the sequential imprint process of SCIL, the issue of air bubble trapping is avoided. Additionally, due to the flexible thin glass carrier and the relatively thick PDMS layer of the SCIL templates (4.4.3), SCIL enables the imprint on substrates with different stages. It gives a great potential for fabricating multi-DBRs filter arrays, in case a single DBR could not cover the required spectral range. For example, one SiO2/TiO2 DBR covers a spectral range of approx. 150-200 nm in the visible light range. Accordingly, for covering and, thus, detecting a broader spectral range (e. g. from 400 nm to 800 nm), two or three different DBR mirrors with different central wavelengths have to be created and neighbored laterally on the substrate. Since the spectral positions of the stop band and the central wavelength of a DBR are influenced by the thickness of the DBR periods, the DBRs have different thicknesses. The vertical height difference of neighboring DBRs ranges from several nanometers to micrometers which cannot be imprinted by 3D UV-NIL using a rigid template. Figure 5-16 shows an imprint over different stages using UV-SCIL, where the maximum height difference between the individual stages is over 1 μm.

Figure 5-16. WLI measurement of nanoimprinted filter cavity structures fabricated over 3 stages using UV-SCIL. The left stage is a DBR with a central wavelength of about 560 nm and the right stage is a DBR with a central wavelength of about 510 nm. The middle stage is over 1 μm higher than the other stages.

Despite the above-mentioned advantages, a serious deformation of the imprinted structures occurred that has never take place in case of UV-NIL, as shown in Figure 5-17.

Page 120: High Resolution 3D Nanoimprint Technology

105

Figure 5-17. WLI characterization of first imprint results performed with SCIL, using AMONIL as imprint material: 2D (top) and 3D (bottom) surface topography of 100 µm × 100 μm mesa array shows serious deformation.

SCIL implements soft templates and an ultra-low imprint pressure of only 20 mbar. The filling of the structures is based on capillary forces. Therefore, this deformation could be mainly due to the insufficient capillary effect as well as inadequate mobility of the imprint resists which leads to the deformation of the soft templates. According to those assumptions, the following parameters of SCIL process were investigated to solve this issue: i) size of the imprint structures, ii) viscosity of imprint resists, iii) time of process delay, iv) structure of the SCIL template.

i) it was proven that the size of the imprint structures is one of the most important parameters which determines the degree of the deformation. Figure 5-18 shows the test structures after the SCIL imprint using AMONIL. The diameters of the imprinted mesa are 100 µm, 50 µm, 30 µm, 20 µm and 10 µm. All the mesa suffered from deformation. However, It is obvious that the smaller the structure, the less deformation occurred.

Page 121: High Resolution 3D Nanoimprint Technology

106

Figure 5-18. Influence of the size of the imprint structures on the degree of the deformation: WLI measurement of 2D (top) and 3D (bottom) topography of the test structures, imprinted by SCIL with AMONIL.

ii) The test results indicate the significant influence of the viscosity of the imprint resists, which is another predominant parameter. For example, mr-UVCur06 with a viscosity of about 14 mPa·s provided much better performance than AMONIL with a viscosity of 50 mPa·s. If fixing a certain kind of imprint resist, an appropriate dilution improves the imprint results. Figure 5-19 shows the imprint results using diluted AMONIL (AMONIL/ethanol (10:1)). Now, it enables the non-deformed imprint of mesa with a diameter of 25 µm.

Page 122: High Resolution 3D Nanoimprint Technology

107

Figure 5-19. Influence of the viscosity of imprint resist on the degree of the deformation: WLI measurement of 2D (top) and 3D (bottom) topography of 25 µm × 25 µm mesa, imprinted by SCIL with diluted AMONIL (AMONIL/ethanol (10:1)).

iii) Process delay denotes the time after imprint sequence and before the curing in case of UV-SCIL (with AMONIL and mr-UVCur06 in this work). There is no process delay in case of SCIL with Sol-gel, because the PDMS template immediately begins to absorb the solvent once the template comes into contact with the Sol-gel, i.e., the curing starts. Process delay slightly influences the imprint results of UV-SCIL. Figure 5-20 shows the imprint results of a 10 µm × 10 µm mesa using AMONIL with process delay of 10 s and 60 s, respectively. The degree of deformation on the sample with a 60 s process delay is less than on the one with 10 s.

Page 123: High Resolution 3D Nanoimprint Technology

108

(a) (c)

(b) (d)

Figure 5-20. Influence of the process delay on the degree of the deformation: WLI measurement of the imprint imprint results of a 10 µm × 10 µm mesa using AMONIL with process delays of 10 s (a,b) and 60 s (c,d).

iv) The last test was using a SCIL template with only h-PDMS (section 4.4.3). The aim was to avoid the possible deformation or collapse caused by the PDMS layer. However, there was nearly no imprint result due to bad contact during the imprint process because of the absence of the soft PDMS layer.

As a conclusion of the above-mentioned tests: small imprint structures and low viscosity of the imprint resist can avoid the deformation. Besides, an optimized process delay ensures the best results. Since the lateral dimension cannot be too small for sensor arrays, choosing an imprint resist with lower viscosity or diluting the imprint resists becomes the main solution for this issue.

Table 5-2 shows an overview of all the implemented resists for structuring the filter cavities. (Although mr-UVCur21 has an ultra low viscosity about 2 mPa·s, unfortunately, it sticks to SCIL templates due to their chemical properties.) The imprint resists were normally spin-coated to be thicknesses between approximately 200-300 nm to match the structure heights of the SCIL templates. (Sol-gel was spin-coated under a process recommended by M. Verschuuren of Phillips Research. The thickness of Sol-gel after spin-coating is unknown, likely much thicker than 300 nm.) Table 5-2 indicates that Sol-gel makes the best imprint performance. The maximum lateral size without deformation of imprint using Sol-gel is up to 100 µm, which fulfills the normal requirements of each Fabry-Pérot filter cavity of the static sensor arrays as well as the fabrication process of 3D templates. Sol-gel imprinted cavity structures can also withstand the stress of the DBRs deposited by

Page 124: High Resolution 3D Nanoimprint Technology

109

IBSD and thus the sensor arrays are able to benefit from the high performance TiO2/TiO2 DBRs. The main drawback of Sol-gel is its relatively long curing time that is about an order of magnitude larger than mr-UVCur06 and AMONIL. It could be a comparably disadvantage in the practical fabrication of nanospectrometers. More detailed information is included in the master thesis of I. Memon [135].

Table 5-2. Performance overview of the implemented nanoimprint resists for SCIL.

Nanoimprint

resist

Viscosity (mPa·s)

Performance Max. lateral size

without deformation (µm)

Curing time (min)

Residual layer (nm)

Withstand IBSD

stress?

AMONIL 50 <10 3 ? yes

AMONIL/ethanol (10:1)

? about 30 3 180 yes

mr-UVCur06 about 14 about 30 1 130 no

mr-UVCur06/ mr-T 1070

(2:1) ? up to 50 1 ? no

Sol-gel ? up to 100 15~60 250* yes

* The thickness of Sol-gel after spin-coating is likely much thicker than the other implemented nanoimprint resists.

Figure 5-21 shows the WLI characterization of a full array of 3D cavity structures imprinted by SCIL with Sol-gel of 30 min curing time. Figure 5-22 shows a surface roughness measurement of a cavity structure imprinted under the same process parameters. The surface roughness is approx. 0.4 nm rms and about 5 nm in peak value, which matches the surface roughness of the 3D SCIL templates. Figure 5-24 shows a Sol-gel based SCIL imprint result over two different SiO2/TiO2 DBRs. The imprinted mesa have a dimension of 50 µm without deformation.

Page 125: High Resolution 3D Nanoimprint Technology

110

Figure 5-21. WLI measurements: 2D (top) and 3D (bottom) topography of a full array of 3D cavity structures, imprinted by SCIL with sol-gel of 30 min curing time.

Figure 5-22. Surface roughness measurement of a 40 µm × 40 µm area within a 50 µm × 50 µm mesa structure imprinted by SCIL with Sol-gel of 30 min curing time: surface roughness is about 0.4 nm rms, the peak value is within +/-3 nm.

Page 126: High Resolution 3D Nanoimprint Technology

111

Figure 5-23. SCIL imprint with Sol-gel over different SiO2/TiO2 DBRs (5.5 pairs, central wavelengths about 650 nm and 550 nm) defined by IBSD: micrographic (top) and WLI measurement (bottom) in the edge of the two DBRs.

As a conclusion, 3D SCIL using the combination of 3D SCIL templates (4.4.3), Sol-gel and SUSS MicroTec MA6 with SCIL toolkit indicates a great potential not only in laboratory experiments but also in industrial fabrication. The 3D SCIL processes are still being improved. The main drawback of Sol-gel based 3D SCIL process is its comparably long curing time of currently used Sol-gel. According to the latest news, UV-curable Sol-gel has been developed by Philips Research and the curing time is less than one minute [136]. Such a UV-curable Sol-gel would be the best candidate for a 3D UV-SCIL process for industrial fabrication.

Page 127: High Resolution 3D Nanoimprint Technology

112

Summary of the Implemented 3D Nanoimprint Technologies:

Table 5-3 shows a summary of the performance overview of all the implemented 3D nanoimprint technologies with their corresponding nanoimprint methods, resists and the process parameters.

Table 5-3. Performance overview of all the implemented 3D nanoimprint technologies.

Method Resist

(Viscosity (mPa·s))

Performance

Curing time (min)

Resist filling

Air bubble

trapping

Reprod-ucibility

Residual layer (nm)

Max. lateral size without deformation

(µm)

UV-NIL AMONIL

(50) 2 medium Yes Medium 150-200 no limit

UV-NIL mr-

UVCur06 (about 14)

2-3 good Yes Medium over 100 no limit

UV-NIL mr-

UVCur21 (about 2)

2-3 excellent yes Medium around 70 no limit

UV-SCIL AMONIL

(50) 3 excellent no good ? <10

UV-SCIL Diluted

AMONIL (?)

3 excellent no good 180 about 30

UV-SCIL mr-

UVCur06 (about 14)

1 excellent no good 130 about 30

UV-SCIL Diluted mr-UVCur06

(?) 1 excellent no good ? up to 50

SCIL Sol-gel

(?) 15~60 excellent no good 250* up to 100

* The thickness of Sol-gel after spin-coating is likely much thicker than the other implemented nanoimprint resists.

5.2.4. Characterization of Static Sensor Arrays

The optical transmission spectra of filter arrays were characterized using an optical measurement setup [137]. This optical measurement setup consists of a white light source, a microscope, a series of optics and optomechanics and an optical spectrum analyzer (OSA). The beam diameter can be controlled down to several micrometers, which fulfills the measurement requirements.

Best results of the transmission spectra of UV-NIL imprinted sensor arrays have been obtained with the filter arrays with IBSD DBRs. Figure 5-24 shows the

Page 128: High Resolution 3D Nanoimprint Technology

113

transmission spectra of a filter array which was fabricated by UV-NIL using mrUVcur21 and by an IBSD deposition of 5.5 pairs SiO2/TiO2 DBRs. These transmission spectra reveal good transmittance of all filters about 40-70% in the range of 500-650 nm, linewidths (FWHM) around 3 nm and a stop band over 150 nm.

Figure 5-24. Transmission spectra of a filter array, which was fabricated by UV-NIL using mrUVcur21 and SiO2/TiO2 DBRs (5.5 pairs) defined by IBSD.

Figure 5-25 shows the transmission spectra of a filter array which was fabricated by SCIL using Sol-gel and by a PECVD deposition of 9.5 pairs SiO2/ Si3N4 DBRs. High transmittance of all filters about 60-80% and linewidths (FWHM) between about 2-3 nm in the range of 640-690 nm were achieved.

Page 129: High Resolution 3D Nanoimprint Technology

114

Figure 5-25. Transmission spectra of a filter array, which was fabricated by SCIL using Sol-gel and SiO2/Si3N4 DBRs (9.5 pairs) defined by PECVD.

As already discussed in the last section, we successfully fabricated multi-DBRs filter arrays with imprinted filters using SCIL. Filter transmissions were achieved from two different filter arrays which were integrated in one sample, as shown in Figure 5-26.

Page 130: High Resolution 3D Nanoimprint Technology

115

Figure 5-26. Optical transmission spectra of two different filter arrays integrated in one sample: a first filter array includes DBRs with a central wavelength of about 510 nm (top) and a second filter array has DBRs with a central wavelength of about 550 nm (bottom).

Besides, best single filter transmission of both UV-NIL and SCIL imprinted filter arrays were above 90% [130] [23].

5.2.5. Summary

Based on the novel 3D nanoimprint technology developed in this work, including 3D templates (chapter 4) and 3D nanoimprint processes (section 5.2.3), we have promoted the state of the art of microspectrometers (section 5.1.2), summarized in Table 5-4. Our static filter arrays with nanoimprinted cavities have indicated rather high filter transmission (full array 40%-80%, best single filter >90%), small line widths (about 3 nm in full width at half maximum (FWHM))) and broad stop bands up to 200 nm. The resolution (defined as the ratio of the FWHM and the transmission wavelength) is up to 400. Although maximum 64 different cavity heights were demonstrated in this work, there is no limit due to implementing the digital etching method (section 4.1.2) for the fabrication of 3D templates and

Page 131: High Resolution 3D Nanoimprint Technology

116

implementing 3D nanoimprint for patterning the filter cavities. Those parameters mentioned above indicate that our static nanospectrometers provide better performance than all the existing microspectrometer, as shown in Table 5-4. The most important aspect is that our 3D nanoimprint technology enables the fabrication of the complicated 3D filter cavities in one single step.

Table 5-4 Comparision of static sensor arrays with current existing microspectrometers (the numbers in brackets indicate the best performance or potential).

Institution /company

Schematic

/photo

Reso-

lution

Trans-

mission FWHM

(nm)

No. cavity

heights

Size (mm)

No. steps for

cavity

INA

≈ 200 (400)

40%-80% (>90%)

≈ 3 typical (1 best)

64 (no limit)

CCD sensor

1

Wang et al.

≈ 400 21%-65% ≈ 2 128 CCD

sensor > 9

Correia et al.

≈ 25 11%-15% 16 16 4.7 × 4.7

> 4

Emadi et al

? ? ? > 20 CCD

sensor 3

microParts

< 86 12 grating 54 × 32 × 9.5

Zeiss

< 139 5-9 grating 40 × 38 × 27

Hamamatsu

≈ 63 12 grating 27.6 × 13 × 6.8

5.3. Tunable Optical Sensor Arrays

5.3.1. Introduction and Design

Tunable optical sensor arrays (TOSA) can be viewed as a derivative type of static sensor arrays. It is composed of a tunable Fabry-Pérot filter array and a corresponding detector array. Each tunable Fabry-Pérot filter and its corresponding detector detect a certain range of wavelength. A TOSA with different Fabry-Pérot filters, therefore, can detect a broad wavelength range beyond the tuning range of

Page 132: High Resolution 3D Nanoimprint Technology

117

any existing single Fabry-Pérot filter. Figure 5-27 shows the principle of TOSA. Different initial air cavity thicknesses (i.e., the air cavity thicknesses without tuning) are designed to ensure different initial central wavelengths of Fabry-Pérot filters. The air cavities are realized through the underetching of 3D sacrificial layers with different heights. Similar to the static sensor arrays, 3D nanoimprint technology is implemented to structure the 3D sacrificial layers of TOSA in only one step. Besides, top and bottom electrodes are applied for fast electrical tuning.

Page 133: High Resolution 3D Nanoimprint Technology

118

Figure 5-27. Schematic of TOSA design: (a) top view of a single tunable filter, (b) top view of TOSA, (c) side view of TOSA without (top) and with (bottom) electrical tuning, (d) corresponding calculated transmission spectra.

The basic motivation of deriving TOSA from the static sensor array is to fabricate cost-efficient nanospectrometers for infrared spectroscopy. The low-cost silicon-based detectors such as CCD, CMOS and photodiodes are hardly able to detect the wavelength range beyond 1000 nm. However, the cost of those adapted semiconductor detectors in this wavelength range such as GaInAs sensors are several orders of magnitude above those silicon-based detectors. Therefore, the

Page 134: High Resolution 3D Nanoimprint Technology

119

number of detectors has to be reduced and the concept of TOSA is addressed. Additionally, the air cavities which have no absorption in UV range offer superior performance in the UV spectroscopy.

5.3.2. Fabrication Process

The fabrication process of TOSA is complicated compared with static sensor arrays. Figure 5-28 shows the main fabrication steps including fabricating bottom electrode, bottom DBR, sacrificial layers, top DBR, top electrode as well as those processes to pattern the tunable filters including lithography, vertical etching, protection layer fabrication and sacrificial underetching.

Figure 5-28. Fabrication steps of TOSA: (a) bottom electrode and bottom DBR deposition, (b) and (c) 3D nanoimprint to structure the sacrificial layers, (d) top DBR and top electrode deposition, (e) lithography and vertical etching, (f) protection layer and underetching, (g) TOSA is ready for electrical tuning.

The bottom electrode is firstly deposited on a detector array or a transparent substrate that will be combined with the detector array afterwards. There are two options for fabricating the bottom and top electrodes. One option is applying transparent conductive indium tin oxide (ITO) layers which can be deposited by IBSD. The other option is implementing normal metal electrodes, such as

Page 135: High Resolution 3D Nanoimprint Technology

120

aluminum electrodes. In the latter case, the positions where the membranes (see Figure 5-27) locate have to be patterned to enable light transmission.

The same PECVD or IBSD deposition as applied for the static sensor arrays was applied to define the DBRs. If implementing IBSD deposition for top DBR, the stress of top DBR on the sacrificial layers should also be taken into consideration. For example, from section 5.2.3, mr-UVCur06 only supports the top DBRs deposited by PECVD. The 3D sacrificial layers can be imprinted by both 3D UV-NIL and 3D SCIL (section 5.2.3). The templates used for TOSA are relatively easy to fabricate since they contain less different heights than the 3D cavities of the static sensor arrays and the height precision can be compensated by the filter tuning. However, the cured imprint resists must be selectively etched from the dielectric DBRs during the underetching process, i.e., those imprint resist which contain silicon components, e.g., AMONIL and Sol-gel, can be hardly implemented for TOSA, because they have the similar etching property like dielectric DBRs.

Patterning the lateral geometry of the tunable filter demands a photolithography and a vertical etching process. The photolithography determines the layout of the tunable filters. For the vertical etching, RIE or inductively coupled plasma (ICP) with fluoride-based gas combinations were implemented. Underetching process was performed in O2-plasma asher because O2-plasma completely underetches the pure organic mr-UVCur06/21. A protection layer should be applied before underetching process to prevent the supporting posts (see Figure 5-27) (that is also cured imprint resist) from underetching.

5.3.3. Sacrificial Layers Structuring using 3D Nanoimprint

The fabrication technology for tunable dielectric Fabry-Pérot filters using organic sacrificial layer (mrUVcur06/21) have been developed at INA [33][138]. Another key issue in the fabrication of TOSA is the 3D sacrificial layer patterning using 3D nanoimprint. From section 5.3.2, the sacrificial layers have to be selectively underetched by O2-plasma and only mr-UVCur06 and mr-UVCur21 are capable for structuring these sacrificial layers. From section 5.2.3, both mr-UVCur06 and mr-UVCur21 adapt UV-NIL using rigid transparent templates, however, only mr-UVCur06 adapts the SCIL process. The characterization methods mentioned for the filter cavities of static sensor arrays (section 5.2.3) can also be implemented for the sacrificial layers of TOSA.

Page 136: High Resolution 3D Nanoimprint Technology

121

Sacrificial layers Structuring using UV-NIL:

The geometry of the required sacrificial layers is shown in Figure 5-28. They are stages with different designed heights. The lateral diameters of those stages are usually in the range of several hundred micrometers. The experiments for static sensor arrays have demonstrated the capability of UV-NIL for imprinting such kind of stages, as shown in Figure 5-29. Comparably, mr-UVCur21 is a better candidate because of its better performance using UV-NIL as well as its endurance for highly reflective DBRs deposited by IBSD (section 5.2.3).

Figure 5-29. WLI measurement of imprinted structures using UV-NIL with mr-UVCur21: a stage (about 850 µm × 150 µm) lays beside a mesa array.

Sacrificial layers Structuring using SCIL:

From section 5.2.3, SCIL can only imprint structures with limited lateral dimensions to avoid deformation. The maximum lateral dimensions are about 30 µm in case of mr-UVCur06 and up to 50 µm in case of diluted mr-UVCur06, which are far less than enough to imprint stages for whole tunable filters. An alternative plan is to ensure the non-deformed part of sacrificial layers corresponding to membranes and suspensions, because these parts determine the quality and the initial heights of the tunable Fabry-Pérot filter of TOSA.

A Si master template was fabricated for test purposes. It contains the same layouts exactly as all the implemented tunable Fabry-Pérot filters. The sacrificial layers have two different heights. Figure 5-30 shows three filter structures of the same layout on the master templates. (Since a number of different filter designs were implemented for TOSA, the structures shown in Figure 5-30, Figure 5-31, Figure 5-32 and Figure 5-34 are also different.) Then, A SCIL template was replicated from the master template for imprint tests.

Page 137: High Resolution 3D Nanoimprint Technology

122

Figure 5-30. WLI measurements: 2D (top) and 3D (bottom) topography of the filter structures of the test master template for TOSA.

The imprint test using mr-UVCur06 proved the above mentioned ideas. Figure 5-31 shows the WLI measurement of the part of the imprinted sacrificial layer corresponding to a filter. The membrane and most of the suspensions (see Figure 5-27) were structured without deformation due to their relative small widths. The deformation occurred at the out part of suspensions as well as the supporting posts, where the heights smoothly reduce. The sacrificial layer in such a degree of deformation will not have any negative impact on the top DBR and the top electrode. Figure 5-32 shows a sacrificial layer corresponding to the membrane and inner part of suspensions, which was imprinted without any deformation. The surface roughness of the membrane part of the sacrificial layer has a peak value within +/-3 nm, as shown in Figure 5-33. The surface roughness is expected to be further reduced, if a GaAs master template is implemented.

Page 138: High Resolution 3D Nanoimprint Technology

123

Figure 5-31. WLI measurements: 2D (top) and 3D (bottom) topography of the imprinted sacrificial layer.

Figure 5-32. WLI measurement of a sacrificial layer corresponding to the membrane and inner part of suspensions.

Page 139: High Resolution 3D Nanoimprint Technology

124

Figure 5-33. The surface roughness of the membrane part of the sacrificial layer of Figure 5-32: the peak value is within +/-3 nm.

The residual layer measurement indicates a thickness about 160 nm. It can be further reduced by anisotropic O2-plasma etching. A preliminary test using RIE (O2(30)sccm, 50W, 0.1mbar, 12°C) provided an etching rate about 150 nm/min as well as smooth surfaces of non-deformed imprinted structures. More details about sacrificial layers structuring using SCIL are included in the master thesis of S. Uriarte [139].

Additionally, a new mask sets “INA_Template_TOSA” (appendix A2.4) was designed for fabricating master templates with 3 different height levels. Filter structures with three different heights on the new TOSA master template are shown in Figure 5-34.

Page 140: High Resolution 3D Nanoimprint Technology

125

Figure 5-34. WLI measurements: 2D (top) and 3D (bottom) topography of the filter structures with three different heights on a master template.

Page 141: High Resolution 3D Nanoimprint Technology

126

6. Conclusion and Outlook

During this work, a novel high resolution 3D Nanoimprint technology has been developed. As the key issue of the 3D Nanoimprint technology, 3D nanoimprint templates with ultra-high vertical resolution and arbitrary distribution of heights were fabricated and adapted to the available cutting edge nanoimprint technologies (chapter 4). Using these 3D templates, potential nanoimprint methods and resists were investigated and 3D nanoimprint processes were developed and applied for nanospectrometers (chapter 5).

A novel methodology for 3D template design and fabrication was proposed and enhanced by a self-written computer program that enables arbitrary distribution of cavity heights in lateral dimensions (section 4.1). Using this methodology, the fabrications processes for different types of 3D templates were developed and characterized. Checkerboard-like arrays of negative mesa structures on GaAs substrates were firstly fabricated for test purposes (section 4.2). These GaAs templates contain arrays of negative mesa structures with up to 64 different heights. The differences of the height levels range from several nanometers to several hundred nanometers, while lateral features were designed in the 100 µm × 100 µm squares. White light interferometry (WLI) indicates the minimum surface roughness below 0.2 nm in root mean square (rms) and a best vertical precision below 1 nm. Based on the technology development of the high vertical resolution GaAs templates, new generations of 3D templates were further developed to adapt available cutting edge nanoimprint technologies. Transparent 3D quartz templates were fabricated for the application of the standard UV nanoimprint (UV-NIL) (section 4.3). These 3D transparent templates contain negative mesa structures up to 16 different heights with minimum surface roughness below 0.6 nm rms and vertical precision below 3 nm. GaAs master templates were developed for substrate conformal imprint lithography (SCIL) (section 4.4). The GaAs master templates contain positive mesa arrays with different lateral dimension in the micrometer range and up to 64 different heights. A minimum surface roughness below 0.2 nm rms and a vertical precision about 2 nm were achieved. Soft SCIL templates were then successfully replicated from the GaAs master templates. Additionally, alternative materials including sapphire and silicon were investigated and implemented for 3D template fabrication. The development of the 3D template promotes the state of the art of nanoimprint technology and provides a valuable base for further research and applications.

Page 142: High Resolution 3D Nanoimprint Technology

127

Using these 3D templates, combinations of different nanoimprint methods including UV-NIL and SCIL with different nanoimprint resists (mrUVcur06, mrUVcur21, AMONIL and Sol-gel) were tested and analyzed for the application of nanospectrometers. The imprinting processes of 3D UV-NIL and 3D SCIL have been developed and characterized. These 3D nanoimprint processes were successfully implemented for structuring 3D filter cavities of static sensor arrays (section 5.2.3) and the 3D sacrificial layers of tunable optical sensor arrays (TOSA) (section 5.3.3). Section 5.2.5 summaries the performance of all these different 3D nanoimprint technologies with corresponding nanoimprint methods, resists and process parameters.

Based on the novel 3D nanoimprint technology developed in this work, the novel methodology for fabricating Fabry-Pérot-filter-based optical nanospectrometers was demonstrated. Static filter arrays with nanoimprinted cavities have indicated rather high filter transmission (full array 40%-80%, best single filter >90%), small line widths (about 3 nm in full width at half maximum (FWHM))) and broad stop bands up to 200 nm (section 5.2.4). Tunable filter arrays with nanoimprinted sacrificial layers were fabricated and the concept of TOSA has been proven (section 5.3.3). Besides, the implementation of 3D nanoimprint technology for filter cavities or sacrificial layers fabrication revealed great potential for further industrial fabrication and practical applications of optical microspectrometers and will promote the technology development of sensing technologies.

Future work would address mainly three topics:

Further improvement and development of 3D nanoimprint technology.

Further characterization of 3D nanoimprint process.

Implementing 3D nanoimprint technology in other applications.

Generally speaking, the resolution of 3D templates is determined by the implemented microfabrication processes and technologies. By implementing more accurate RIE system and higher quality substrates, the vertical resolution and the surface roughness of the fabricated templates, respectively can be improved1. With the current laboratory facilities, the etching process of the GaAs master template fabrication is expected to be further optimized by using RIE recipe (CH4/H2/Ar) which balances the advantages of the CH4/H2 and Ar process. The lateral alignment error during the optical lithography would be reduced below 1 µm by implementing SUSS MicroTec MA6 as mask aligner. If a higher lateral resolution is demanded, e.g., for fabricating 3D templates with lateral patterns ranging from

1 Limits are the interface qualities of the used crystalline materials. Using MBE extended monolayer-flat islands have been demonstrated of many µm in lateral direction. The islands are ending with a step of at least one monolayers.

Page 143: High Resolution 3D Nanoimprint Technology

128

several micrometers down to the nanometer range, e-beam lithography should be applied and the alignment precision must be ensured. In this case, not only the etching depth control but also the sidewall profile has to be investigated for the RIE process. Additionally, templates with more complicated 3D structures, as discussed in 4.1.1, are worth attempting. A combination of different appropriate microfabrication techniques could be the best choice.

Although 3D UV-NIL using the combination of 3D transparent templates, mr-UVCur21 and SUSS MicroTec MA6 with UV-NIL toolkit has shown great potential for structuring 3D functional structures as well as 3D sacrificial layers, the imprinting process should be further improved and optimized. The most recently reported UV-curable Sol-gel materials (5.2.3) have to be investigated for the 3D SCIL process, due to their much faster curing speed and potential in the industrial fabrication. Besides, more detailed investigation of the 3D SCIL process is required, concerning e.g., the movement of the nanoimprint resists due to capillary effect, the deformation of the SCIL templates as well as the correlation between residual layer thickness and the other process parameters, such as surface topology of the implemented SCIL template, viscosity of the nanoimprint resists, spin-coating speed and process delay.

Finally, the 3D nanoimprint technology developed in this work may find numerous applications in other research fields. For example, 3D nanoimprint technology is able to provide cost-efficient fabrication for complex micro-optics. The 3D sacrificial layers of optical micro-electro-mechanical system (MEMS) can be patterned using those 3D nanoimprint technologies, which have been demonstrated by TOSA. In the field of micro- and nanofluidics, various micro 3D polymer structures are demanded. Therefore, 3D nanoimprint is a good candidate to offer low-cost, reproducible fabrication for most of the micro- and nanofluidic devices. 3D nanoimprint can be also applied to structure the gratings and the waveguide of the organic distributed-feedback (DFB) lasers, which are often difficult to be patterned using other techniques in an efficient way.

 

Page 144: High Resolution 3D Nanoimprint Technology

129

Appendix

A1 MATLAB® Codes

Different versions of MATLAB® codes are written for aiding mask design as well as calculating the different heights on templates. The following selected versions are attached:

A1.1 Calcualate Mask Design

%This program is used to calculate the mask design for the final heights of the template. Those non-zero heights should be a number group of the same step. %input: The heights of the final template: Final_array(saved in 'Final_array.mat') / num_group(saved in 'num_group.mat') %num_group indicates the number of height groups(which have the same height elements of the same step. Those heights may have different distrubutions) clear load Final_array; load num_group; Final_array dim=size(Final_array); %dimension of input array dim_x=dim(1); %number of elements in the x dimension of input array dim_y=dim(2); %number of elements in the y dimension of input array m=0; for i=1:dim_x for j=1:dim_y if Final_array(i,j)==0 m=m+1; %m: number of reserved positions (height=0) end end end save m; m n=ceil(log2((dim_x*dim_y-m)/num_group)); %n: number of required masks - 1

Page 145: High Resolution 3D Nanoimprint Technology

130

save n; n Hmax=max(max(Final_array)); %return the biggest height Hmin=Hmax; for i=1:dim_x for j=1:dim_y if (Final_array(i,j)~=0)&&(Final_array(i,j)<Hmin) Hmin=Final_array(i,j); end end end %get the smallest non-zero height Hmin Hmax step=(Hmax-Hmin)/((dim_x*dim_y-m)/num_group-1); %step between heights save step; step etch_depth(1)=Hmin; % calculate etch depths for each mask temp=step; for i=n+1:-1:2 etch_depth(i)=temp; temp=temp*2; end save etch_depth; etch_depth Temp=zeros(dim_x,dim_y); % Temp matrix, contains number of step/"unit" differences for i=1:dim_x for j=1:dim_y if Final_array(i,j)~=0 Temp(i,j)=round((Final_array(i,j)-Hmin)/step); end end end for num=n+1:-1:2 M{num}(:,:)=mod(Temp,2); %calculate mask2 ~ mask(n+1) Temp=fix(Temp/2); end M{1}=ones(dim_x,dim_y); for i=1:dim_x for j=1:dim_y if Final_array(i,j)==0

Page 146: High Resolution 3D Nanoimprint Technology

131

M{1}(i,j)=0; %calculate mask1 end end end save M; %M stores the mask design, in case of "negative structures", where '1' represents 'open state' of mask and '0' represents 'close'. vice versa %output: M (saved in 'M.mat') for num=1:n+1 disp('mask'); disp(num); disp(M{num}); end

Page 147: High Resolution 3D Nanoimprint Technology

132

A1.2 Prove Mask Design

% to prove the calculation of 'calculate_mask_design' clear; load M; %mask design load etch_depth; %each etch depth load n; %number of masks Proof_array=etch_depth(1)*M{1}; %calculate final array for i=2:n+1 Proof_array=Proof_array+etch_depth(i)*M{i}; end Proof_array load Final_array; Final_array Temp=Final_array-Proof_array; Temp % tf = isequal(A, B, ...) returns logical 1 (true) if the input arrays have the same contents, and logical 0 (false) otherwise. %However, difference in the level of 1.0e-013~1.02-2 of Temp, so can't use 'isequal' if abs(Temp)<1.0e-2 disp('the calculation is right'); else disp('the calculation is wrong'); end

Page 148: High Resolution 3D Nanoimprint Technology

133

A1.3 Calculate Height Distribution

% to calculate the final heights of the template clear; % import mask design from M-file load M1; % Mask1 load M2; load M3; load M4; load M5; load M6; load M7; load etch_depth; %import etch depth from M-file Final_array = etch_depth(1)*M1+etch_depth(2)*M2+etch_depth(3)*M3+etch_depth(4)*M4+etch_depth(5)*M5+etch_depth(6)*M6+etch_depth(7)*M7; %calculate final array disp('This is a 8x8 array'); fprintf('Mesa heights of final array:\n'); disp(Final_array); save Final_array; % export matrix to M-file  

Page 149: High Resolution 3D Nanoimprint Technology

134

A2 Mask Design

A2.1 Mask Design (INA_Template_SA1)

INA_Template_SA1 Mask is designed for “negative” filter cavity structures on 3D templates using positive photoresist. It contains Mask 1-7 in one photomask. They provide the masks pattern for digital etching (section 4.1.2) of 8 × 8 checkerboard-like square arrays (100 µm × 100 µm), as shown in Figure A-1.

Mask 1 Mask 2 Mask 3

Mask 4 Mask 5 Mask 6

Mask 7

Figure A-1. Schematic of the mask design of INA_Template_SA1: Mask 1-7.

A2.2 Mask Design (INA_Template_SA2)

INA_Template_SA2 Masks are designed for “positve” filter cavity structures on 3D master templates using positive photoresist. Mask 1-7 are on 7 separated photomasks. They provide the masks pattern for digital etching (section 4.1.2) of 8 × 8 checkerboard-like square arrays (Figure A-2) in the combination of different sizes and distance patterns, which are designed for 3D nanoimprint tests.

Page 150: High Resolution 3D Nanoimprint Technology

135

Size of squares: 25 µm × 25 µm, 50 µm × 50 µm, 75 µm × 75 µm, 100 µm × 100 µm, 150 µm × 150 µm, 200 µm × 200 µm.

Distance pattern of square arrays (Figure A-3): distance pattern 1: half of square size; distance pattern 2: 7.5 µm, 15 µm, 22.5 µm, 30 µm, 37.5 µm, 45 µm, 52.5 µm; distance pattern 3: 10 µm, 20 µm, 30 µm, 40 µm, 50 µm, 60 µm, 70 µm; distance pattern 4: 12.5 µm, 25 µm, 37.5 µm, 50 µm, 62.5 µm, 75 µm, 87.5 µm).

Mask 1 Mask 2 Mask 3

Mask 4 Mask 5 Mask 6

Mask 7

Figure A-2. Schematic of the mask design of INA_Template_SA2: mask 1-7 in distance pattern 1.

Page 151: High Resolution 3D Nanoimprint Technology

136

Distance Pattern 1 Distance Pattern 2 Distance Pattern 3 Distance Pattern 4

Figure A-3. Schematic of the mask design of INA_Template_SA2: distance Pattern 1-4 in case of 100 µm × 100 µm mesa arrays.

A2.3 Mask Design (INA_Template_SA3)

INA_Template_SA3 Mask is designed for “custom specific” (section 4.1.2) “positive” filter cavity structures on 3D templates using positive photoresist. It contains Mask 1-7 in one photomask. They provide the masks pattern for digital etching (section 4.1.2) of 12 × 12 checkerboard-like square arrays (40 µm × 40 µm) with a distance of 11.8 µm between the squares, as shown in Figure A-4.

Page 152: High Resolution 3D Nanoimprint Technology

137

Mask 4

Mask 7

Mask 1 Mask 2 Mask 3

Mask 5 Mask 6

Figure A-4. Schematic of the mask design of INA_Template_SA3: mask 1-7.

Page 153: High Resolution 3D Nanoimprint Technology

138

A2.4 Mask Design (INA_Template_TOSA)

INA_Template_TOSA Mask is designed for fabricating 3D master templates for tunable optical sensor array (TOSA) using positive photoresist. The TOSA templates contain “positive” sacrificial layers with 3 different heights. The lateral geometries of the templates are quite similar to the layout of the tunable filters. One of the lateral geometry is shown in Figure A-5. To avoid the possible alignment error in the lithography process of the template fabrication and the vertical etching step for tunable filters, both sides of the lateral dimension of membranes and suspensions as well as the inner sides of supporting posts are designed to be 5 µm in width.

Mask 1

Mask 2

Mask 3

Figure A-5. Schematic of the mask design of INA_Template_TOSA: mask 1-3.

 

Page 154: High Resolution 3D Nanoimprint Technology

139

A3 Process Flow

A3.1 Process Flow of GaAs Template Fabrication

A) Cleaning:

a) Acetone; iso-propanol; dry with N2

b) (O2-plasma asher, 250W / 5min)

B) Lithography:

a) Bake-dry H2O: Hotplate, 120°C / 10min (minimum)

b) Spin-coating: 1) Ti-Prime (40s, 4000rpm); 2) AZ1518 (40s, 4000rpm)

c) Prebake: Hotplate, 90°C / 5min (exact)

d) Alignment and exposure: SUSS MicroTec MA4, Mask= 1~n / 6.6s

e) Development: KOH 0.8% / 30 s (approx.) ; rinse with H2O ; dry with N2

f) Hardbake: Hotplate, 120°C / 5min (approx.)

C) Measurement: Microscope

D) Descum process: O2-plasma asher: 50W / 2min

E) Etching: RIE-Oxford: CH4(6)H2(36)sccm / 150W / 0.008Torr / 20°C

F) Remove photoresist:

a) Acetone, 30min; rinse with iso-propanol; dry with N2

b) O2-plasma asher: 250W / 15min

G) Measurement: Microscope; White Light Interferometer

A3.2 Process Flow of Transparent Template (Quartz) Fabrication (I)

1st Round

A) Cleaning:

a) Acetone; iso-propanol; dry with N2

b) Piranha cleaning: H2SO4:H2O2:H2O (4:1:1), 30min-1h, 90°C

Page 155: High Resolution 3D Nanoimprint Technology

140

(only for the first time; dry iso-propanol carefully with N2 before Piranha)

B) Lithography:

a) Bake-dry H2O: Hotplate, 120°C / 10min (minimum)

b) Spin-coating: 1) Ti-Prime (40s, 4000rpm); 2) AZ1518 (40s, 4000rpm)

c) Prebake: Hotplate, 90°C / 5min (exact)

d) Alignment and exposure: SUSS MicroTec MA4, Mask= 1 / 6.6s

e) Development: KOH 0.8% / 30s (approx.) ; rinse with H2O ; dry with N2

f) Hardbake: Hotplate, 120°C / 5min (approx.)

C) Measurement: Microscope

D) Descum process: O2-plasma asher: 50W / 2min

E) Etching: RIE-Castor: CHF3(4)SF6(0.4)Ar(4)sccm / 0.025mbar / 25W / 12°C

F) Remove photoresist:

a) Acetone, 30min; Rinse with iso-propanol; dry with N2

b) O2-plasma asher: 250W / 15min

G) Aluminum deposition: Pfeiffer Vacuum Deposition Device : 30~50 nm Al

H) Measurement: Microscope; White Light Interferometer

2nd till last Round

A) Cleaning: Acetone; iso-propanol; dry with N2

B) Lithography:

a) Bake-dry H2O: Hotplate, 120°C / 10min (minimum)

b) Spin-coating: 1) Ti-Prime (40s, 4000rpm); 2) AZ1518 (40s, 4000rpm)

c) Prebake: Hotplate, 90°C / 5min (exact)

d) Alignment and exposure: SUSS MicroTec MA4, Mask= 2~n / 6.6s

e) Development: KOH 0.8% / 30s (approx.) ; rinse with H2O ; dry with N2

f) Hardbake: Hotplate, 120°C / 5min (approx.)

C) Measurement: Microscope

Page 156: High Resolution 3D Nanoimprint Technology

141

D) Descum process: O2-plasma asher: 50W / 2min

E) Wet etching: Al etchant, 3min (minimum)

F) Etching: RIE-Castor: CHF3(4)SF6(0.4)Ar(4)sccm / 0.025mbar / 25W / 12°C

G) Remove photoresist:

a) Acetone, 30min; Rinse with iso-propanol; dry with N2

b) O2-plasma asher: 250W / 15min

H) Remove Aluminum: Al etchant, 3min (minimum)

I) Aluminum deposition: Pfeiffer Vacuum Deposition Device: 30~50 nm Al

J) Measurement: Microscope; White Light Interferometer

A3.3 Process Flow of Transparent Template (Quartz) Fabrication (II)

1st Round

A) Cleaning:

a) Acetone; iso-propanol; dry with N2

b) Piranha cleaning: H2SO4:H2O2:H2O (4:1:1), 30min-1h, 90°C

(only for the first time; dry iso-propanol carefully with N2 before Piranha)

B) Aluminum deposition: Pfeiffer Vacuum Deposition Device : 30~50 nm Al

C) Lithography:

a) Bake-dry H2O: Hotplate, 120°C / 10min (minimum)

b) Spin-coating: 1) Ti-Prime (40s, 4000rpm); 2) AZ1518 (40s, 4000rpm)

c) Prebake: Hotplate, 90°C / 5min (exact)

d) Alignment and exposure: SUSS MicroTec MA4, Mask= 2~n / 6.6s

e) Development: KOH 0.8% / 30s (approx.) ; rinse with H2O ; dry with N2

f) Hardbake: Hotplate, 120°C / 5min (approx.)

D) Measurement: Microscope

Page 157: High Resolution 3D Nanoimprint Technology

142

E) Descum process: O2-plasma asher: 50W / 2min

F) Al etching: Al etchant, 3min (minimum)

G) Remove photoresist: Acetone, 5min; Rinse with iso-propanol; dry with N2

H) Etching: RIE-Castor: CHF3(4)SF6(0.4)Ar(4)sccm / 0.025 mbar / 25W / 12°C

2nd till last Round

A) Cleaning: Acetone; iso-propanol; dry with N2

B) Lithography:

a) Bake-dry H2O: Hotplate, 120°C / 10min (minimum)

b) Spin-coating: 1) Ti-Prime (40s, 4000rpm); 2) AZ1518 (40s, 4000rpm)

c) Prebake: Hotplate, 90°C / 5min (exact)

d) Alignment and exposure: SUSS MicroTec MA4, Mask= 2~n / 6.6s

e) Development: KOH 0.8% / 30s (approx.) ; rinse with H2O ; dry with N2

f) Hardbake: Hotplate, 120°C / 5min (approx.)

C) Measurement: Microscope

D) Descum process: O2-plasma asher: 50W / 2min

E) Etching: RIE-Castor: CHF3(4)SF6(0.4)Ar(4)sccm / 0.025 mbar / 25W / 12°C

F) Remove photoresist:

a) Acetone, 30min; Rinse with iso-propanol; dry with N2

b) O2-plasma asher: 250W / 15min

G) Measurement: Microscope; White Light Interferometer

A3.4 Process of GaAs Template Fabrication, using Negative Photoresist

A) Cleaning:

a) Acetone; iso-propanol

b) O2-Plasma, 250W / 5min

Page 158: High Resolution 3D Nanoimprint Technology

143

B) Lithography:

a) Bake-dry H2O: Hotplate, 110°C / 10min (minimum)

b) Spin-coating: 1) Ti-Prime / (20s, 3000rpm); 2) AZ nLof2070/ AZ BER (5:1) / (20s, 3000rpm)

c) Prebake: Hotplate, 100°C / 6min (exact)

d) Alignment and exposure: MA4, Mask= 1~n / 35s

e) PEB: Hotplate, 110°C / 60s (exact)

f) Development: AZ 826 MIF / 80s (approx.); rinse with H2O; dry with N2

C) Measurement: Microscope

D) Descum process: O2-plasma asher: 50W / 2min

E) Etching: RIE-Oxford: CH4(6)H2(36)sccm / 150W / 0.008Torr / 20°C

F) Remove photoresist:

a) NMP, 80°C + ultrasonic cleaning,60% (detailed time and sequence need to be optimized)

b) (only for final cleaning: O2-plasma, 250W,15min)

G) Measurement: Microscope; White Light Interferometer

A3.5 Process Flow of Si Template Fabrication

A) Cleaning:

a) Acetone; iso-propanol; dry with N2

b) (O2-plasma asher, 250W / 5min)

B) Lithography:

a) Bake-dry H2O: Hotplate, 120°C / 10min (minimum)

b) Spin-coating: 1) Ti-Prime (40s, 4000rpm); 2) AZ1518 (40s, 4000rpm)

c) Prebake: Hotplate, 90°C / 5min (exact)

d) Alignment and exposure: SUSS MicroTec MA4, Mask= 1~n / 6.6s

e) Development: KOH 0.8% / 30 s (approx.) ; rinse with H2O ; dry with N2

Page 159: High Resolution 3D Nanoimprint Technology

144

f) Hardbake: Hotplate, 120°C / 5min (approx.)

C) Measurement: Microscope

D) Descum process: O2-plasma asher: 50W / 2min

E) Etching: RIE-Castor: CHF3(4)SF6(0.4)Ar(4)sccm / 0.025 mbar / 50W / 12°C

F) Remove photoresist:

a) Acetone, 30min; rinse with iso-propanol; dry with N2

b) O2-plasma asher: 250W / 15min

G) Measurement: Microscope; White Light Interferometer

 

Page 160: High Resolution 3D Nanoimprint Technology

145

List of Abbreviations

Abbreviations:

AFM Atomic Force Microscopy CCD Charged-Coupled Device CMOS Complementary Metal-Oxide-Semiconductor CNP Combined Nanoimprint and Photolithography DBR Distributed Bragg Reflector EBL Electron Beam Lithography EUVL Extreme Ultraviolet Lithography FIB Focused Ion Beam FSR Free Spectral Range FWHM Full Width at Half Maximum IBSD Ion Beam Sputter Deposition IC Integrated Circuit IR Infrared ITRS International Technology Roadmap for Semiconductors INA Institute of Nanostructure Technologies and Analytics LADI Laser-Assisted Direct Imprint LED Light Emitting Diodes MBE Molecular Beam Epitaxy MEMS Micro-Electro-Mechanical System MIMIC Micromolding in Capillaries NIL Nanoimprint Lithography NGL Next Generation Lithography nTP Nanotransfer Printing OPD Optical Path Difference PhC Photonic Crystal PECVD Plasma Enhanced Chemical Vapor Deposition R2RNIL Roll to Roll Nanoimprint Lithography REM Replica Molding RF Radio Frequency RIE Reactive Ion Etching RMS Root Mean Square SAMIM Solvent-Assisted Microcontact Molding SCCM Standard Cubic Centimeters per Minute SCIL Substrate Conformal Imprint Lithography S-FIL Step-and-Flash Imprint Lithography

Page 161: High Resolution 3D Nanoimprint Technology

146

TOSA Tunable Optical Sensor Array TUV-NIL Combined Thermal and UV-Nanoimprint Lithography UV Ultraviolet UV-NIL UV Nanoimprint (Lithography) WLI White Light Interferometry µCP Microcontact Printing µTM Microtransfer Molding

Page 162: High Resolution 3D Nanoimprint Technology

147

Chemical Elements:

Al Aluminum AlGaAs Aluminum Gallium Arsenide Ar Argon CH4 Methan CHF3 Trifluoromethane GaAs Gallium Arsenide GaInAs Gallium Indium Arsenide H2 Hydrogen H2O2 Hydrogen Peroxide HF Hydrofluoric acid InP Indium Phosphide KOH Potassium Hydroxide N2 Nitrogen NMP 1-methyl-2-pyrrolidone O2 Oxygen PDMS Polydimethylsiloxane SF6 Sulfur Hexafluoride Si Silicon SiO2 Silicon Dioxide Si3N4 Silicon Nitride TiO2 Titanium Dioxide

Page 163: High Resolution 3D Nanoimprint Technology

148

References

[1]. W.W. Parson.. Modern Optical Spectroscopy: With Examples from Biophysics and Biochemistry. 2007. ISBN-10 3-540-37535-X.

[2]. F.G. Smith, T.A. King, D. Wilkins. Optics and Photonics: An Introduction, Second Edition. ISBN: 978-0-470-01784-5.

[3]. D. Brennan, J. Alderman, L. Sattler, J. Walshe, J. Huang, B. O‘Connor. Development of a micro-spectrometer system for process control application. Infrared Physics & Technology. 2002, 43, 69-76.

[4]. A.J. Berger, I. Itzkan, M.S. Feld. Feasibility of measuring blood glucose concentration by near-infrared Raman spectroscopy. Spectrochimica Acta Part A. 1997, 53, 287-292.

[5]. W. E. Moerner. A Dozen Years of Single-Molecule Spectroscopy in Physics, Chemistry, and Biophysics. J. Phys. Chem. B. 2002, 106, 910-927.

[6]. B. Schrader, B. Dippel, I. Erb, S. Keller, T. Löchte, H. Schulz, E. Tatsch, S. Wessel. NIR Raman spectroscopy in medicine and biology: results and aspects. Journal of Molecular Structure. 1999, 480-481,21-32.

[7]. Y. Wang, D.C. Alsmeyer, R.L. McCreery. Raman spectroscopy of carbon materials: structural basis of observed spectra. Chem. Mater. 1990, 2 (5), pp 557–563.

[8]. S. Wang, C. Xia, X. Chen, W. Lu, M. Li, H, Wang, W. Zheng, T. Zhang. Concept of a high-resolution miniature spectrometer using an integrated filter array. Optics Letter. 2007, Vol. 32, No. 6, pp.632-634.

[9]. R.H. Wilson, H.S. Tapp. Mid-infrared spectroscopy for food analysis: recent new applications and relevant developments in sample presentation methods. trends in analytical chemistry. 1999, vol. 18, no. 2, 85-93.

[10]. J.G.P.W. Clevers. The use of imaging spectrometry for agricultural applications. ISPRS Journal of Photogrammetry & Remote Sensing. 1999, 54, 299-304.

[11]. MMS 1 Monolithic Miniature-Spectrometer (Product Information). [Online] Carl Zeiss. http://www.zeiss.de/C12567BB00549F37/Contents-Frame/BA8444BB9A11AC3841256D660048B583.

[12]. UV/VIS-Microspectrometer Factsheet. [Online] Boehringer Ingelheim microParts GmbH, 2009. http://www.boehringer-ingelheim.de/content/dam/internet/opu/de_DE/document/pdf/optic_UV_VIS_eng_A4_02.10.2009.pdf.

Page 164: High Resolution 3D Nanoimprint Technology

149

[13]. J. P. Smith. Spectrometers get small: Miniature spectrometers rival benchtop instruments. Analytical Chemistry. 2000, 72, 653A–658A.

[14]. R. F. Wolffenbuttel. MEMS-based optical mini- and microspectrometers for the visible and infrared spectral range. J. Micromech. Microeng. . 2005, 15, S145-S152.

[15]. T.A. Kwa, R.F. Wolffenbuttel. Integrated grating/detector array fabricated in silicon using micromachining techniques. Sensors and Actuators A. 1992, 31, 259-266.

[16]. S. H. Kong, D. D. L. Wijngaards, R. F. Wolffenbuttel. Infrared micro-spectrometer based on a diffraction grating. Sensors and Actuators A. 2001, 92, 88-95.

[17]. Mini-spectrometer MS series C10988MA (datasheet). [Online] Hamamatsu, 2010. http://jp.hamamatsu.com/resources/products/ssd/pdf/c10988ma_kacc1169e03.pdf.

[18]. J.H. Correia, G. de Graaf, S.H. Kong, M. Bartek, R.F. Wolffenbuttel. Single-chip CMOS optical microspectrometer. Sensors Actuators A. 2000, 82, 191-197.

[19]. S.W. Wang, M. Li, C.S. Xia, H.Q. Wang, X.S. Chen, W. Lu. 128 channels of integrated filter array rapidly fabricated by using combinatorial deposition technique. Appl. Phys. B. 2007, 88, 281-284.

[20]. G. Lammel, S. Schweizer,Ph. Renaud. Microspectrometer based on a tunable optical filter of porous silicon. Sensors and Actuators A. 2001, 92, 52-59.

[21]. A. Emadi, H. Wu, S. Grabarnik, G. De Graaf, K. Hedsten, P. Enoksson, J.H. Correia, R.F. Wolffenbuttel. Fabrication and characterization of IC-Compatible Linear Variable Optical Filters with application in a micro-spectrometer. Sensors and Actuators A: Physical. 2010, Volume 162, Issue 2, 400-405.

[22]. L.J. Guo. Recent progress in nanoimprint tecnology and its applications. J. Phys. D: Appl. Phys. 2004, 37, R123-R141, pp. R123-R141.

[23]. X. Wang, A. Albrecht, S. Schudy, T. Woit, V. Daneker, K. Schultz, H.H. Mai, F. Köhler, S. Wittzack, M. Bartels, H. Hillmer. High-resolution, Low-cost Microsensors for Networked Sensing Systems: Optical Nanospectrometers with Nanoimprinted Cavities of Filter Arrays. IEEE Technical Digest INSS, ISBN 978-1-4244-7910-8. 2010, 171-174.

[24]. Special Report, 10 Emerging Technologies That Will Change the World. Technol. Rev. 2003, 33-49.

[25]. C.Y. Chong, S.P. Kumar. Sensor networks: Evolution, opportunities, and challenges. PROCEEDINGS OF THE IEEE,. 2003, VOL. 91, NO. 8, 1247-1256.

[26]. S.Y. Chou, P.R. Krauss, P.J. Renstrom. Imprint of sub-25 nm vias and trenches in polymers. Appl. Phys. Lett. 1995, 67 (21), 3114-3116, pp. 3134-3136.

Page 165: High Resolution 3D Nanoimprint Technology

150

[27]. M. Colburn, S. Johnson, S. Damle, T. Bailey, B. Choi, M. Wedlake, T. Michaelson, S.V. Sreenivasan, J. Ekerdt, C.G. Willson. Step and Flash Imprint Lithography: A New Approach to High-Resolution Patterning. Proc. SPIE 3676, pp.379-389. 1999, pp. 379-389.

[28]. Y. Xia, G.M. Whitesides. Soft lithography. Annu. Rev. Mater. Sci. 1998, 28:153–84, pp. 28:153-184.

[29]. H. Schift. Nanoimprint lithography: An old story in modern times? A review. J. Vac. Sci. Technol. B. 2008, 26 (2), 458-480.

[30]. R.F. Pease, S.Y. Chou. Lithography and Other Patterning Techniques for Future Electronics. Proceedings of the IEEE. 2008, Vol. 96, No. 2, 248 - 270 .

[31]. L.J. Guo. Nanoimprint Lithography: Methods and Material Requirements. Adv. mater. 2007, 19, 495–513, pp. 495-513.

[32]. J.A. Rogers, R.G. Nuzzo. Recent progress in soft lithography. materialstoday. February 2005, Volume 8, Issue 2, 50-56, pp. 50-16.

[33]. M. Bartels. 3D Nanoimprint mit hoher vertikaler Auflösung: Stempel, Verfahren, Anwendungen. Habilitation, University of Kassel. 2010.

[34]. M. Heckele, W.K. Schomburg. Review on micro molding of thermoplastic polymers. J. Micromech. Microeng. 2003, 14, R1–R14, pp. R1-R14.

[35]. S. Fujimori. Fine Pattern Fabrication by the Molded Mask Method (Nanoimprint Lithography) in the 1970s. Japanese Journal of Applied Physics. 2009, 48, 06FH01.

[36]. K.H. Hsu, P.L. Schultz, P.M. Ferreira, N.X. Fang. Electrochemical Nanoimprinting with solid-state superionic stamps. Nano Letters. 2007, Vol. 7, No. 2, pp 446–451, pp. 446-451.

[37]. P. Lugli, S. Harrer, S. Strobel, F. brunetti, G. Scarpa, M. Tornow, G. Abstreiter. Advances in Nanoimprint Lithography. Proceedings of the 7th IEEE International Conference on Nanotechnology. 2007, 1179-1184 .

[38]. X. Cheng, L.J. Guo. A combined-nanoimprint-and-photolithography patterning technique. Microelectronic Engineering. 2004, 71, 277-282.

[39]. C. Chen, C. Liu, Y. Lee, F. Hsiao, C. Chiu, M. Chung, M. Chiang. IR laser-assisted micro/nano-imprinting. J. Micromech. Microeng. 2006, 16, 1463–1467, pp. 1463-1467.

[40]. V. Grigaliunas, S. Tamulevicius, M. Muehlberger, D. Jucius, A. Guobiene, A. Gudonyte. Nanoimprint lithography using IR laser irradiation. Applied Surface Science. 2006, Volume 253, Issue 2, 646-650 , pp. 646-650.

Page 166: High Resolution 3D Nanoimprint Technology

151

[41]. C. Lin, R. Chen, C. Su, F. Chang. Ultrasonics for Nanoimprint Lithography.Nagoya, Japan : Proceedings of 5th IEEE Conference on Nanotechnology, 460 - 463, 2005.

[42]. S.Y. Chou, C. Keimel, J. Gu. Ultrafast and direct imprint of nanostructrues in silicon. Nature. 2002, 417, 835-837, pp. 835-837.

[43]. Y. Akita, Y. Kato, M. Hosaka, Y. Ono, S. Suzuki, A. Nakajima, M. Yoshimoto. Nanostripe patterning of glass surface by nanoimprint using self-organized oxide mold . Materials Science and Engineering: B. 2009, 161, 151-154.

[44]. M. Otto, M. Bender, B. Hadam, B. Spangenberg, H. Kurz. Characterization and application of a UV-based imprint technique. Microelectronic Engineering. 2001, 57-58, 361-366, pp. 361-366.

[45]. X. Liang, H. Tan, Z. Fu, S.Y. Chou. Air bubble formation and dissolution in dispensing nanoimprint lithogprahy. Nanotechnology. 2007, 18, 025303 (7pp).

[46]. D.J. Resnick, W.J. Dauksher, D. Mancini, K.J. Nordquist, T.C. Bailey, S. Johnson, N. Stacey, J.G. Ekerdt, C.G. Willson, S.V. Sreenivasan, N. Schumaker. Imprint lithography for integrated circuit fabrication. J. Vac. Sci. Technol. 2003, B 21(6), 2624-2631, pp. 2624-2631.

[47]. U. Plachetka, N. Koo, T. Wahlbrink, J. Bolten, M. Waldow, T. Plötzing, M. Först, H. Kurz. Fabrication of Photonic Ring Resonator Device in Silicon Waveguide Technology Using Soft UV-Nanoimprint Lithography. IEEE Photonics Technology Letters. 2008, Vol. 20, No. 7, 490 - 492.

[48]. C. Peroz, C. Heitz, V. Goletto, E. Barthel, E. Sondergard. Glass nanostructures fabricated by soft thermal nanoimprint. J. Vac. Sci. Technol. B . 2007, 25, L27.

[49]. M. Verschuuren, H. van Sprang. 3D Photonic Structures by Sol-Gel Imprint Lithography. Mater. Res. Soc. Sym. Proc. 2007, 1002, N03–N05.

[50]. A.P. Quist, E. Pavlovic, S. Oscarsson. Recent advances in microcontact printing. Anal Bioanal Chem. 2005, 381, 591-600, pp. 591-600.

[51]. S. Hur, D. Khang, C. Kocabas, J.A. Rogers. Nanotransfer printing by use of noncovalent surface forces: Applications to thin-film transistors that use single-walled carbon nanotube networks and semiconducting polymers. Applied Physics Letters. 2004, Volume 85, Number 23, 5730-5732 .

[52]. W.R. Childs, R.G. Nuzzo. Pattening of Thin-Film Microstructures on Non-Planar Substrate Surfaces Using Decal Transfer Lithography. Adv. Mater. 2004, 16, No. 15, 1323–1327.

Page 167: High Resolution 3D Nanoimprint Technology

152

[53]. H. Lan, Y. Ding, H. Liu, Y. Que, W. Tao, H. Li, B. Lu. Mold deformation in soft UV-nanoimprint lithography. Sci China Ser E-Tech Sci. 2009, vol. 52, no. 2, 294-302, pp. 294-302.

[54]. N. Kehagias, V. Reboud, G. Chansin, M. Zelsmann, C. Jeppesen, C. Schuster, M. Kubenz, F. Reuther, G. Gruetzner, C.M. Sotomayer Torres. Reverse-contact UV nanoimprint lithography for multilayered structure fabrication. Nanotechnology. 2007, 18, 175303 (4pp).

[55]. J. Zaumseil, M.A. Meitl, J.W.P. Hsu, B.R. Acharya, K.W. Baldwin, Y. Loo, J.A. Rogers. Three-Dimensional and Multilayer Nanostructures Formed by Nanotransfer Printing. Nano Lett. 2003, Vol. 3, No. 9, pp 1223–1227, pp. 1223-1227.

[56]. S.H. Ahn, L.J. Guo. High-Speed Roll-to-Roll Nanoimprnt Lithography on Flexible Plastic Substrates. Adv. Mater. 2008, 20, 2044-2049.

[57]. S.H. Ahn, L.J. Guo. Large-Area Roll-to-Roll and Roll-to-Plate Nanoimprint Lithography: A Step toward High-Throughput Application of Continuous Nanoimprinting. ACS Nano. 2009, Vol.3, No.8, pp 2304–2310.

[58]. P. Zuppella, D. Luciani, P. Tucceri, P. De Marco, A. Gaudieri, J. Kaiser, L. Ottaviano, S. Santucci, A. Reale. Large area interference lithography using a table-top extreme ultraviolet laser: a systematic study of the degree of mutual coherence. Nanotechnology. 2009, 20, 115303 (4pp).

[59]. L.A. Giannuzzi, F.A. Stevie. Introduction to Focused Ion Beams: Instrumentation, Theory, Techniques and Practice. 2005. ISBN 0-387-231 16-1.

[60]. M. Okada, K. Nakamatsu, K. Kanda, Y. Haruyama, R. Kometani, T. Kaito, S. Matsui. Examination of Focused-Ion-Beam Repair Resolution for UV-Nanoimprint Templates. Jpn. J. Appl. Phys. 2008, 47, pp. 5160-5163.

[61]. R. Ji, M. Hornung, M. Verschuure, R. van de Laar, J. van Eekelen, U. Plachetka, M. Moeller, C. Moormann. UV enhanced substrate conformal imprint lithography (UV-SCIL) technique for photonic crystals patterning in LED manufacturing. Microelectronic Engineering. 2010, 87, 963-967, pp. 963-967.

[62]. International Technology Roadmap for Semiconductors 2009 Edition: Lithography. International Technology Roadmap for Semiconductors. 2009.

[63]. H. Cho, J. Jang, J. Choi, J. Choi, J. Kim, J. Lee, B. Lee, Y. Choe, K. Lee, S. Kim, K. Lee, S. Kim, Y. Lee. Light extraction enhancement from nano-imprinted photonic crystal GaN-based blue light-emitting diodes. Optics Express. 2006, Vol. 14, No. 19, pp. 8654-8660.

[64]. S. Kim, K. Lee, J. Kim, M. Kwon, S. Park. Fabrication of photonic crystal structures on light emitting diodes by nanoimprint lithography. Nanotechnology. 2007, 18, 055306 (5pp).

Page 168: High Resolution 3D Nanoimprint Technology

153

[65]. Z. Wang, X. Luo, S. Wang, C. Luo, M. Sun, K. Bao, B. Zhang, G. Zhang, Y. Wang, Y. Chen, H. Ji, Q. Ouyang. Light output enhancement of a GaN-based light emitting diode by polymer film imprinting. Semicond. Sci. Technol. 2007, 22, 279–282.

[66]. H. Schift, S. Park, B. Jung, C. Choi, C. Kee, S. Han, K. Yoon, J. Gobrecht. Fabrication of polymer photonic crystals using nanoimprint lithography. Nanotechnology. 2005, 16, S261–S265.

[67]. W. Kim, K. Yoon, B. Bae. Nanopatterning of photonic crystals with a photocurable silica–titania organic–inorganic hybrid material by a UV-based nanoimprint technique. J. Mater. Chem. 2005, 15, 4535–4539.

[68]. V. Reboud, P. Lovera, N. Kehagias, M. Zelsmann, C. Schuster, F. Reuther, G. Gruetzner, G. Redmond, C. M. Sotomayor Torres. Two-dimensional polymer photonic crystal band-edge lasers fabricated by nanoimprint lithography. APPLIED PHYSICS LETTERS2007. 91, 151101.

[69]. C. Chao, L. Guo. Polymer microring resonators fabricated by nanoimprint technique. J. Vac. Sci. Technol. B. 2002, 20(6), 2862-2866.

[70]. L. Guo, X. Cheng, C. Chao. Fabrication of photonic nanostructures in nonlinear optical polymers. Journal of Modern Optics. 2002, Vol. 49, No. 3/4, 663-673.

[71]. H. Kikuchi, H. Sato, H. Fujikake, F. Sato. Nanoimprint Applications for Liquid Crystal Displays. 2008 IEEE Industry Applications Society Annual Meeting, 1-5 . 2008.

[72]. J. Anderson, D. Chiu, R. Jackman, O. Cherniavskaya, J. McDonald, H. Wu, S. Whitesides, G. Whitesides. Fabrication of Topologically Complex Three-Dimensional Microfluidic Systems in PDMS by Rapid Prototyping. Anal. Chem. 2000, 72, pp 3158–3164.

[73]. L. Guo, X. Cheng, C. Chou. Fabrication of Size-Controllable Nanofluidic Channels by Nanoimprinting and Its Application for DNA Stretching. Nano Lett. 2004, Vol.4, No. 1, pp 69–73.

[74]. S. Banu, S. Birtwell, G. Galitonov,Y. Chen, N. Zheludev, H. Morgan. Fabrication of diffraction-encoded micro-particles using nano-imprint lithography. J. Micromech. Microeng. 2007, 17, S116–S121.

[75]. F. Buyukserin, M. Aryal, J. Gao, W. Hu. Fabrication of Polymeric Nanorods Using Bilayer Nanoimprint Lithography. small. 2009, 5, No. 14, 1632–1636.

[76]. M.D. Austin, W. Zhang, H. Ge, D. Wassserman, S.A. Lyon, S.Y. Chou. 6 nm half-pitch lines and 0.04 μm2 static random access memory patterns by nanoimprint lithography. Nanotechnology. 2005, 16,1058-1061.

Page 169: High Resolution 3D Nanoimprint Technology

154

[77]. C. Peng, X. Liang, Z. Fu, S.Y. Chou. High fidelity fabrication of microlens arrays by nanoimprint using conformal mold duplication and low-pressure liquid material curing. J. Vac. Sci. Technol. B. 2007, 25(2), 410-414.

[78]. R. Voelkel, J. Duparre, F. Wippermann, P. Dannberg, A. Bräuer, R. Zoberbier, M. Gabriel, M. Hornung, S. Hansen, R. Suess. Technology Trends of Microlens Imprint Lithography and Wafer Level Cameras (WLC). MOC’08. 2008.

[79]. G.M. Schmid, M.D. Stewart, J. Wetzel, F. Palmieri, J. Hao, Y. Nishimura, K. Jen, E. Kim, D.J. Resnick, J.A. Liddle, C.G. Willson. Implementation of an imprint damascene process for interconnect fabrication. J. Vac. Sci. Technol. B. 2006, 24(3), 1283 - 1291 .

[80]. A. Schleunitz, H. Schift. Fabrication of 3D nanoimprint stamps with continuous reliefs using dose-modulated electron beam lithography and thermal reflow. J. Micromech. Microeng. 2010, 20, 095002 (6pp).

[81]. M. Bartels, F. Köhler, S. Wittzack, X. Wang, H. Hillmer. Ultra Precise Nanoimprint Templates. Nanos. 2008, 03.08, 18-22.

[82]. R.W. Cahn, P. Haasen, E.J. Kramer. Materials Science and Technology: A Comprehensive Treatment, Volume 16, Processing of Semiconductors. 1996. ISBN 3-527-26813-8.

[83]. W.R. Fahrner. Nanotechnology and Nanoelectronics: Materials, Devices, Measurement Techniques. 2005. ISNA 3-540-22452-1.

[84]. L. F. THOMPSON. An Introduction to Lithography. 1983 . eISBN 9780841210400.

[85]. S.M. Rossnagel, W.D. Westwood, J.J. Haber. Handbook of Plasma Processing Technology: Fundamental, Etching, Deposition and Surface Interactions. 1990. ISBN 0-8155-1220-1.

[86]. J.C. Wyant. White light interferometry. Proc. AeroSense. 2002.

[87]. C. O’Mahony, M. Hill, M. Brunet, R. Duane, A. Mathewson. Characterization of micromechanical structures using white-light interferometry. Meas. Sci. Technol. 2003, 14, 1807-1814.

[88]. H. J. Caulfield. White light interferometric microscopes. Opt. Comm. 1978, Volume 26, Issue 3, 322-324.

[89]. UV-NIL Brochure. [Online] SUSS MicroTec, 2008. http://www.suss.com/markets/nanoimprint-lithography/uv-nil.html.

[90]. R. Ji, M. Hornung, M. Verschuuren, R. van de Laar, J. van Eekelen. Nanoimprint nach dem SCIL-Prinzip. Mikroproduktion. 2009, (04):34–38.

Page 170: High Resolution 3D Nanoimprint Technology

155

[91]. H. Hillmer. Verfahren und Anordnung zur Herstellung von Nanoimprint-Stempeln, sowie mikromechanisch durchstimmbaren Filter/Detektor Arrays. DE102007047598A1 2007.

[92]. P. Heyl, T. Olschewski, R.W. Wijnaendts. Manufacturing of 3D structures for micro-tools using laser ablation. Microelectronic Engineering. 2001, 57–58, 775–780.

[93]. S. Matsui, Focused-ion-beam deposition for 3-D nanostructure fabrication. Nuclear Instruments and Methods in Physics Research B. 2007, 257, 758–764.

[94]. F. Köhler, S. Wittzack. Investigation of optical Sensorarrays based on nanoimprint structured Fabry-Pérot filters: design, application and project oriented implementation. Diploma Thesis, University of Kassel. 2007.

[95]. R. Cheung, S. Thomas, S.P. Beamont, G. Doughty, V. Law, C.D.W. Wilkingson. Reactive ion etching of GaAs using a mixture of methane and hydrogen. Electronics Letters. 1987, Vol. 24, No. 16, 857-859.

[96]. E. Moon, J. Lee, H. Yoo. Selective wet etching of GaAs on AlxGa1-xAs for AlGaAs/InGaAs/AlGaAs pseudomorphic high electron mobility transistor. Journal of Applied Physics. 1998, Vol. 84, No. 7, 3933 - 3938 .

[97]. M. Konagai., M. Sugimoto, K. Takahashi. High efficiency GaAs thin film solar cells by peeled film technology. J. Cryst. Growth. 1978, 45, 277-280.

[98]. P. Kumar, S. Kanakaraju, D.L. DeVoe. Sacrificial etching of AlxGa1-xAs for III–V MEMS surface micromachining . Applied Physics A. 2007, A 88, 711–714.

[99]. H. Hillmer. A. Forchel, R. Sauer, C.W. Tu. Interface-roughness-controlled exciton mobilities in GaAs/Al0.37Ga0.63As quantum wells. Physical Review B. 1990, Vol. 42, No. 5, 3220-3223.

[100]. M. Tanaka, H. Sakaki, J. Yoshino. Atomic-Scale Structures of Top and Bottom Heterointerfaces in GaAs–AlxGa1-xAs (x=0.2-1) Quantum Wells Prepared by Molecular Beam Epitaxy with Growth Interruption. Jpn. J. Appl. Phys. . 1986, 25, pp. L155-L158.

[101]. C. Y. Su, I. Lindau, P. W. Chye, P. R. Skeath, W. E. Spicer. Photoemission studies of the interaction of oxygen with GaAs(110). Phys. Rev. B. 1982, 25, 4045–4068.

[102]. M. Rei Vilar, J. El Beghdadi, F. Debontridder, R. Artzi, R. Naaman, A. M. Ferraria. Characterization of wet-etched GaAs (100) surfaces. Surf. Interface Anal. 2005, 37: 673–682.

[103]. A. Semu, P. Silverberg. Methane-hydrogen III-V metal-organic reactive ion etching. Semicond. Sci. Technol. 1991, 6, 287-289.

[104]. S. Irmer. Air-Gap Based Vertical Cavity Micro-Opto-Electro-Mechanical Fabry-Pérot Filters. Dissertation, University of Kassel. 2005.

Page 171: High Resolution 3D Nanoimprint Technology

156

[105]. F. Köhler. Untersuchungen zu Fabry-Pérot Filterfeldern: Herstellung mittels Nanoimprinttechnologie, experimentelle Charakterisierung und Anwendungen. Dissertation, University of Kassel. 2010.

[106]. M. Awwad. Development, Optimization and Fabrication of 3D UV- transparent NanoImprint Template. Master thesis, University of Kassel. 2008.

[107]. E.A. Costner, M.W. Lin, W. Jen, C.G. Willson. Nanoimprint Lithography Materials Development for Semiconductor Device Fabrication. Annu. Rev. Mater. Res. 2009, 39:155–80.

[108]. R. Kirchner, L. Teng, W. Fischer. Multi-usable, adhesively bonded UV-NIL templates. NNT '09. 2009.

[109]. J. Lee, A. Ali, K. Kim, J. Kim, D. Choi,J. Choi, J. Jeong. Plasma-assisted quartz-to-quartz direct bonding for the fabrication of a multilayered quartz template for nanoimprint lithography. J. Micromech. Microeng. 2010, 20, 045005 (7pp).

[110]. M. Ali Butt. Improvement of 3D Master Template for Static Optical Sensor Arrays. Master thesis, University of Kassel. Feburary 2011 (to be submitted).

[111]. K.G. Nöding, Entwicklung von 3D Silizium Master Masken für Soft Nano Imprint Lithography. Diploma thesis, University of Kassel. 2010.

[112]. M. Becka, M. Graczyka, I. Maximova, E.-L. Sarwea, T.G.I. Linga, M. Keilb, L. Montelius. Improving stamps for 10 nm level wafer scale nanoimprint lithography. Microelectronic Engineering . 2002, 61–62, 441–448.

[113]. SCIL Video. [Online] SÜSS MicroTec AG, 2010. http://www.suss.com/markets/nanoimprint-lithography/scil/scil-video.html.

[114]. C. Fabry, A. Perot. Theorie et applications d'une nouvelle methode de spectroscopie interferentielle. Ann. Chim. Phys. 1899, Vol. 16, pp. 115‐144.

[115]. T. Yoshino, K. Kurosawa,K. Itoh, T. Ose. Fiber-Optic Fabry-Perot Interferometer and its Sensor Applications. IEEE Transactions on Microwave Theory and Techniques. 1982, Vol. 30, No. 10, 1612-1621.

[116]. Y. Ning, A. Meldrum, W. Shi, B. Meggitt, A. Palmer, K. Grattan, L. Li. Bragg grating sensing instrument using a tunable Fabry-Pérot filter to detect wavelength variations. Meas. Sci. Technol. 1998, 9, 599–606.

[117]. A. Hasse, S. Irmer, J. Daleiden, N. Dharmarasu, S. Hansmann, H. Hillmer. Wide continuous tuning range of 221nm by InP=air-gap vertical-cavity filters. ELECTRONICS LETTERS. 2006, Vol. 42 No. 17, S. 974-975 .

[118]. H. Rong, R. Jones, A. Liu, O. Cohen, D. Hak, A. Fang, M. Paniccia. A continuous-wave Raman silicon laser. Nature. 2005, 433, 725-728.

Page 172: High Resolution 3D Nanoimprint Technology

157

[119]. H.A. Macleod. Thin-Film Optical Filters, Third Edition. 2001. ISBN: 978-0-7503-0688-1.

[120]. K.D. Möller. Optics, Second Edition. 2002. ISBN-13: 978-0387261683.

[121]. D. Meschede. Optics, Light and Lasers: The Practical Approach to Modern Aspects of Photonics and Laser Physics, Second Edition. 2007. ISBN: 978-3-527-40628-9.

[122]. A. Vonsovici, R. Orobtchouk, A. Koster. Numerical simulation of a silicon-on-insulator waveguide Fabry-Perot interferometer for intensity light modulators at 1.3 μm. JOURNAL OF LIGHTWAVE TECHNOLOGY. 1997, VOL. 15, NO. 11, 2124 - 2129 .

[123]. C. Prott, F. Römer, E. Ataro, J. Daleiden, S. Irmer, A. Tarraf, H. Hillmer. Modeling of ultrawidely tunable vertical cavity air-gap filters and VCSELs. IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS. 2003, VOL. 9, NO. 3, p. 918-928.

[124]. X. Sun, P. Gu, M. Li, X. Liu, D. Wang, J. Zhang. Tunable spatial demultiplexer based on the Fabry–Perot filter. OPTICS EXPRESS. 2006, Vol. 14, No. 18, pp. 8470-8475.

[125]. F. Römer. Charakterisierung und Simulation optischer Eigenschaften von mikromechanisch abstimmbaren Filterbauelementen. Dissertation, University of Kassel. 2005.

[126]. G. Cao. Nanostructures & Nanomaterials: Synthesis, Properties & Applications. 2004. ISBN 1-86094-415-9.

[127]. T. Spehr. Fluoreszenz und Lasertätigkeit in dünnen amorphen Schichten von Spirobifluorenderivaten. Dissertation, University of Kassel. 2007.

[128]. A. Böhler, P. Urbach, D. Ammermann, W. Kowalsky. Organic molecular beam deposition: technology and applications in electronics and photonics. Materials Science and Engineering B. 1998, B51, 58–65.

[129]. J.H. Correia, M. Bartek, R.F. Wolffenbuttel. High-Selectivity Single-Chip Spectrometer in Silicon for Operation at Visible Part of the Spectrum. IEEE TRANSACTIONS ON ELECTRON DEVICES. 2000, VOL. 47, NO. 3, 553-559 .

[130]. M. Bartels, S. Wittzack, F. Köhler, X. Wang, A. Albrecht, S. Schudy, M. Engenhorst, H. H. Mai, O. Setyawati, T. Woit, C. Woidt, H. Hillmer. High Vertical Resolution 3D Nanoimprint Technology for Nanophotonic Applications. proceedings of the IEEE/LEOS International Conference on Optical MEMS and Nanophotonics 2009. 2009.

Page 173: High Resolution 3D Nanoimprint Technology

158

[131]. E. Ataro. Micro-electromechanical Structural Design and Optimization of Vertical Cavity Photonic Devices with Wide Continuous Tuning. Dissertation, University of Kassel. 2005.

[132]. A. Tarraf. Low-Cost Micromechanically Tunable Optical Devices: Strained Resonator Engineering, Technological Implementation and Characterization. Dissertation, University of Kassel. 2005.

[133]. D. Todorov. Development of hybrid UV VCSEL with organic active material and dielectric DBR mirrors for medical, sensoric and data storage applications. Dissertation, University of Kassel. 2009.

[134]. Y. Wang. Model Calculations and Implementation of Filters and Hybrid Green VCSELs based on Optical Thin Film Stacks. Dissertation, University of Kassel. 2010, P 72.

[135]. I. Memon. Investigation and application of SCIL process for static sensor array. Master thesis, University of Kassel. 2010 (to be submitted).

[136]. M. Verschuuren, Y. Ni. Substrate Conformal Imprint Lithography: sub-10 nm resolution and overlay alignment. NNT '10. 2010.

[137]. A. Albrecht, H. H. Mai, V. Daneker, X. Wang, S. Schudy, T. Woit, K. Schultz, C. Woidt, O. Setyawati, F. Köhler, S. Wittzack, M. Engenhorst, M. Bartels, H. Hillmer. Optical Characterization of High-resolution Optical Nanospectrometers for Networked Sensing Systems. IEEE Technical Digest INSS, ISBN 978-1-4244-7910-8. 2010, p. 175-178 .

[138]. S. Wittzack. Spektrometrische Bestimmung der NO2-Konzentration im Abgas von Lastkraftwagen mit Dieselmotor unter Verwendung eines elektrostatisch durchstimmbaren Fabry-Pérot-Filters zur Reduzierung des Kraftstoffverbrauches und der Schadstoffemissionen. Dissertation, University of Kassel. 2010.

[139]. S. Uriarte. Application of 3D Nanoimprint Technology for Tunable Optical Sensor Arrays. Master thesis, University of Kassel. 2010.

 

Page 174: High Resolution 3D Nanoimprint Technology

159

List of Publications

A. Albrecht, X. Wang, H.H. Mai, T. Schotzko, I. Memon, M. Bartels, M. Hornung, H. Hillmer. High vertical resolution 3D NanoImprint Technology and its application in optical nanosensors (invited), Nonlinear Optics, Quantum Optics: Concepts in Modern Optics, accepted in 2010.

X. Wang, A. Albrecht, T. Woit, M. Bartels, H.H. Mai, S. Schudy, V. Daneker, K. Schultz, F. Köhler, S. Wittzack, C. Sandhagen, W. Köcher, H. Hillmer. High Resolution 3D Nanoimprint Technology and its Application in Optical Sensors and Photonic Devices. Technical Digest of NNT '10, 2010.

X. Wang, A. Albrecht, S. Schudy, T. Woit, V. Daneker, K. Schultz, H. H. Mai, F. Köhler, S. Wittzack, M. Bartels, H. Hillmer. High-resolution, Low-cost Microsensors for Networked Sensing Systems: Optical Nanospectrometers with Nanoimprinted Cavities of Filter Arrays. IEEE Technical Digest INSS, ISBN 978-1-4244-7910-8., p. 171-174, 2010.

A. Albrecht, H. H. Mai, V. Daneker, X. Wang, S. Schudy, T. Woit, K. Schultz, C. Woidt, O. Setyawati, F. Köhler, S. Wittzack, M. Engenhorst, M. Bartels, H. Hillmer. Optical Characterization of High-resolution Optical Nanospectrometers for Networked Sensing Systems. IEEE Technical Digest INSS, ISBN 978-1-4244-7910-8., p. 175-178, 2010.

H.H.Mai, O. Setyawati, V. Daneker, C. Woidt, T. Woit, K. Schultz, S. Schudy, M. Engenhorst, X. Wang, S. Wittzack, F. Köhler, A. Albrecht, M. Bartels, H. Hillmer. Verification and calibration of spectral properties of high-resolution nano sensor arrays using microscope spectrometers. Technical Digest of MOC '10, 2010.

X. Wang, M. Bartels, F. Köhler, S. Wittzack, A. Albrecht, H.H. Mai, T. Woit, S. Schudy, M. Engenhorst, C. Woidt, K. Schulz, H. Hillmer, High Vertical Resolution 3D Nanoimprint Templates, Technical Digest of NNT '09, 2009.

S. Wittzack, F. Köhler, X. Wang, O. Setyawati, T. Woit, A. Albrecht, M. Engenhorst, S. Schudy, C. Woidt, M. Bartels, H. Hillmer (invited). Hochpräzise Nanoimprint-Templates für 3D-Strukturen (invited). Galvanotechnik 10/2009, Band 100, p. 2376-2382, 2009.

M. Bartels, X. Wang, T. Kusserow, F. Köhler, S. Wittzack, H. Hillmer. 3D nanoimprint templates with ultra-high vertical precision. Technical Digest of ICMAT 2009 & IUMRS-ICA 2009 (2009)

M. Bartels, S. Wittzack, F. Köhler, X. Wang, A. Albrecht, S. Schudy, M. Engenhorst, H. H. Mai, O. Setyawati, T. Woit, C. Woidt, H. Hillmer. High Vertical Resolution 3D Nanoimprint Technology for Nanophotonic Applications. Technical Digest of the IEEE/LEOS International Conference on Optical MEMS and Nanophotonics 2009, 2009.

Page 175: High Resolution 3D Nanoimprint Technology

160

M. Bartels, F. Köhler, S. Wittzack, X. Wang, H. Hillmer. Ultra Precise Nanoimprint Templates (invited). Nanos. 2008, 03.08, 2008.

 

Page 176: High Resolution 3D Nanoimprint Technology

Xiaolin Wang

High Resolution 3D Nanoimprint TechnologyTemplate Fabrication, Application in Fabry-Pérot-filter-based Nanospectrometers

Xiao

lin W

ang

H

igh

Res

olut

ion

3D N

anoi

mpr

int T

echn

olog

y

Optical spectroscopy is a very important sensing technique and reveals a high potential for numerous applications in both indus-trial and scientific applications. Low-cost, strongly miniaturized spectrometers are highly required for modern sensing systems, e.g., for energy technology, measurement techniques, safety, se-curity, IT and mechanical technologies. The strong miniaturiza-tion is highly required for example in so called “smart personal environments”. Among all the miniaturized spectrometers, one of the most attractive miniaturization approaches is the Fabry-Pérot-filter-based microspectrometer. However, the existing Fab-ry-Pérot-filter-based microspectrometers require complicated fa-brication steps for patterning the 3D filter cavities with different heights, which is not cost efficient for the industrial fabrication. Novel Fabry-Pérot-filter-based microspectrometers with nanoim-printed cavities were designed by INA and were proposed and introduced as “nanospectrometers”. All different filter cavities could be fabricated in one single step using nanoimprint tech-nology. However, high vertical resolution 3D nanoimprint tech-nology was not available and should be developed in this work.

ISBN 978-3-86219-112-3

kasseluniversity

press