GDF Tetelek 2009 MI

download GDF Tetelek 2009 MI

of 141

Transcript of GDF Tetelek 2009 MI

MRNK-INFORMATIKUS s MSZAKI INFORMATIKA szak zrvizsga-tteleirvnyes 2009. janur 1-tl

A ttelek

1. A processzor felptse, utastskszlete. Utastsok szerkezete, cmzsi mdok.Utastsszmll s utasts-regiszter. Az utasts-feldolgozs elemi lpsei.

A CPU felptse

1

A processzor a szmtgp egyik legfontosabb eleme. A CPU egyetlen,nagy integrltsg lapkn trolfellet, vezr-, illetve input-output funkcikat ellt elemeket tartalmaz. A PC-k ben a processzor az alaplapon tallhat meg a kzponti trral egyetemben. Az alaplapon ezekhez az elemekhez kapcsoldik az adatforgalom szmra szksges un. buszvonal s a perifrik illesztje. Sokfle mikroprocesszor struktra ismert, de mindegyikre jellemz a hrom f egysg, a vezrl egysg, az aritmetikai egysg s a klnbz funkcij regiszterek meglte. Az egyes rszegysgek feladatai az albbiakban foglalhatk ssze: A mai processzoroknak alapveten kt nagy csoportja van : CISC - Complete Instruction set Computer pl. Intel 8086 klnbzo hosszsg, tbb processzorciklus utastsok RISC - Reduced Instruction Set Computer pl. Intel 80486 cskkentett utastaskszletu processzorok CACHE (gyorsttr, eltrol) Kismret, gyors elrs, specilis memriaegysg, amely az informciramban a memria s processzor kztt helyezkedik el, s ezen egysgek mveleti sebessge kzti klnbsget egyenlti ki. Ha nincs CACHE a gpben, az akr nagysgrendekkel lassthatja a program vgrehajtst. elsdleges gyorst memria: az a clja, hogy ne kelljen minden esetben a processzorhoz kpest lassabb memrihoz fordulni, s ne lassuljon le emiatt a processzor mkdse. Ez az elsdleges cache ltalban nem elegend, ezrt msodlagos cache memrit is tartalmaz a szmtgp (256 vagy 512 kB, vagy mg tbb). Vezrl egysg (CU): A processzor vezrl egysgnek feladata a program utastsai, vagy kls krsek (perifria megszaktsi krelme, sn ignybevteli krse) alapjn, vezrl jelek segtsgvel a gp rszeinek irnytsa. Aritmetikai - logikai egysg (ALU) : A processzornak azon rsze, mely a szmolsi, sszehasonltsi, logikai mveleteket vgzi. Feladata: - Adott adatokkal vezrl jelek alapjn vgrehajtja az aritmetikai logikai mveleteket (+,-,*,/,AND,OR,NOT,stb.) - Sajt regisztereik (akkumoltoraik) lehetnek. - Aritmetikai mveletek: a)Komplementls. b)sszeads. - Logikai mveletek: a)AND. b)OR. c)NOT. - Lptet mveletek: Jobbra adott pozcival;Balra adott pozcival;sz-szehasonlts. Regiszterek: A processzorok ideiglenes adattrolsi cljaira szolglnak. A regiszterek a bels snrendszeren keresztl tartanak kapcsolatot a processzor ms rszeivel. A legfontosabb, legtbb processzornl meglv regiszterek a kvetkezk:

2

Utasts szmll regiszter Utastsregiszter Bziscm regiszter Indexregiszter(ek) llapotregiszter(ek) Veremmutat regiszter Pufferregiszter(ek) Jellemzk: A processzor hajtja vgre s vezrli a mveleteket. A vgrehajts eltt neki kell megvizsglni s feldolgozni minden utastst. A processzor teljestmnye alatt azt az idt rtik, amelyre a processzornak szksge van egy bizonyos feladat vgrehajtshoz. A processzornak kt lnyeges jellemzje, amelyek utalnak a teljestmnyre: az egyik a szhossz (bitszm, vagy bitszlessg), a msik az rajel frekvencia. Mindkett azt a sebessget hatrozza meg, amellyel adatokat lehet feldolgozni. A szhossz A szmtgp teljestmnynek szempontjbl alapvet jelentsge van annak, hogy mekkora az a szhossz, amivel a szmtgp dolgozik. A sz hosszt, amellyel a processzor dolgozik, belso szhossznak nevezzk. Ezek a kvetkezk lehetnek:

8 bites processzorok = 1 byte 16 bites processzorok = 2 byte 32 bitesek = 4 byte 48 bitesek = 6 byte 64 bitesek = 8 byte

A bels szhossz mellett, amellyel a processzor dolgozik, fontos mg a buszrendszer szhossza is:

az adatbusz bitszlessge, a cmbusz bitszlessge.

Az adatbusz szlessge azt mutatja, hogy a processzor hny bitet tud egyidejleg a hozz kapcsolt perifrikra kldeni. A cmbusz kzvetti azokat a jeleket, amelyek a trolhelyek elrshez szksgesek. A cmbusz szlessge hatrozza meg a kzvetlenl megcmezhet cmtartomny nagysgt. Az Intel 8086 pldul 16 bites adatbusszal s 20 bites cmbusszal dolgozik.

Az rajel-frekvencia Egy szmtgp teljestmnyt az rajel-frekvencia is meghatrozza. Az rajel-frekvencit a vezrlkvarc (rajelad) hozza ltre, amely vagy kzvetlenl integrlva van a processzorba vagy azon kvl helyezkedik el. Az rajel a PC munkateme s Megaherztben ( MHz) mrik. Egy Herzt az a frekvencia, amely 1 msodperc alatt egy rezgst vgez. A 8 MHz teht azt jelenti, hogy a kvarc msodpercenknt 8 milliszor rezeg. Ez a rezgs hatrozza meg az utastsok vgrehajtsnak gyorsasgt. ltalban azt lehet mondani, hogy minl magasabb az rajel, annl gyorsabban tud a szmtgp dolgozni.

3

MIPS (Million Instruction Per Second) Milli utasts msodpercenknt. A processzor teljestmnyt jellemzi. 1MIPS=1000000 utasts/ msodperc.

Utastskszlet, utaststpusokAz utastskszlet alatt a processzor szmra rtelmezhet utastsokat rtjk. Az utastskszletek tartalmaznak klnbz aritmetikai, logikai, adatmozgat, karakterlnc s vezrlstad utastsokat, melyek segtsgvel a processzorok alkalmasak a legklnflbb feladatok vgrehajtsra. Az utastsok szerkezete meghatrozza a processzor szmra, hogy a gpi utasts mely rszt hogyan rtelmezze. A gpi utastsok hrom rszbl plnek fel:

Az utastsok hrom f rszre bonthatk: - Mveleti rsz: a processzor szmra az elvgzend feladatot hatrozza meg. - Mdost rsz ltalban a mveleti rsz s a cm rsz rtelmezshez ad kiegszt informcit. - Cmrsz, amely a mvelet vgrehajtshoz szksges adatok helyt hatrozza meg a szmtgp troljban. Az utaststpusok a kvetkezkppen csoportosthatk: - tviteli utastsok: trol, veremkezel (PUSH/POP), perifria - mveleti utastsok: aritmetikai (ADD) s logikai mveletek (AND), lptets - vezrl utastsok: a program vgrehajtst, a gp mkdst befolysoljk; felttel nlkli, s feltteles ugrs (JMP, JNZ), szubrutinhvs (CALL), megszakts engedlyezs/tilts

Cmzsi mdok Abszolt: Kzvetlen trcmet adok meg Relatv: Adott trcmhez val eltolst adom meg Direkt: A megadott cmen operandust tallok Indirekt: A megadott cmen egy jabb cmet tallok Direkt regiszteres cmzs: a regiszterben a cm tallhat Indirekt regiszteres cmzs: a regiszterben adatcm van , ahol a cmet talljuk

Cmmdostsok :

Bzisregiszteres cmzs: Valdi cm = Bzisregiszterben megadott cm + eltolsi rtk (a cm helyett csak az eltolsi rtk az operandus, gy jelentsen lervidl az utasts) Indexregiszteres cmzs: Valdi cm +Bzisregiszterben megadott cm + indexregiszterben megadott cm + eltolsi rtk. (olyan utastsoknl, ahol tbb egymst kvet adatot mozgatunk az indexregiszter automatikusan nvekszik)

4

Utastsszmll regiszter (PC v. IP):

Ez a regiszter mindig a soron kvetkez utasts memriabeli cmt tartalmazza, a kezd rtkt, azaz a program els utastsnak trbeli helyt, kvlrl (pl.: az opercis rendszertl) kapja, a program indtsa eltt.

Utasts regiszter:A vezrl egysg fontos rsze, amely a trbl kikeresett (lehvott) utastst fogadja be arra az idre, amg a vezrl egysg az utasts hatsra a mveletet elvgzi, s elindtja a vgrehajtst vezrl mikroprogramot. Korszer processzoroknl az utasts feldolgoz mdszer miatt ez a regiszter ilyen formban mr nem tallhat meg.

Az utasts-feldolgozs elemi lpsei:Utastsvgrehajts norml gpi ciklusban trtnik.Neumann elv szmtgpek esetben az utastsok s az adatok ugyanabban a trban helyezkednek el. Ennek kvetkeztben az utasts s az adat elkeresse csak egyms utn trtnhet, ezt nevezik soros utasts-feldolgozsnak. Ms architektrkban, ahol kln program- s kln adattrol van trolnknt kln snrendszerrel, egy utastsi ciklusban trtnik a teljes utasts feldolgozsa - prhuzamos utasts-feldolgozs.

5

A processzor mkdsnek lnyege a programutastsok feldolgozsa. Ezen utastsok lpsekre trtn felbonts segti a mikroprogramozs, valamint az tlapolt vgrehajts lnyegnek megrtst. Egy utasts vgrehajtsa alapveten az albbi rszekbl tevdik ssze: Utastselkszts, utastslehvs.A processzor ebben a fzisban a kvetkez utasts memriacmt, amelyet az utastsszmll regiszter (PC) tartalmaz, tviszi a memria cmregiszterbe (MAR). Amennyiben nem rendelkezik ilyennel, a PC tartalma a cmsnt vezrl cm-pufferregiszterbe kerl. A processzor ez alapjn keresi ki a trbl az utastst, amely az utastsregiszterbe (IR) kerl. Utastsszmll regiszter tartalmnak nvelse. A PC tartalmnak automatikus nvelsvel elll a kvetkez utasts trolbeli helynek memriacme. A PC tartalma az utastshossznak megfelel szmmal n. Az opercis rendszer lltja be az utastsszmll kezd rtkt. Mveleti kd rtelmezse, az operandus cmnek meghatrozsa. A processzor a mveleti jelrsz dekdolsval meghatrozza, hogy milyen utastsokat kell vgrehajtania, valamint az utasts cmrsze alapjn meghatrozza a mvelethez hasznland operandus(ok) cmt. Amennyiben az utasts nem ignyel operandust (vezrls), a processzor a vgrehajtsi fzisra tr t. Adatok elksztse a mvelet elvgzshez. A kzponti egysg az elzekben kidolgozott cm alapjn kikeresi az operandus(ok)at a memribl s az utasts ltal meghatrozott helyre, amely az esetek tbbsgben az aritmetikai egysg akkumultora (AC), de lehet ms regiszter is. Vgrehajts. Megtrtnik az utasts ltal kijellt feladat elvgzse az elksztett operandussal. Vezrlstad utastsok esetn a processzor az utastsban lev cmet - amely meghatrozza, hogy a program honnan folytatdjk - berja a PC-be. Az eredmny elhelyezse. A kzponti egysg a kapott eredmnyt elhelyezi az elrt helyre, amely tbbnyire az akkumultor. Ezutn jrakezdi az utastsfeldolgozst.

Rvidebben:A gpi utastsok vgrehajtsnak elemi lpsei a kvetkezk: Utastselkszts vagy lehvs Az utastsszmll regiszter tartalmnak nvelse. Az utasts dekdolsa A mvelet vgrehajtshoz szksges adatok kiolvassa a ftrbl, elksztse a vgrehajtshoz.

6

A mveleti kd alapjn rtelmezett mvelet vgrehajtsa az elksztett operandusokkal. A mvelet eredmnyt a processzor berja az utastsban elrt trolhelyre.

7

2. A verem fogalma s mkdse, a veremmutat regiszter. A vermet kezelutastsok. A verem alkalmazsa szubrutinok kezelsben. A szubrutinra vonatkoz utastsok.

A verem

A szmtstechnikban a verem (angolul stack) a szmtgp memrijnak egy rsze, amelybe a processzor azokat a memriacmeket menti el, ahova egyes eljrsok befejeztvel visszatr. A verem olyan adatstruktra, amelybl a benne utoljra eltrolt adat olvashat ki elszr. ltalban az alprogramok, eljrsok, fggvnyek kezelst segti el, de hasznljk az aritmetikai mveleteknl az operandusok s rszeredmnyek trolsra. Veremkirats az a folyamat, amikor az informatikus a verem rtkeit kiratja monitorra vagy nyomtatra.

MkdseA stackben tbbnyire regiszterek tartalmt troljuk (mentjk el), tmenetileg. Ennek oka az, hogy a mikroprocesszor leggyorsabban a bels regiszterekkel tud mveleteket vgezni. A regiszterek szma viszont korltozott. Pl. gyakran elfordul, hogy az sszes regiszter mr olyan informcit tartalmaz, amely mg nem fellrhat, de az adott rszfeladat elvgzshez szksg van tovbbi regiszterek hasznlathoz. Ekkor valamely regiszter(ek) tartalmt ideiglenesen a stackbe tudjuk kivinni (majd ksbb a stackbl a regiszter tartalmt vissza tudjuk lltani) s a regiszterbe mr aktulisabb tartalmat tudunk betlteni. Ez a mvelet ltalban gyorsabb s knyelmesebb, mint a memriba rni a regisztertartalmat. Hiszen ilyenkor meg kellene vlasztani a cmzst, meg kellene jegyezni a trolsi cmet s a trolt adat hosszt. Rgebbi mikroprocesszoroknl n. bels stack ltezett, vagyis a processzoron bell volt a verem, ami jelentsen korltozta a processzor kapacitst. Ma minden processzornl a RAM-ban elhelyezhet 'kls stack' tallhat.

Veremmutat regiszter (SP):A verem legfels elemt jelli ki. A veremtrol egy specilis trol, amely elssorban az alprogramok kezelst segti. A verem nem rsze a bels regisztereknek, ltalban a ftrolban kerl kialaktsra. Szervezse LIFO (Last in first out) jelleg, ami azt jelenti, hogy az utoljra bekerlt adat vehet ki elszr, s amit legelszr tettnk be, azt vehetjk ki utoljra (Tbb szint verem ltezhet, tbb SP is lehet). A "verem instrukcik" (PUSH, POP) automatikusan hivatkoznak az SP-re s automatikusan lltjk.

8

A vermet kezel assembly utastsok: - PUSH op (a 2 byte-os operandust a verembe rja, (az SP rtke 2-vel cskken). Tele verembe nem lehet. - PUSHF (a STATUS verembe rdik. Ez az utasts a flag-ek mentsre szolgl.) - POP op (a 2 byte-os operandus fellrdik a verem tetejn lv (WORD) szval, az SP ltal cmzett sz tmsoldik, majd az SP 2-vel megn.). resbl kivenni nem lehet. Kiolvass s trls. - POPF (a verem tetejn lv sz fellrja a STATUS-t. Ezzel az utastssal tudjuk a flag-ek tartalmt helyrelltani.) Ezek az utastsok f haszna az, hogy a szubrutinok az ltaluk hasznlt regisztereket elmenthetik, majd futsuk befejezsekor visszallthatjk anlkl, hogy erre statikus memriaterletet kellene ignybe vennnk. Figyelni kell a visszallts sorrendjre (fordtott) is. Minden PUSH-nak kell lennie egy POP prjnak is mert klnben hibsan dolgozhat a program. Szubrutin Olyan programrsz amelyek a feladatban tbbszr elfordul, ismtld folyamatokat takar (alprogram). Olyan utastsok sorozata, melyet a program tbb rszrl elrhetnk, s csak egyszer kerl trolsra. Teht az utastssorozatok redundancijnak feloldst oldja meg. A hvs visszatrsi cme a veremben troldik. Ez alkalmat ad az gynevezett rekurzv szubrutinok alkalmazsra, melyek nmagukat hvjk meg. Ez a mdszer a bonyolultabb (matematikai-rendezsi) problmk feloldst segti el. Szubrutinhvsi s visszatr utastsok - CALL op - Az utastsszmll pillanatnyi rtke a veremre kerl, majd az utastsszmll fellrdik az operandussal, mely lehet direkt cm vagy tetszleges cmzsi mddal elrt regisztervagy memria operandus. Lehet rvid (szegmensen belli) vagy hossz (szegmenskzi) szubrutinhvs.) - RET [konstans] -Konstans megadsa nlkl: A verem tetejn lv rtkkel fellrja az utastsszmllt s gy a program visszatr a szubrutin hvsa utni sorra s onnan fut tovbb a program. -Konstans megadsval: ugyangy mkdik mint a RET de a visszatrsi cm kiolvassa utn az veremmutatt a konstans rtkvel megnveli. Ezzel a mdszerrel lehet a szubrutinnak paramtereket tadni s a szubrutin vgrehajtsa utn a paramtereket a parancs automatikusan kiveszi a verembl. Egyes megszaktsok is ide sorolhatk mivel a megszakts vgrehajtsa utn a rendszer onnan folytatja a program vgrehajtst ahol a megszakts trtnt. A klnbsg az, hogy a szubrutinhvst a programoz elre betervezte, a megszakts hvsa nem elre tervezett, hanem valamilyen esemny bekvetkeztnek a hatsra jn ltre.

9

3. A Neumann-elvek. Utasts- s adatfolyam (SISD, SIMD, MISD s MIMDarchitektrk). Adatok szmtgpes brzolsa (fixpontos, lebegpontos, BCD, vektoros adatok, karakterek).

Neumann elvek: Teljesen elektronikus szmtgp Kettes szmrendszer alkalmazsa Aritmetikai egysg alkalmazsa (univerzlis Turing-gp) Kzponti vezrlegysg alkalmazsa Bels program- s adattrols

Neumann-elven mkd szmtgpek:

Httrtrolk

Beviteli eszkz

Kzponti feldolgoz egysg

Kiviteli eszkz

Memria

1. A szmtgp legyen soros mkds: A gp az egyes utastsokat egyms utn, egyenknt hajtja vgre 2. A szmtgp a kettes szmrendszert hasznlja, s legyen teljesen elektronikus: A kettes szmrendszert s a rajta rtelmezett aritmetikai ill. logikai mveleteket knny megvalstani ktllapot ramkrkkel (pl.: 1- magasabb feszltsg, 0 - alacsonyabb feszltsg) 3. A szmtgpnek legyen bels memrija: A szmtgp gyors mkdse miatt nincs lehetsg arra, hogy minden egyes lps utn a kezel beavatkozzon a szmts menetbe. A bels memriban trolhatk az adatok s az egyes szmtsok rszeredmnyei, gy a gp bizonyos mveletsorokat automatikusan el tud vgezni. 4. A trolt program elve: A programot alkot utastsok kifejezhetk szmokkal, azaz adatknt kezelhetk. ezek a bels memriban trolhatk, mint brmelyik ms adat. Ezltal a szmtgp nllan kpes mkdni, hiszen az adatokat s az utastsokat egyarnt a memribl veszi el. 5. A szmtgp legyen univerzlis: A szmtgp klnfle feladatainak elvgzshez nem kell specilis berendezseket kszteni. Ugyanis, Turing angol matematikus bebizonytotta, hogy az olyan gp, amely el tud vgezni nhny alapvet mveletet, akkor az elvileg brmilyen szmts elvgzsre is alkalmas.

10

Szmtgp architektrk SISD(Single Instruction Stream Single Data Stream), azaz egyetlen utastsfolyam s egyetlenadatfolyam feldolgozsa. Az ilyen gpek egy vezrl egysggel s tbb aritmetikai egysggel rendelkeznek, egyidben egyetlen utasts vgrehajtsra alkalmasak. Ebbe a kategriba tartoznak a hagyomnyos, Neumann-elv szmtgpek. SIMD(Single Instruction Stream Multiple Data Stream), azaz egyetlen utastsfolyam, tbbszrs adatfolyam feldolgozsa. Ezek a gpek egy vezrl egysggel s tbb aritmetikai egysggel rendelkeznek s egyidben egy s ugyanaz az utastst hajtja vgre tbb adaton. Ebbe a krbe sorolhatk a vektor- s tmbprocesszoros gpek. MISD(Multiple Instruction Stream Single Data Stream), azaz tbb utastsfolyam alapjn egyetlen adatfolyam feldolgozsa. Ilyen tpus gpek tulajdonkppen nincsenek, bizonyos esetekben ide soroljk az un. pipeline feldolgozst alkalmaz szmtgpeket. MIMD(Multiple Instruction Stream Multiple Data Stream), azaz tbb utastsfolyam s tbb adatfolyam feldolgozsa. Ebbe a csoportba tartoz gpek klnbz multiprocesszoros szmtgpek.

Adatok szmtgpes brzolsaltalnosan hasznlt szmrendszerek: - kettes (jelkszlet: 0,1) - tzes (jelkszlet: 0,1,2,3,4,5,6,7,8,9) - tizenhatos (jelkszlet: 0,1,2,3,4,5,6,7,8,9,A,B,C,D,E,F) Ezek a szmrendszerek egyms kzt konvertlhatk.

Fixpontos szmbrzolsEbben a brzolsi mdban a binris pont helye - ami a bal oldalon tallhat egszeket elvlasztja a jobb oldalon lv trtektl - rgztett, s a szmokat tbbnyire kettes komplemens kdban brzoljk.

A szmok brzolsnak kt fontos jellemzje van a felhasznls szempontjbl:

az brzoland szmok nagysga az brzols pontossga

A kt jellemz az alkalmazott regisztermrettl s binris pont helytl fgg. Ha a binris pontot balra toljuk el, akkor

a szmok brzolsi tartomnya cskken az brzols pontossga n ha binris pont a regiszter bal szln van, akkor a szm fixpontos trt.

11

Ha pedig a binris pont jobbra mozdul, akkor

a szmok brzolsi tartomnya n az brzols pontossga cskken ha a binris pont a regiszter jobb szln van, akkor a szm fixpontos egsz.

A fixpontos brzols elnye a lebegpontos trolssal szemben, hogy a rgztett tizedeshelynek ksznheten annl jval gyorsabb mveletvgzst tesz lehetv, viszont brzolsi intervallumba s pontossga meglehetsen korltos.

Az brzolhat szmok brzolhat szmtartomny

binrisan 1000 0000 0000 0000 tl 0111 1111 1111 1111-ig.

decimlisan 215 -tl + 2151-ig + 32 767 0 1 32768

legnagyobb nem negatv: legkisebb nem negatv: legnagyobb negatv: legkisebb negatv:

0111 1111 1111 1111 0000 0000 0000 0000 1111 1111 1111 1111 1000 0000 0000 0000

Lebegpontos trolsi formaA tzes szmrendszerbeli szmot tvltjuk kettes szmrendszerbe. Ezt a kvetkez mdon tehetjk meg: A szm egsz rszt kettvel osztjuk. Az osztst addig folytatjuk, amg a hnyados nulla nem lesz. Az osztskor keletkez maradkokat alulrl felfel rjuk le. Az tvltott szm a kapott maradksor. A szm trtrszt kettvel szorozzuk. A kapott rtk egsz rszt lerjuk, a kettvel val szorzst a szm trtrszvel folytatjuk. Az trs addig tart, amg pontosan 1-et nem kapunk, vagy el nem rjk a kvnt kettedes trt pontossgot. A szorzskor keletkez egsz rszek sort fellrl lefel rjuk le. szmokat ebben az esetben normalizlt alakban hasznljuk.

ahol N2 az brzoland binris szm M normalizlt mantissza E karakterisztika A mantisszt leggyakrabban eljeles abszolt rtkes formtumban troljk. A normalizlsra ktfle gyakorlat terjed el. A lebegpontos brzols elnye a fixpontos trolssal szemben, hogy mivel esetben a tizedespont a szmjegyek kztt szabadon "vndorolhat", ezrt ltalban jval nagyobb intervallum brzolst teszi lehetv, mikzben kis szmoknl is nagy pontossgot biztost.

12

BCD (binary coded decimal) brzols:BCD kdA BCD kd a legknnyebben elllthat, ezrt taln a legltalnosabban hasznlt kd. Ennl a kdnl a szmok szmjegyeinek a kettes szmrendszerbeli rtke felel meg, ngy helyi rtkre kiegsztve. Eljeles BCD szmokat gy kpeznek, hogy n. vezrlsz-t tesznek a szmjegyek el. A vezrlsz tartalmaz egy eljelbitet (pozitv = 0, negatv = 1), az adatszavak szmt (0000 = 1 sz, 1111 = 16 sz) s a tizedespont utni szavak szmt.

Mshogy: (Binrisan kdolt decimlis szmok) A kettes szmrendszer szerinti adattrols elssorban akkor elnys, ha aritmetikai mveleteket kvnunk vgezni a szmokkal, teht a mszaki-tudomnyos feladatok, szmtsignyes problmk megoldsakor elssorban. Ha a szmtsi munka egyszerbb, vagy nagytmeg adatot kell beolvasni, vagy kiratni, akkor clszer a tzes szmrendszer hasznlatt utnozni klnbz binris kdokkal. Ezekben a kdrendszerekben a tzes szmrendszerbeli szmok szmjegyeit egyenknt konvertljuk t az alkalmazott binris kdba. Mivel a tzes szmrendszerben tzfle szmjegyet hasznlunk, ezek brzolshoz legkevesebb 4 bit szksges Minden decimlis szmjegyet ngy biten brzolunk. 2 4 7 9 1 001 010 011 100 0001 0 0 1 1

Jellemzi: minden decimlis szmjegyet ngy biten brzolja a szmjegy binris alakjt. A szmot a szmjegyek ngybites alakjval rjuk fel, megtartva a helyi rtkeket. A BCD kdban felrt szmokkal a binrisaritmetika szerint vgznk mveleteket. A mvelet vgzs eredmnyeknt kapott binris jelsorozatot a szksges korrekcival vglegestjk. A decimlis szmnak nem a kettes szmrendszer bli alakjt rjuk fel BCD kdban, hanem egy binris jelsorozatot runk fel BCD kdban. 1924=0001100100100100 Pldul a 385710 decimlis szm megfelelje BCD kdban: 385710 = 0011 1000 0101 0111BCD

Vektoros adatok

13

A szmtgpek alkalmazsi terleteinek egy rsznl (tudomnyos-mszaki, szmtsok, modellezs, 3D grafika stb.) gyakran kell vektorokkal s mtrixokkal mveleteket vgrehajts. Ezt hatkonyan elvgezni a SMID (egyszeres utastsfolyam, tbbszrs adatfolyam) architektrj gpekkel lehet, melyeket vektorszmtgpeknek hvunk.

Karakteres szmkdokA szm tzes szmrendszerbeli alakjt kdoljuk, minden szmjegyet egy karakterknt brzolunk. Mveletek gy nem vgezhetk az gy kdolt szmokkal.

Karakterek kdolsaKarakternek nevezzk a betket, rsjeleket, szmjegyeket stb. brzolsuk problmjt is meg kellett oldani, termszetesen binris alakban. Azaz minden karakterhez rendelni kell egy binris szmot. Ma a legelterjedtebb kdolsi rendszerekben 1 bjton kdoljk a karaktereket, ami 256 fle jel megklnbztetst teszi lehetv. Azt a tblzatot, amely lerja ezt a kapcsolatot, kdtblzatnak nevezzk. Az IBM kompatibilis szmtgpek vilgban a legfontosabb az ASCII kdrendszer, de msfajtk is lteznek. Pldul az EBCDIC vagy a UNICODE stb.

ASCII KDRENDSZERAz ASCII kd az egsz vilgon elfogadott szabvny a karakterek kdolshoz. (American Standard Code for Information Interchange). Eredetileg 7 biten kdolta az amerikai ABC kis- s nagybetit, a szmjegyeket s rsjeleket valamint klnbz vezrljeleket. Ezt ksbb ms nemzeti karakterek, grafikus jelek brzolsa miatt 8 bitesre bvtettk. A sokfle nemzeti karakter brzolhatsga miatt tbb kdtblt hoztak ltre. Az els 128 kd mindegyikben ugyanazt jelenti (megegyezik az eredeti kdtblval), a kvetkez 128 kd orszgonknt vltozhat. Tartalmazza a nemzeti rsjeleket pl. kezetes betket, grafikus jeleket. Az USA kdtbla a 437-es szmot viseli, a 852-es un. Latin II. vagy szlv kdtbla tartalmazza a magyar kezetes betket is. Pl. GERG SEGTS szveg : 71,69,82,71,153,32,82,69,71,73,84,83 szmok binris alakja az ASCII kd szerint

14

Az rsjeleket azok ASCII kdjaival is kirathatjuk a jobb oldali szmbillentyzetrl az billenty lenyomva tartsa mellett. Megjelent az ASCII olyan kiterjesztse, amely az arab, knai, japn rsjeleket is szabvnyosan tudja kezelni. Ez az UNICODE kdrendszer. A Unicode 16 biten (2 bjton) trolja a karaktereket, gy 65536 karakter lersra alkalmas. A Unicode als 128 karaktere egybeesik az ASCII kdolssal. Az e fltti rszekben pedig szegmensekben helyezkednek el a klnbz nyelvcsoportokat ler karakterek.

MSHOGY:ASCII - kdrendszer: (American Standard Codes for Information and Interchange). Az alapszabvny az Amerikai kdolsi rendszert, az ASCII kdrendszert hasznljk. Ez a kdrendszer teszi lehetv azt, hogy a klnbz programok kpesek legyenek hasznlni pl: a magyar ABC-T. Ez pedig napjainkban elengedhetetlen. Mivel orszgonknt klnbz betkre van szksg az ASCII kdtbla tbb vltozatt, hasznljk. Ilyen az amerikai 437-es jel, vagy a kelet- eurpai 852-es. Ezek a msodik 128 jelben trnek el egymstl. Szmos olyan nyelv van a vilgon, amelynek tbb jele van mint 256. ASCII: kt f fajtja: 1. 128-fle karaktert kdol 7 bites binris kddal, s egy paritsbit (amit ellenrzsre hasznlnak), minden karakternek egy kettes szmrendszerbeli szm felel meg, klcsnsen s egyrtelmen. 2. ASCII 8 bites (1 byte) kiterjesztse mr 2*128=256 karakter kdjt tartalmazza, az els 128 db karakter az eredeti ASCII kdot, a msodik 128 db karakter az egyb karakter, pldul kezetes betk kdjait, tartalmazza. Fontos megklnbztetni a karakter kdjt a karakter kptl, grafikai megjelenstl (ha van ilyen). Hiszen a karakter kpe is kdolhat bitsorozatokkal, s kdolva is van, klnben nem jelenhetnnek meg nyomtatskor. A karakter kpe sokkal tbb byte-on trolhat.

15

4. Az utasts-feldolgozs gyorstsa prhuzamostssal. A pipelining lnyege, szuperskalr processzorok. Fellp problmk s kezelsk.

PipelineA gyorsts megoldhat a gp mkdsnek temezst meghatroz rajel frekvencia nvelsvel, aminek viszont technolgiai korltai vannak. Ezrt kerltek eltrbe azok a mdszerek, melyek a szmtgp gyorsabb mvelet vgrehajtst rendszertechnikai eszkzkkel biztostjk. A rendszertechnikai gyorsts egyik legfontosabb mdszere az utasts vgrehajts szintjn tlapolt feldolgozs, melyet pipeliningnek neveznek.

Pipeline lnyegeAz egyik fzis eredmnye a kvetkez fzis indul adatt kpezi. Ez az tlapol megolds azt eredmnyezi, hogy egy-egy feldolgozsi folyamat vgrehajtsi idtartama ugyan nem vltozik, de ugyanannyi id alatt lnyegesen tbb folyamat fejezhet be. Ezt az tlapolt feldolgozsi mdszert nevezik pipelining (adatcsatorns, futszalag) feldolgozsnak. A pipeline utasts-feldolgozst alkalmaz processzorokat utastsszinten prhuzamos mkds (Instruction Level Parallel), vagy ILP processzoroknak nevezzk. Az ilyen mdon tlapolt folyamatok feldolgozshoz tartoz egysgek mkdtetse ktfle mdon trtnhet: Aszinkron temezssel, amely esetben az egymst kvet fokozatok jelzik egymsnak elemi feldolgozsi lpsk elkszltt, illetve azt, hogy kszek fogadni a kvetkez utasts az arra az egysgre elirt feladat elvgzshez. Mindegyik egysg amint befejezte tevkenysgt, tovbbadja a feldolgozst a kvetkez egysgnek, azaz a feldolgozs tovbbhaladsa folyamatos az adatcsatornn keresztl. Szinkron temezssel, amelynl az egyes fokozatok azonos idben kezdik feldolgozsi lpseiket, a feldolgozsi folyamat temezst mindig a legtbb idt ignybe vev egysg szabja meg.

Szuperskalr processzorokA processzoron bell nem csak gy tudunk prhuzamostani, hogy a gpi utastsokon belli elemi lpseket vgrehajt egysgek tlapolva mkdnek, hanem a vgrehajt hardver egysgeket is meg lehet tbbszrzni. Az erforrsok hasznlatnak problmja abbl szrmazik, hogy a prhuzamostott folyamatok igen gyakran ugyanazt az erforrst kvnjk ignybe venni feldolgozsukhoz (pl. leggyakrabban a memria okoz ilyen gondot). Ennek egyik lehetsges megoldsa az erforrsok tbbszrzse, amely nyilvnvalan tbbletkltsget eredmnyez. Ezeket a processzorokat szuperskalr processzoroknak nevezzk, ha egy gpi ciklus alatt esetenknt tbb utastst is kpesek vgrehajtani, tbb vezrlegysg. Ennek termszetesen felttele, hogy a processzor a mveletvgz egysgekhez vezet, prhuzamos mkdsre kpes bels buszokkal rendelkezzen.

16

Fellp problmk s kezelskPipelining sorn fellp problmk kezelse 1. az utastsok elemi fzisainak vgrehajtshoz szksges id igen eltr lehet 2. az utasts soros vgrehajtst a vezrlstad utastsok megzavarhatjk, mivel ekkor nem a soron kvetkez utastsokat kell betlteni a futszalagra. 3. a megszaktsok, kivtelek kezelse is megszakthatja a futszalag folyamatos feltltst 4. az utasts vgrehajts sorn sokszor elfordul, hogy egy utasts a megelz utasts eredmnyadatra hivatkozik. Ezek kezelse: NOP utastsok (fordtprg.) Utastsvrakoztats NOP=No Operation utastsok beiktatsval.

Utasts-trendezs (fordtprg.)Tartalmi megvltoztats nlkl trendezi az utastssorrendet.

Scoreboarding(hardver)Minden regisztere knyvelsre kerl. Ha egy tovbbi utasts egy ilyen regiszterhez akar hozzfrni, akkor az ksleltetsre kerl.

Data forwarding (hardver)Adat elreengeds. Processzoron belli megfelel ramkrk biztostjk. Harvard architektra Az utastsolvass s az adatkiolvass, visszars tkzseire jelent megoldst. A vezrlstad utastsok kezelse Kiemelt jelentsg feladat. (Processzor lelltja a pipeline betltst amg az ugrs kimenetele nem egyrtelm. Vagy a processzor megbecsli az elgazs kiementelt.) Ha ez nem teljesl akkor a pipeline-ban lv utastssort trlni kell. Korszer processzorok spekulatv elgazs feldolgozsa, melynl a processzor megprblja megjsolni az utasts kimenetelt. Statikus: fordtprg. rtkeli ki az ugrsi feltteleket s meghatrozza a legnagyobb valsznsggel elfordul ugrsi cmeket s ennek megfelelen szevezi a pipelin-t. Dinamikus: a prg. futsa kzben a processzor egy tblzatban vezeti az ugrutastsok cmeit s ezek kimenett, s ezt felhasznlva prblja megjsolni az elgazsok lehetsges kimenett.

A szuperskalr processzoroknl ezrt tbb problmt kell megoldani 1. Prhuzamos dekdols, eldekdols Az eldekdols sorn a dekdols feladatainak egy rsze mr akkor vgrehajtsra kerl, amikor az utastsokat a msodlagos gyorst trbl vagy a memribl az L1 szint gyorsttrba rjk 2. Szuperskalr utasts-kibocsts (Utasts vrakoztats, Regisztertnevezs, Spekulatv elgazs kezels) 3. Prhuzamos vgrehajts Ha a processzor az utastsokat prhuzamosan hajtja vgre, akkor az egyes vgrehajt egysgek az utastsok eredmnyeit az eredeti utastssorrendtl eltren is elllthatjk. Ezt csak gy lehet kezelni, ha az eredmnyadatok tmenetileg troldnak s vgleges helykre az

17

eredeti utastssorrendnek megfelelen kerlnek bersra. Soros konzisztencia Az eredeti utasts soros logikjnak megrzse.

18

5. Az aritmetikai-logikai egysg s regiszterei (akkumultor, flag). Fixpontos slebegpontos mveletek, ezek vgrehajtsnak egysgei. Logikai mveletek.

Aritmetikai egysg (ALU)A processzor msik fontos egysge az ALU, amely az utastsokban elrt aritmetikai, vagy logikai mveleteket hajtja vgre. Az aritmetikai egysg binris mveletek elvgzsre alkalmas, ha az elvgezend aritmetikai mveletek szma nagy, vagy lebegpontos (hatvnykitevs) formtum szmokkal kell mveleteket vgezni, akkor a fprocesszor mell elhelyeznek az erre a clra szolgl matematikai trsprocesszort (koprocessort) is. A nagyobb teljestmny gpek (i486 DX, MC68040, RISC) processzoraiba mr eleve beptik a lebegpontos rendszert. Ez az egysg a mveletvgzshez az operandusok s az eredmnyek ideiglenes trolsra egy kitntetett regisztert, az akkumultor regisztert (AC: Accumulator Regiszter) hasznlja. ALU f rszegysgei:

-

sszead egysg, amely kt operandus sszeadsra szolgl lptet ramkrk, amelyek a regiszterek tartalmt mveletvgzs kzben jobbra, vagy balra lptetik, azaz tulajdonkppen 2-vel osztjk, vagy szorozzk azt - logikai ramkrk a logikai mveletek megoldshoz - regiszterek, az adatok ideiglenes trolsra. Ezek lehetnek az ALU rszt kpez, kizrlagos hasznlat regiszterek, mint pl. tbbnyire az akkumultor regiszter (AC), vagy a processzor ltalnos cl regiszterei kzl egy, vagy tbb. Az aritmetikai mveletek vgrehajtsakor, az eredmnytl fggen, az llapotregiszter egyes jelzbitjeit a processzor belltja. A mveletek eredmnyt visszatkrz legfontosabb jelzbitek a kvetkezk: - tvitel (carry): ha az eredmny legmagasabb helyrtkn tvitel keletkezik, 1-es rtket vesz fel - nulla (zero): ha az eredmny nulla rtk, 1-es rtket vesz fel - eljel (sign): ha az eredmny negatv, akkor az rtke 1-es lesz - tlcsorduls (overflow): ha az eredmny nagyobb, mint a trolhat legnagyobb rtk, akkor rtke 1-es lesz.

Akkumultor /AC/A szmtgp megklnbztetett szmtsi regisztere. Az aritmetikai s logikai mveletek operandusait, vagyi a mveletek trgyt kpez mennyisgeket, illetve ezeknek az eredmnyeit a CPU kzponti regiszterben, az akkumultorban troljuk.

Flag regiszterek(flagregiszter, amely a processzor mkdse kzben ltrejtt llapotok jelzit (igaz, vagy hamis), llapotregiszterek, vezrl regiszterek (Status Regiszter, Flag Regiszter, Control Regiszter) amelyek egy, vagy tbb regiszteren bell trolnak vezrl s ellenrz jeleket, a mveletek vgrehajtsnak eredmnye alapjn bekvetkez llapotjellemzit adja vissza. Ilyen jellemzk, pl.: az eredmny nulla (zero flag) a szmrtk tl nagy (overflow flag) tvitel keletkezse (carry flag)

19

Az llapotregiszternek lehetnek olyan bitjei, amelyek valamilyen vezrlsi elrst trolnak (pl.: valamely rszegysg hasznlatnak engedlyezse, memrialapozs engedlyezse, megszaktskrs kiszolglsa letiltsa). Ha a funkcibitek szma nagy, akkor nll vezrl regiszter (control register), s llapotjelz regiszter (status, vagy flag register) hasznlata szksges . Az aritmetikai mveletek vgrehajtsakor, az eredmnytl fggen, az llapotregiszter (FLAG register) egyes jelzbitjeit az ALU belltja. ltalban feltteles elgaztat utastsokkal krdezhet le, s ettl fggen vltoztathat meg az utasts vgrehajts sorrendje. A mveletek eredmnyt visszatkrz legfontosabb jelzbitek a kvetkezk:

1. tvitel (carry) jelzbitje: ha az eredmny legmagasabb helyrtkn tvitel keletkezik, rtke 2. 3. 4. 5. 6. 7. 8.1-et vesz fel. Nulla (zero) jelzbitje: ha az eredmny nulla rtk, 1-es rtket vesz fel Eljel (sign) jelzbitje: ha az eredmny negatv, 1-es rtket vesz fel. rtke a numerikus adatokon vgzett mveletek eredmnynek eljele szerint kerl belltsra. Tlcsorduls (overflow) jelzbitje: ha az eredmny nagyobb, mint a trolhat legnagyobb rtk, 1-es rtket vesz fel. Szintn numerikus szmokon vgzett mveletek eredmnyeknt kerl belltsra (pl. szorzs) Paritsbit: paritshiba esetn kerl belltsra. Auxilary: kzbens tvitel az als 4 bitrl a fels 4 bitre Trap: az utasts vgrehajtsa utn a processzor INT1-es megszaktst hoz ltre Interrupt: a hardver megszaktskrelemek letiltsa

Fix pontosEzt az brzolst a kisebb, kevesebb szmjegybl ll szmok rshoz hasznljk. Fixpontos szmtrolsi formnl a szm kettes szmrendszerbeli egytthatit troljuk helyi rtkeiknek megfelelen egy rgztett nagysg memriaterleten. Ezek leggyakrabban o 1 bjt mret, (BYTE) o 2 bjt mret sz ( WORD ), o 4 bjt mret dupla sz (DWORD). A fixpontos szmoknl fontos krds, hogy melyik pozcin helyezkedik el a szm egsz- s trtrszt elvlaszt jel, melyet a tizedesvessz analgijra kettedes pontnak nevezhetnk. Trolsi formja: a.b Ahol: - a egsz szmjegy - . Kettedes jegy - b trtrsz szmjegyei

LebegpontosHatvnykitevs trolsmd, kisebb s nagyobb szmjegyek trolshoz, a trolsi formjukat pontossguk hatrozza meg (hny biten), trterlet: 4-6-8bjt Trolsi formja: arp - eljel, melyet egyetlen biten trolunk mindig - a mantissza, vagyis a fixpontos egsz - r radix, ez a szmrendszer alapszma, (ltalban 2-es) ez ami rgztett mindig, ezrt ezt mr nem kell trolni p karakterisztika vagyis a hatvnykitev

20

A lebegpontos brzolst az IEEE 754 szabvny rgzti. IEEE 754 szabvny: A mantissza eljele 0 ha a szm pozitv s 1 ha negatv, a mantisszban lev fixpontos szm 1-re normalizltan rtend, azaz 1. a formj (binrisan-ezrt az els egyest nem is troljk), a szabvny a lebegpontos mveletvgrehajtshoz tbbfajta pontossgot definil: egyszeres=32bit, dupla=64bit, kiterjesztett=80bit, ngyszeres=128bit. a*2p a- mantissza p- karakterisztika Jellemz Eljelbit Karakterisztika Mantissza Egyszeres [bit] 1 8 23 Dupla pontossg [bit] 1 11 52 pl: -654.187*107 Kiterjesztett pontossg [bit] 1 15 64 Ngyszeres pontossg [bit] 1 15 112

A lebegpontos szmokkal vgzett mveletek problmja a tlcsorduls s az alulcsorduls megfelel kezelse. A szabvny ezek kezelsre tovbbi adatformtumokat hatroz meg. Ezek : denormalizlt adatformtum:az igen kis szmrtkek szmtgpes kezelst segti nulla szmrtk (eljel: ; karakterisztika: 0; mantissza: 0), vgtelen rtk, tlcsordulsokat (igen nagy szmok) a vgtelen szmmal kezelhetjk nem meghatrozott szmrtk (Not a Number: NaN) Az gynevezett nem szmok azt a clt szolgljk, hogy programunk akkor se lljon le, ha az elvgzett mvelet (pldul vgtelen/vgtelen) matematikailag rtelmezhetetlen.

Logikai mveletekLogikai csoport: az AC (akkumultor) s valamelyik regiszter, vagy memria tartalma kztt trtnik logikai mveletvgzs (S, VAGY, KIZR VAGY). Ide tartozik a shiftels mvelete is, mely az AC tartalmt lpteti. Hrom logikai alapmvelet: NEM: egyoperandusos mveletet, eredmnye az llts ellenkezje. A trolhelyek tartalmnak ellenkez rtkre lltsra lehet hasznlni. S: a ktoperandusos mvelet eredmnye akkor igaz, ha mindkt llts egyidejleg igaz. E mvelet segtsgvel lehet kijellni, maszkolni egy trolhely tartalmnak tetszleges rszt. VAGY: a ktoperandusos mvelet eredmnye akkor igaz, ha valamelyik, vagy mindkt llts igaz. Kt trolhely sszeadsra hasznljk. Alaptrvnyek: 1. A+A=1 2. AA=0 3. (A)=A Ketts tagads 4. (A+B)= AB 5. (AB)= A+B De-Morgan azonossgok 6. A+0=A 7. A*0=A 8. A+1=1 9. A*1=A 10. A+AB=A(1+B)=A A logikai mveletek megfeleli a gpi utastskszletben is megjelennek, ltalban az albbi lehetsget biztostva: S kapcsolat kt trolhely tartalma kztt, maszkolshoz VAGY kapcsolat kr jelsorozat sszefslshez, egyestshez lptetsek, tlcsordulsok figyelshez.

21

Ezeket a logikai mveleteket az ALU egyik f rszegysge vgzi el, mely logikai ramkrkbl ll.

22

6.

A vezrlegysg feladata s jelei, vezrlsi pontok. Huzalozott s mikroprogramozott mveleti vezrls. CISC s RISC processzorok.

A Vezrlegysg feladata (CU)A vezrlegysg mkdse sorn vezrljeleket ad ki a teljes szmtgp irnytsra. Ezek lehetnek: a processzor bels vezrljelei, melyek a processzoron belli rszegysgek mkdst irnytjk pl. az aritmetikai egysg s a processzor rgiszterei kztti adattvitelt, a processzoron kvli egysgek irnytst szolgl kls vezrljelek, melyek a processzor s a memria, a processzor s az input/output eszkzk kztti adattvitelt, illetve a megszaktskezelst s a snvezrlst irnytjk. CU: Biztostja, hogy a megfelel adatok a megfelel helyen s idben rendelkezsre lljanak. Irnytja az ramkrk mkdst. Az utastsszmll regiszter segtsgvel kiolvastatja a memribl annak a memriarekesznek a tartalmt, amely a soron kvetkez utastst trolja. Az utasts mveleti kdrsze alapjn meghatrozza, hogy sorrendben milyen mveletet kell vgrehajtani. Az utasts alapjn rtelmezi, hogy milyen cmen tallhatk a mveletben rsztvev adatok, vezrli ezek kiolvasst, s a megfelel regiszterbe trtn tovbbtsukat. Az aritmetikai - logikai egysggel vgrehajtatja a megfelel mveletet s belltja az utastsszmll j tartalmt.

A mveleti vezrls trtnhet: Huzalozott mdon (hardver): az utasts elemi lpseinek sorrendjnek vezrlst bonyolultsorrendi, kombincis ramkrrel oldjk meg.

Mikroprogramozott mdon (szoftver): az elemi tevkenysgek sorrendjt egy trolt program, amikroprogram utastsai vezrlik. A vezrlegysg azon rszt, mely a mveleti vezrlst oldja meg, mikrovezrlnek nevezzk. A mikroutastsok feladata az adatutak engedlyezse/tiltsa. Az utastsok kt rszbl llnak: a kvetkez mikroutasts trbeli cmbl s a vezrlsi mezbl, amely az engedlyezett vezrlsi pontokat hatrozza meg. ltalban az egyszer utastsok huzalozottak, az sszetettek mikro programozottak. Struktrk: Horizontlis mikroprogramozs esetn a vezrlsi mez minden egyes bitje egy-egy vezrlsi pontot engedlyez/tilt. Vertiklis mikroprogramozskor a mikroutastsok csak egy-egy elemi mvelet vgrehajtst eredmnyezik. CISC-vezrls: az utastsok feldolgozsa egy mikroutastsokkal megvalstott rtelmezrendszer ignybe vtelvel trtnik. Teljes utasts kszlet szmtgp. RISC-vezrls: a felhasznli programot egy egyszer utastsokbl ll gpi kdra fordtjk, mely nem ignyel rtelmezrendszert. Cskkentett utasts kszlet szmtgp.

23

Bvebben

Mveleti vezrlsMkds szempontjbl az utasts-vgrehajts lpsei tovbbi elemi mveletek sorozatra bonthatk fel. Ezek a mveletek adattvonalak kapuzst, bizonyos llapotok belltst eredmnyezik. Az opercis kd alapjn trtn vezrelhetsg csak lpsenknti vezrlsi lehetsg, valamint az adatutak kapuzsnak (engedlyezs/tilts) lehetsge alapjn valsthat meg. Az elemi mveletek vgzsre ktfle lehetsg van:

Hardver md (huzalozott)Az elemi tevkenysgek sorrendjnek vezrlst bonyolult sorrendi, kombincis ramkrrel oldjk meg. Ez a szksges sorrendben adja ki az egyes kapuk mkdtetsre szolgl vezrl jeleket, amely gyors mkdst eredmnyez, de kltsges megolds. Egyszerbben kezelhet a programozhat logikval trtn megvalsts. Ezek az ramkrk programozhat S s VAGY kapukbl llnak. Huzalozott mdon gyors mkds rhet el, de viszonylagos drgasga miatt elssorban RISC processzoros gpeknl alkalmazzk, ahol fontos a nagy sebessg.

Szoftver md (mikroprogramozott)Trolt program, egy gynevezett mikroprogram vezrli az elemi lpsek sorrendjt, mely program utastsait mikroutastsoknak nevezik. Ez a trolt program a ROM trban helyezkedik el. A mikroprogram kezdcmt a makroprogram opercis kdja adja meg. Lehetsg van a mikroprogram cserjre. Ekkor a mikroprogramot a gp RAM - jban kell trolni, gy a gpen egy teljesen ms utastskszlet emullhat. Ez a mdszer hatkonyabb s olcsbb, mint a huzalozott megolds s a hozzrt felhasznl szmra is tbb lehetsget nyjt a rendszer fejleszthetsgnek tern. A processzorok mveleti vezrlse ktfle struktrban oldhat meg. Ezek a horizontlis struktra s a vertiklis struktra. A klnbsg az utastsfeldolgozs prhuzamostsi fokban mrhet, amely vonatkozik: - az utasts s adatelksztsre - az opercis kd kirtkelsre - a mvelet vgrehajtsra A mikroutastsok szerkezetileg hasonltanak a gpi kd utastsokhoz. Kt rszbl llnak, az egyik a kvetkez mikroutasts cme a trolt mikroprogramban, a msik a vezrlsi mez, amely meghatrozza az engedlyezett vezrlsi pontokat. Ezen vezrlsi pontok engedlyezse teszi lehetv elemi mveletek vgzst. Egy utasts elemi lpseinek vezrlse sok ilyen pont meghatrozott sorrendben trtn vezrlst jelenti. A mikrovezrl egy mikrocmregisztert is tartalmaz a kvetkez mikroutasts cmnek nyilvntartsra.

24

CISC s RISC processzorokKezdetben a szmtgpek gpi kdban programoztk, majd az Assembly nyelv vlt elterjedt. CISC (komplex utastskszlet szmtgpek)

egyre tbb s bonyolultabb utastst tartalmazott az utastskszlet ezek hardver megvalstst mikroprogramvezrelt kellett megoldani, azaz egy gpi utasts vgrehajtsa tbb elemi lpsr felbontottk s az ezekre vonatkoz adatokat a szmtgp csal olvashat memrijban (ROM) troltk)

RISC (reduklt utastskszlet szmtgpek) A mikroprogramvezrelt utasts vgrehajts komoly korltv vlt a szmtgpteljestmny nvelsnek. Ezrt olyan architektrt terveztek, melynl, csak gyakori egyszer utastsok szerepeltek az utastskszletben, lehetv vlt a mikroprogramozs kikszblse, azaz magas szint programnyelvrl fordts lnyegben a korbbi mikroutastsok szintjre trtnt.

25

7. A kzponti tr szerepe, ramkri megvalstsa. ROM s RAM ramkrk tpusai.Dinamikus RAM bels felptse. tlapolt memriakezels.

Kzponti tr (memria)Kzponti tr, ftr A trolegysg trolja a program utastsait, s az adatokat. A trakba val rshoz ill. olvasshoz meg kell adni a keresett trol hely cmt, amit a trolhoz tartoz cmregiszter (MAR: Memory Address Register) fogad be s ennek tartalma vezrli a memria kivlaszt ramkreit. Az adatok szmra a trol bemenete ill. kimenete az adatregiszter (MDR: Memory Data Regiszter), amely a berand, vagy kiolvasott adatot ideiglenesen befogadja. Memria: szavanknt cmezhet trolegysg, melynek rekeszei troljk az utastsokat s az adatokat egyarnt. Az, hogy egy rekesz tartalma adat vagy utasts, csak rtelmezs krdse, hiszen az brzols mdja azonos. A memriknak gyorsan olvashatnak s rhatnak kell lennik, hiszen hozzfrsi idejk alapveten meghatrozza az utastssorozat vgrehajtsnak sebessgt. Legfontosabb erforrs a processzor mellett. A trolban tallhat a vgrehajts alatt lev program s a feldolgozsban hasznlt adatok. A legkisebb trolsi egysge az egy binris jel, a bit. Fizikailag legkisebb egysgknt kezelt trolterlet a rekesz (1 byte). Minden rekesz cmmel rendelkezik, amely alapjn a trolhelyet a processzor ki tudja vlasztani, s abban adatot tud elhelyezni vagy kiolvasni. A rekeszek cmet 0-val kezdd, szigoran nvekv sorszmok alkotjk.

ROM s RAM ramkrk tpusaiRAM (rhat s olvashat) - dinamikus RAM (DRAM) alacsony teljestmnyigny, tartalmt rvid id alatt elveszti, ezrt annak tartalmt ciklikusan fel kell jtani. Kis mrete miatt (1 tranzisztor) nagy mret trakat lehet belle kialaktani. - statikus RAM (SRAM) gyors mkdsi sebessg, nem ignyli az lland adatjtst (=bistabil multivibrtor) ROM (csak olvashat) - csak egyszer tlthet fel a gyrts sorn (ROM) - a felhasznl ltal egyszer feltlthet (PROM) - specilis mdon trlhet s programozhat (EPROM) - felhasznl ltal jrarhat (FLASH ROM)

26

Dinamikus RAM bels felptse

tlapolt memriakezels(MEMORY INTERLEAVING) Memory Interleaving (gyorsts tlapolt memriakezelssel): a memrit egymstl fggetlenl cmezhet s olvashat rszekre, gynevezett memriabankokra osztjuk fel. Felttelezve, hogy a proci ltalban a memrit cmfolyamatosan olvassa, a 0-ik memriabankbl kiolvasott adat hozzfrse alatt az 1. memriabankban lv kvetkez cmen lv adat mr megcmezhet. Ez kiss leegyszerstve azt jelenti, hogy cmfolyamatos olvass esetn az adatok kiolvassa kb. ktszeres.

27

8. Gyorst (cache) trak feladata s mkdsi elve. Cache trak felptse s tpusai. Helyettestsi s adataktualizlsi stratgik.

A cache trakAz adatok kiolvassakor az adatok nem ugorhatnak t szinteket, gy pldul a httrtrrl beolvasand adatnak t kell haladnia mindazon szinten, ami a CPU-hoz vezet. Mivel azonban a szinteket alkot eszkzk mkdsi sebessgben igen nagy klnbsgek is lehetnek, nem egyenletes az adatramls. Ennek kikszblsre a szintek kz pufferelsi clbl n. cache trak lettek kzbeiktatva. Ezek az adatok s utastsok tmeneti trolsra szolgl, viszonylag kismret (pr 100Kb), gyors mkds (4 ns) trolk, melyek a felhasznl szmra lthatatlanok. Cache trak a CPU s a ftr, illetve a ftr s a httrtrolk kzt tallhatk. A cache az elhelyezkedst tekintve lehet L1 (on chip) vagy L2 (off chip) cache. L1 cache: a CPU-n bell tallhatk, kapacitsa 8-32 Kb. L2 cache: a CPU-n kvl tallhat, kapacitsa 128-512 Kb. 2048 Kb Cache trak tpusai mkdsk alapjn: Teljesen asszociatv A ftrbl beolvasott blokkok brhova elhelyezhetk, brmelyik sorba kerlhetnek. Hogy melyik sorba kerlnek, a helyettestsi algoritmus feladata eldnteni. Kzvetlen lekpzs A blokk a cache csak egy konkrt sorba kerlhet. A kzvetlen lekpzs cache trolk olcsk s gyors visszakeresst biztostanak. N-utas csoport asszociatv cache Ez a troltpus tulajdonkppen tmenetet kpez a teljesen asszociatv s a kzvetlen lekpzs cache trolk kztt. A trol n sorbl ll rszre, csoportokra van osztva. A troln bell minden egyes csoport viszont teljesen asszociatv trolknt mkdik, ugyanis a csoport brmely sorba bekerlhet a blokk, ezt a helyettestsi algoritmus hatrozza meg.

Cache-trak felptse

Cache-trak tpusaiCache. Specilis gyorsttrak, amelyek hihetetlen sebessgk miatt kzkedveltek. ltalban olyan informcikat szoks benne trolni, amelyekre a lehet legrvidebb elrsi id alatt szksg lehet. Tpusai: Csoport asszociatv cache. A teljesen asszociatv- s a kzvetlen lekpezs cache kztti tmenet (ksbb sz lesz mindkettrl). Maga a memria meghatrozott szm

28

sorbl ll csoportokra van osztva, amely csoportok nmagukban gy mkdnek mint 88 a teljesen asszociatv cache trak. A legtbb j tulajdonsgot hordozza, ugyanis rugalmas, kis szm sszehasonlt ramkr szksges hozz s viszonylag gyors. Kzvetlen lekpezs cache. Egy-egy adatblokk csak meghatrozott helyre kerlhet. A meghatrozsrt egy gynevezett sorindex felels. Betltse kttt a blokkhelyek merevsge miatt, m visszakeresse rendkvl gyors, hiszen a sorindex tmutatst ad a processzornak. Szelektor lekpezs cache. A csoport asszociatv cache-hez kzelt megolds tr. Ma mr egyre ritkbban hasznljk, ugyanis a processzor az adatcsoport helyt jelli ki asszociatv mdon. Teljesen asszociatv cache. A beolvasott adatblokk brhov elhelyezhet benne, ennek helyt egy gynevezett helyettestsi algoritmus hatrozza meg. Betltse rugalmas, m visszakeresshez pontosan annyi keresramkrre van szksg, ahny sor tallhat benne (ugyanis a processzor sorrl-sorra haladva keresi az adott informcikat).

Helyettestsi eljrsok: A cache-trak hatkonysgt az biztostja, ha kevsszer kell atartalmukat cserlni. Erre a legkevsb hasznlt blokkok cserjnek stratgijt alkalmazzk. Ez megvalsthat szmll regiszterek, lptet regiszterek hasznlatval, valamint megelzsi mtrix alapjn.

Aktualizls: a cache-tr tartalmnak mdostsa utn a memriban is aktualizlni kell atartalmat, hogy a program az aktulis rtkkel dolgozzon. azonnali trskor a mdostott byte azonnal bersra kerl a memriba. Ha a mdostott byte-hoz tartoz blokk a cache-ben van, ennek tartalma is aktualizldik. visszarsi, visszamsolsi eljrskor ha az adott byte-hoz tartoz blokk a cache-ben van, a ftrat csak a blokk cserjekor aktualizlja. Ha a blokk nincs a cache-ben, a blokkot beolvass utn aktualizlja.

29

9. A virtulis trkezels fogalma s legfontosabb eljrsai (lapozs s szegmentls,a virtulis cm lekpezse, TLB, lapcsere stratgik).

Az albbi bra a virtulis trkezelst szemllteti. Az opercis rendszer betlt egy lapot a mgneslemezrl, s egy rg nem hasznltat kir a mgneslemezre.

Virtulis trkezels A virtulis trkezels a modern opercis rendszerek elengedhetetlen kpessge. Lnyege abban ll, hogy az opercis rendszer felbontja a megindtott programot lapokra - page. Ez a feloszts a mgneslemezen trtnik meg. Egy lap mrete 4 KB. Az opercis rendszer a lapokat megszmozza, s a lemezen elfoglalt helyket egy laptblzat-ban tartja nyilvn. Az operatv memria jval nagyobb mret, mint egy lap. A program indtsakor betlti az els nhny lapot. Ezek megkezdik mkdsket. Amikor egy mg a mgneslemezen lv lapra van szksg, akkor a mr betlttt lapok kzl a legrgebben hasznlt lapot kimsolja a lemezre, s helybe msolja azt a lapot, melyre a program futshoz szksg van. A lapcserk a lapok kis mrete miatt nagyon gyorsan hajtdnak vgre. A program ltal gyakran hasznlt lapok a memriban maradnak - rezidens rsz. Lokalits elve: a programok vgrehajtsuk sorn legtbbszr egy korltos memriaterleten bell dolgoznak Gyakorisg elve: egyes programrszek kztt igen nagy eltrsek lehetnek abbl a szempontbl, hogy a programvgrehajts sorn milyen gyakran van rjuk szksg. PL. hibakezel rutinok ritkn hasznlatosak.

Lapozs A programhoz tbb, a trban sztszrtan elhelyezked blokk tartozik, az op. rendszer pedig gondoskodik arrl, hogy futs kzben szksg esetn a vezrls az egyik blokkbl a msikba kerljn. A blokkokat lapoknak, a mdszert pedig lapkezelsnek, vagy lapozsnak nevezzk. Lapozsnl minden blokk mrete azonos, a memriacmek egy lapcmre s egy eltolsra bonthatk. Az opercis rendszer egy laptblt kezel, mely a lapok memriabeli kezdcmeit tartalmazza.

30

Szegmentls Szegmentls esetn a memria blokkok klnbz mretek, gy a program egsz moduljait lehet a memriba tlteni, ami cskkenti a httrtrhoz fordulst. Kln kezelhetjk a program kdot, az adatokat, a verem tartalmt. A szegmensek ftrba val betltse trtnhet: Az els szabad helyre A kvetkez szabad helyre, azaz az utolsnak betlttt szegmenstl vizsgljuk az els szabad helyet A legjobb helyre, ami azt jelenti, hogy kivlasztjuk a szabad trterletek kzl azt, amelyikbe ha betltjk a szegmenst, a lehet legkisebb szabad hely marad. A legrosszabb helyre, aminek clja az, hogy a betltst kveten a szegmens mellett a lehet legnagyobb szabad terlet maradjon. Szegmentlt virtulis trkezels lapozssal Ebben az esetben a szegmentlson bell alkalmazzk a lapozsos virtulis trkezelst, azaz a virtulis tr szegmensei lapokbl plnek fel.. Ez a fajta virtulis trkezelst hasznljk a Pentium CPU-k vdett zemmdban.

Virtulis cm lekpezse A szg-en aktulisan fut programfolyamatok utastsai a vitulis cmeket, mint logikai cmeket tartalmazzk. Teht a programok a virtulis trat gy ltjk, mintha az a kzponti tr rsze lenne. A virtulis trterlet megcmzse virtulis cmekkel trtnik. A virtulis cmet a virtulis trterlet megfelel blokkjnak sorszmbl illetve egy relatv cmbl kpezzk. A virtulis cmzssel elvileg megcmezhet memriaterletet virtulis cmtartomnynak nevezzk. Lapozsnl minden folyamat sajt laptblval rendelkezik. Memria hivatkozsnl a cmnek azt a rszt, amely a laptbla rekeszre mutat ki kell cserlni a rekesz tartalmval s kszen ll a hivatkozott cm. Szegmentlt cmzs esetn a cmszmts legfontosabb eszkze a szegmensler tbla: a tbla cme + a szegmens sorszma megadja a szegmens fizikai cmt, amelyhez a szegmensen belli eltolst hozzadva megkapjuk a hivatkozott memriarekesz cmt. A szegmenstbla tovbbi informcikat is tartalmaz a szegmensekrl: a szegmens a memriban van-e, megvltozott-e, s hasznlatban van-e. Minden folyamatnak nll szegmenstblja van, ami megakadlyozza, hogy egyms cmeire hivatkozzanak. Cmszmtsnl az eltolst sszehasonltjk a szegmens hosszval, ami tovbbi vdelmet nyjt.

TLBA TLB a leggyakrabban hasznlt lapok lapcmfordtshoz szksges adatait tartalmazza. A lapozs gyorstst szolglja a TLB (Translation Lookaside Buffer) cache, amely a 32 leggyakrabban hasznlt lap adatait (deszkriptort) fogadja be.

31

Lapcsere stratgik Azonban el kell dnteni, hogy a lap a memrin bell hova kerljn bersra. Ennek megvalstsra tbb lapcsere algoritmus ltezik. A lapcserre tbbfle stratgia ltezik: FIFO azt a lapot kell lecserlni, amelyik a legrgebben van a memriban Optimlis Azt a lapot kell lecserlni, amelyre a legksbb lesz szksg (elmleti stratgia, szimulcikhoz hasznljk) Msodik esly a lecserlend lapok kzl azt vlasztjuk, amely az elz lapcsere ta nem mdosult vagy nem hivatkoztak r az LRU (Least Recently Used) Legrgebben hasznlt A virtulis trkezelsnl ezt alkalmazzk. Az eljrs megvalstshoz nyilvn kell tartani, hogy egy lapot mikor hasznltunk. Teht azt a lapot kell lecserlni, amelyre a legrgebben hivatkozott a folyamat. Ezt a mdszert csak hardver tmogats segtsgvel oldhat meg hatkonyan, megfelel gyorsasggal, mivel a hivatkozs idpontjt is szksges eltrolni. Ez az eljrs kevs laphibt eredmnyez, viszont cserbe igen nagy mrtkben megnveli az adminisztrcis feladatokat. Mostanban nem hasznlt Az LRU mdszer enyhtett, knnyebben megvalsthat vltozata. Az opercis rendszer, ha a folyamat egy lapra hivatkozik, a laptbla egy bites mezjt igazra lltja. Lapcsere esetn azok kzl a lapok kzl kell vlasztani, amelyek hasznl bitje nulla. Ha egy laphoz mr legalbb egyszer fordultak, a jelzbit llapota igaz. Hogy egy lap ne maradhasson rkre a trban, a lapcsere algoritmus lapcserekor az sszes lap jelzbitjt nullzza

32

10. Az adatrgzts elve a mgneses httrtrolkon. A merevlemez fizikai felptse (szektor, sv, cilinder) s logikai felptse (klaszter, FAT, bootszektor). A merevlemezes egysg teljestmnyjellemzi (elrsi id, adattviteli sebessg). A szmtgpek megjelense ta tbbfle httrtr tpust fejlesztettek ki. Mindegyik trol clja olyan mennyisg adat trolsa, mely meghaladja az operatv tr mrett, s nem veszti el tartalmt a tpfeszltsg megsznsekor. A jelenleg alkalmazott trolk kzl a legjelentsebbek a mgneslemezes trolk (winchester s floppy lemez). A merevlemezek ( winchester, Hard Disk Drive - HDD)

A leggyakrabban alkalmazott, leguniverzlisabban hasznlhat httrtrol eszkzk. Segtsgkkel nagy adattviteli sebessg (2-40 Mbit/s) rthet el, igen nagy kapacitsak (1-400 GB) s viszonylag olcsk. A mgneslemezes trolk esetn az informci hordozja egy nem mgnesezhet alapanyagon lv vkony, mgnesezhet rteg, illetve annak mgnesezettsgi irnya s szintje. Kzs tulajdonsguk, hogy mgnesezhet rteggel bortott, 1,5-5,25 coll tmrj korongokbl llnak melyet a meghajt 5400-7200 fordulat/perc sebessggel forgat. A lemezen, a koncentrikus krk, a svok (track) mentn trolt adatokat sugrirnyban mozgathat olvas/r fejek olvassk, illetve rgztik. Az lland forgs miatt a fejek nem rnek hozz a lemezekhez, hanem a keletkez lgprna miatt pr mikron tvolsgra vannak azok fellettl (ezek a repl fejek). Legtbbszr egy tengelyen tbb lemez is tallhat.

Az egyms alatt elhelyezked svokat egyttesen cilindernek nevezzk (hajlkonylemeznl kett, a merevlemeznl 8-10 vagy tbb),. A lemezeket teljesen zrt doboz vdi a legaprbb szennyezdsektl is. A svokon kvl egy-egy lemezoldal mint egy torta szeletei szektorokra is oszlik. A szektorok logikai felptse kt rszre tagolja azt: a fej- s adatrszre. A fejrsz az azonostshoz szksges informcikat, valamint a szinkronizlshoz szksges jeleket trolja. Az adatrsz magt a troland adatsort, valamint a megbzhatsg nvelse rdekben az n. ellenrz sszeget foglalja magban. A szektor egyes rszeit, valamint a szektorokat res rszek vlasztjk el egymstl.

33

A svok s szektorok metszspontjnl kialakul vekben, a blokkok jelentik a legkisebb tvihet adatmennyisget. A blokkok tipikus mrete: 0,5- 64 KB. A szektorok s blokkok elksztse, ellenrzse szoftver ton, a formzs sorn trtnik. A blokkok cmzshez hrom adatra van szksg: lemezoldal, sv, szektor sorszmok. Egy blokk tvitelhez szksges idt hrom tnyez befolysolja: fejmozgsi id (seek time): kb.10ms, a fej elri a kvnt svot; elfordulsi id (latency time): kb. 10ms, a kivlasztott szektor fej al kerlsnek ideje; adattviteli sebessg (transfer time) a blokk adatainak tvitelhez szksges id; Minden merevlemez egy fizikai partcibl ll, mely mrete azonos a lemez teljes terletvel. Ezt a terletet tbb logikai rszre, partcira lehet osztani. Ktfle logikai partcit klnbztetnk meg: elsdleges s msodlagos partci. Az opercis rendszerek esetn a DOS s a Win95 csak az elsdleges partcirl kpes elindulni, valamint csupn ngy elsdleges partci kezelsre kpesek. A partcikkal kapcsolatos informcikat a merevlemezen el kell trolni, hogy azokat az opercis rendszer kpes legyen felismerni s kezelni. Erre a clra szolgl a merevlemez partcis tblja, mely a lemez legkls svja. A partcis tbla mindig a 0. szm logikai szektor, a 0. svon a 0. fejjel elrhet 1. fizikai sorszm szektor. A merevlemezes egysgeknek tbbfle vltozatt hasznljk, gy pl. a beptett, cserlhet s hordozhat lemezek hasznlatosak. Ezek kapacitsa napjainkra fokozatosan nvekszik (tbb GB), mg a mret cskken. Betlt szektor (boot sector) A lemez partcionlsrl szl informcik a lemez els szektorban (azaz az els lemezfellet els svjnak els szektorban) troldnak. Ez a legels szektor a lemez master boot record-ja (MBR); ezt a szektort olvassa be a BIOS s indtja el tartalmt, amikor a szmtgp elindul. Az MBR egy kis programot tartalmaz, mely beolvassa a partcis tblt, ellenrzi, melyik partci az aktv (azaz bootolhat), s beolvassa annak az els szektort, amit boot szektornak neveznk. Ez a boot szektor egy msik kis programot tartalmaz, mely beolvassa az opercis rendszer els rszeit az adott partcirl (ha boot-olhat), s elindtja azt. A MBR-bl csak egy van minden merevlemezen, mg a Boot Record megtallhat minden egyes partci legelejn. Ha van hrom partcink, akkor van egy MBR s hrom BR. Amennyiben a kettes partci az aktv akkor a MBR a kettes partci BR-t indtja el. Klaszter A logikai lemezkezels alapegysge a tbb szektorbl ll szektorcsoport, a klaszter (angolul cluster). A fjlok a lemezen klaszterekre vannak osztva, gy az opercis rendszer rni s olvasni a merevlemezt csak klaszterenknt tudja. Egy klaszterben tallhat szektoroknak a szma a lemez kapacitstl fgg, de mindig 2-nek valamelyik hatvnya. FAT (File Allocation Table) A lemezek llomnykezelsi tblzata szolgl arra, hogy az opercis rendszer nyilvntartsa, s nyomon kvesse azt, hogy a lemez mely szektorai szabadok, vagy foglaltak. A foglaltsg nyilvntartsa nem szektoronknt trtnik, hanem nagyobb egysgenknt, klaszterenknt (cluster) A FAT a klnbz file rszek pontos helyt lncolt formban trolja: a tblzatnak ugyanannyi eleme van, mint ahny blokk a lemezen s minden rekesz tartalma a file kvetkez blokkjra mutat sorszm, amennyiben van. Ha nincs kvetkez blokk, akkor ennek rtke nulla. A FAT mrete igen nagy lehet, s szerepe dnt. Mivel srn kell hasznlni, ezrt folyamatosan a memriban kell tartani, ami szksebb mint a httrtr. A FAT srlse esetn a kett szakadt fileokat visszalltsa szinte lehetetlen, ezrt a lncolsi mdszert alkalmaz opercis rendszerek (DOS, Windows) a biztonsg kedvrt kt ilyen tblzatot tartanak fenn. Amikor egy program file rst vagy olvasst kri, az opercis rendszer a kontroller segtsgvel a FAT fl mozgatja az r/olvas fejeket. A rendszer innen llaptja meg, hogy a keresett file hol kezddik, illetve adat bers esetn azt, hogy hol tallhat az els res hely a ltrehozand llomny szmra.

34

Hajlkonylemez

Kiskapacits, kzepes elrsi sebessg eszkz, amelynek azonban nagy elnye, hogy a meghajtval ( az r/olvas fejjel) nincs egybeptve, ezrt knnyen cserlhet s szllthat. Szlltsnl vigyzni kell, hogy mgneses mez kzelbe ne kerljn, mert akkor elveszti az informci tartalmt (pl. bekapcsolt mobiltelefon, villamos). Kezdetben az 5,25 collos lemezeket hasznltak, manapsg mr csak elvtve tallkozhatunk ezzel a tpussal. Jelenleg a 3,5 collos lemezek vannak inkbb forgalomban. Megjelent egy j generci is, az LS-120-as elnevezs hajlkony lemez. Klsre egy 3,5 collos lemezhez hasonlt, azonban a meghajt az r/olvas fejet sokkal pontosabban tudja pozcionlni, ezzel cskkent a svok szlessge s a kzttk lv tvolsg, vagyis egy svban tbb adatot lehet trolni. A meghajtja kpes 720 Kbyte-os, illetve 1,44 Mbyte-os lemezek olvassra. Hagyomnyos hajlkonylemezek egyik fajtja mg a Zip-lemez. Trolkapacitsa 25-100 Mbyte kztt van. A Zip-meghajtt prhuzamos portra lehet csatlakoztatni. A trkapacitst s a mreteket a kvektez tblzat foglalja ssze (az els oszlopban a dobozokon olvashat jellsek tallhatk): Magyarul Single Density (SD) High Density (HD) Egyoldalas Double Density (DD) Dupla oldalas 3,5 collos nincs 720 Kbyte 5,25 collos 100-200 Kbyte 360 Kbyte

Magas felbonts 1,44 MByte 1,2 MByte

Laser Servo (LS-120) Lzeres felbonts 120 MByte Nincs A tblzatbl is kiderl, hogy kisebb kapacitsnak is ksznheti elt nst az 5,25 collos (un. nagy lemez). Ezeket a lemezeket rsvdett a kvekezflekppen lehet tenni: 5,25 collos: a nylst kell leragasztani 3,5 collos: a lemez bal als sarkban lv ablakot kell nyitott tenni Mskpp: Az adatok trolsra a vkony manyag hordozra felvitt mgnesezhet rteg szolgl, melyet merev tokban helyeznek el. Az adatok a lemez felletn lev koncentrikus krk, svok (track) mentn helyezkednek el. A svok szektorokra vannak felosztva. A szektorok s svok metszspontjban alakul ki a blokk, a tipikus blokkmret 512 KB. A meghajtba helyezett lemezt a berendezs 360 fordulat/perc fordulatszmmal forgatja, s az olvasfej a lemez fellethez hozzrve rja/olvassa a trolt adatokat. A lemez behelyezsnek pillanatban a hardver rzkeli azt, s egy-kt fordulat segtsgvel felmri annak llapott. A folyamatos forgats csak akkor indul be, ha a felhasznl az adott meghajtt hasznlni kvnja. Emiatt ez a lemezfajta elgg lass (max 500 kbit/s). A lemez tartalma a fellrstl mechanikusan vdhet (eltolhat manyag retesz). Ktfajta mretben kszl, 5,25 , s 3,5 Kapacitsa: max 1.44 Mbjt

35

A merevlemezes egysg teljestmnyjellemzi (elrsi id, adattviteli sebessg)A merevlemez jellemz adatai:

kapacitsa 4-150 Gbjt; forgsi sebessge 5400, 7200, 10 000, 14 000 fordulat percenknt; lemeztmrje 5-30 cm; hozzfrsi ideje (napjainkban) 4-8 msec.

A mgneslemez hozzfrsi ideje (Disk Access Time) alatt egy adatblokk kiolvassnak idejt rtjk. Ez nyilvnvalan csak tlagrtkknt rtelmezhet, mivel ez nagyon fgg az olvasfejnek a kiolvass megkezdse eltti helyzettl, amint a kvetkez kpletbl is kiderl: Hozzfrsi id = Pozicionlsi id tlaga + Forgsi id + Adattviteli id + Vezrlsi id.

36

11. A megszaktsi rendszer (megszaktsok tpusai, a megszakts kiszolglsa,vektortblzat) s alkalmazsai. A megszakts-vezrl feladatai. Megszaktsok A szmtgpek munkjnak sszehangolsban segt a gpek megszaktsi rendszere. Igen gyakran keletkeznek olyan esemnyek amelyek a feldolgozs szempontjbl vratlanok. Ezeket az esemnyeket is kezelni kell, gy, hogy a feldolgozs egszt a legkevsb zavarja. Kivltjuk lehet: - a szoftver - valamilyen futs kzbeni hibval (oszts nullval, tlcsorduls stb.). Ezek szinkron esemnyek, kezelsre a processzor egy kiszolgl rutint indt el - vagy a hardver - pl. valamelyik perifria kezdemnyezi adattvitel lebonyoltsnak idejre. Ezek aszinkron esemnyek. Esemny csoportostsa keletkezs szerint: - szinkron (vrhat) esemnyek - meghatrozhat helyen, idpontokban ugyan ott keletkeznek pl. adatbeolvass, kirs. - aszinkron vrhat esemnyek - program futsa sorn vrhat, de idpontjuk szempontjbl ismeretlen, vratlan esemnyek pl. DMA adattvitel. - aszinkron vratlan esemnyek - idpontjuk ismeretlen. Pldul: ramkimarads, hardverhiba. Az ilyen esemnyek kezelsre szolgl a megszaktsi rendszer. A megszaktsi krelem egy jelzs a processzornak valamely esemny bekvetkeztrl. A megszakts a fut folyamat felfggesztse a megszakts krelem hatsra, annak kirtkelsre, kiszolglsra. A kiszolglsra egy hardverszoftver egyttes szolgl, amely egyttesen vgzi el a szksges tevkenysgeket. Ez a megszakts krelem kiszolglsa. Maszkols Egyes eszkzk esetben a megszakts lehetsge engedlyezhet vagy tilthat. Az engedlyezs vagy tilts egy regiszter bitjeinek a belltsval trtnik. Ezt nevezzk maszkolsnak. Vannak maszkolhat (kiszolglsuk letilthat) s nem maszkolhat (nem tilthat le, ezek mindig rvnyre jutnak) megszaktsok. (NMI - Non Maskable Interrupt - nem maszkolhat megszakts) Kt forrsa lehet a megszaktsi krelemnek: - szoftver: a megszakts krelmek programbl lettek kezdemnyezve, ezek nem maszkolhatak - hardver: a megszakts krelmek tbbsge maszkolhat, de vannak nem maszkolhatak is, pldul valamilyen slyos hardverhiba esetn A megszaktsok kiszolglsnl tbb krds van, amit meg kell oldani: keletkezsi hely megllaptsa, melyik eszkz kezdemnyezte megszakts lehetsgek szablyozsa, megszaktsok maszkolsok tbb egyidben trtn megszaktsi krelem prioritsnak a szablyozsa a tbbszrs megszakts-kiszolgls megoldsa, az idkzben rkez jabb krelmek kezelse A megszaktsi krelem keletkezsi helynek megllaptsra kt f rendszer alkalmazhat: -Szoftver mdszerek: egy rutin sorra megvizsglja a megszakts krelem szempontjbl szba jhet eszkzk llapotjelzjt, s ahol szksg, ott elindtja a megfelel kiszolgl programot. Ezt nevezik lekrdezses megszaktsnak. polling -Hardver mdszerek: egy megszakts-vezrl szablyozza - programmal vagy anlkl- a berkez krelmek kiszolglst.

37

A mikroszmtgpek megszakts rendszerei, vezrli egy vagy tbb megszakts vezetkkel rendelkeznek. Egy megszakts vonal esetn a keletkezsi hely meghatrozsa trtnhet szoftver ton, lekrdezses mdszerrel. A hardver ton trtn meghatrozs sorosan trtnik. Tbb megszakts vonal esetn minden eszkz sajt megszaktst kr vezetkkel rendelkezik, ezzel a krelem helye egyrtelmen megllapthat. Vektoros mdszer alkalmazsa a legltalnosabban hasznlt forma. A megszaktst kr eszkz a kiszolgl rutin kezdcmt hatrozza meg az albbi mdok valamelyikvel: -a megszaktst kr eszkz egy sorszmot ad t a processzornak, amely a kiszolgl rutinok kezdcmeit tartalmaz tblzatban kijelli a megfelel kiszolgl rutin kezdcmt. Ez a mdszer a vektoros megszakts kiszolgls a legelterjedtebb mdszer. A rutinok kezdcmeit tartalmaz tblzatot megszaktsi vektortblnak nevezzk. A megszaktsi vektortbla a memriban a 00000h - s cmen kezdden van trolva, teht a memria kezdetn. - ugyanaz az eljrs mint az elz esetben, annyi klnbsggel, hogy a vektortblt a processzor trolja. Ez az autovektoros eljrs. A megszaktsi rendszer lehet egyszint vagy tbbszint: -Az egyszint megszakts rendszerben nincs lehetsg a kiszolgl rutin megszaktsra egy jabb megszakts ltal, -tbbszint megszakts rendszerekben a megszaktst kiszolgl rutin is megszakthat, de csak bizonyos szablyok betartsval: - A kiszolgl rutin a vele egyez, vagy nla alacsonyabb priorits krelmeket letiltja - A kiszolgl rutin a folyamat kezdetekor ideiglenesen alacsonyabb prioritsi szintre sorolja magt - A kiszolgl rutin ideiglenesen j prioritsokat rendel az egyes eszkzkhz, s gy a kiszolgls alatt ms prioritsi rend rvnyesl Az INTEL processzorok alaphelyzetben ktszint megszaktsi rendszerrel rendelkeznek: egy maszkolhat (INT) s nem maszkolhat (NMI) megszaktsi vonallal. Mivel ez a kt megszaktsi lehetsg a gyakorlati felhasznlsban nem elegend, ezrt kln megszakts-vezrlt hasznlnak amely 8 (illetve 2 darab vezrl esetn 16) megszaktsi krelmet tud feldolgozni. A megszakts bemenetek sorrendje egyttal prioritsi sorrendet is jelent. A processzorok vals zemmdban a vektortbla, mg vdett zemmdban a megszaktsi rutinok deszkriptorait tartalmaz megszaktsi deszkriptor tbla (IDT) alapjn dolgozzk fel a megszaktsi krelmet. A megszaktsok tpusai priorits szerint: NMI nem maszkolhat megszakts: nem tilthat le, kritikus esemnyt jelez - kivtel IRQ interrupt request: letilthat hardver megszakts, kls eszkzk kezdemnyezik (8-16 db) INT szoftver megszakts: a programban kiadott INT sorszm utastssal a megszaktst kiszolgl rutinra ugorhatunk (amit a hardver egysg hv IRQ-val) (256 db)

38

12. Az I/O adattvitel tpusai. A kzvetlen memria-hozzfrs (DMA) lnyege svgrehajtsa. A DMA-vezrl regiszterei s mkdse.

Az I/O adattvitel tpusaiAz tvitel fizikailag trtnhet bitenknt, ilyenkor soros adattvitelrl (szimplex,flduplex, full-duplex) beszlnk, ill. egyszerre tbb vezetken tbb bit tovbbtsval, ezt nevezzk prhuzamos adattvitelnek. Tovbb az tvitel trtnhet: szinkron mdon, rajellel temezve, aszinkron mdon pl. handshake-eljrssal.

Prhuzamos adattvitel (PIO Paralel Input Output)A prhuzamos adattvitelnek elnye, hogy gyorsabb a sorosnl, de mivel drgbb, jobbra csak a szmtgp kzelben elhelyezhet perifrik csatlakoztatsra hasznlatos (printer) illetve kszlkek belsejben elhelyezked rszegysgek, lemezmeghajtk sszekapcsolsra hasznljk. Termszetesen kln vezetk(ek) (ahny bit annyi vezetk)szksgesek a AD-VEV szinkronizmus megvalstsra is. Ilyen megoldssal mkdnek a szmtgpek adat-, vezrl- s cmbuszai, vagy perifrik esetn a nyomtat, szkenner.4-6 m A mikroszmtgpes rendszerekben a legnagyobb szmban a prhuzamos adattvitel lehet - megszaktsos, - kzvetlen trol hozzfrses (DMA) - valamint a programozott I/O tvitel (nagygpes rendszerek esetben nll I/O processzor vezrli)

Soros adattvitel (SIO Serial Input Output)Soros tvitel esetn az informcis biteket egyenknt, sorban egyms utn visszk t. Ezrt egy kdolt bitcsoport tviteli ideje a prhuzamos tvitelhez kpest megn, de szmos elnyt rejt ez a kialakts: szls esetben elegend egy vezetkpr az sszekttets fizikai megvalstshoz, ami jelents kltsgcskkent tnyez. Az informci tvitel sebessge lassabb, de ha nveljk az adattvitel sebessgt (napjainkban folyamatosan ez trtnik) akkor ez a lasssg nem igazn korltoz tnyez. Manapsg egyre nagyobb sebessg soros adattvitel megvalstsra nylt lehetsg (USB 11Mb/s, USB 2.0 450Mb/s , IEEE 1394 port)). A soros adattvitelt alkalmazzk tvadat-feldolgozs sorn a szg. hlzatokban. 50-100 m Mivel a szmtgpen bell az adattovbbts prhuzamos formban trtnik, ezrt a soros adattvitelhez elbb szksg van egy prhuzamos-soros, illetve ilyen jelek fogadsakor, egy visszaalakt soros-prhuzamos talaktsra. A nagyobb tvolsgra trtn adattovbbtshoz, a telefonvonalakat lehet ignybe venni, amelyek hasznlathoz a jeleket r kell ltetni egy hangfrekvencis hordozjelre. Az erre a clra szolgl eszkz a modem. A kt, modemen keresztl sszekttt, berendezs egymssal flduplex, illetve duplex zemmdban tud kapcsolatot tartani. A flduplex zemmdnl az adattovbbts mindkt irnyban lehetsges, de felvltva (advev pl.). A teljes duplex lehetsges, de egyidben mindkt irnyban lehet adatokat tovbbtani. A soros adattvitel lehet aszinkron s szinkron temezs. Szinkron tvitel: Szinkron adattvitelnl, az egymst kvet jelek temezetten, rajellel vezrelve, szinkronizltan kvetik egymst. Az adatok tvitele blokkos formban trtnik, amelyet kiegsztenek

39

mg szinkronizl bitekkel is. Az egyes adatokhoz tartoz jelek csak egy meghatrozott alapidtartam egsz szm tbbszrsei lehetnek (rajel miatt). Aszinkron tvitel: Az aszinkron temezs adattvitelnl, a karakterek temezs nlkl kvetik egymst, az tvitt bitek mennyisge vltoz is lehet. A start/stop bitek miatt a jelsorozat elgg redundns, teht informcitartalom szempontjbl felesleges jeleket is tartalmaz, ugyanakkor a vev oldalon nincs szinkronizlva a vtel s emiatt nagyobb sebessg tvitel nem biztonsgos. Az aszinkron tvitelnl nem szksgkppen van folyamatos kapcsolat az ad s a vev kztt, ezek szinkronban csak az adattvitel ideje alatt vannak. 110, 300, 1200, 2400, 9600, 19200 (bit/s sszehasonltva: A szinkron tvitelnl a redundancia alacsonyabb, de a kapcsold hardver bonyolultabb. Az alkalmazhat sebessg magasabb, mint az aszinkron tviteleknl.

A kzvetlen memria-hozzfrs (DMA) lnyege s vgrehajtsaAz n. DMA vezrl segtsgvel a processzort kikerlve, kzvetlen adattvitel lehetsges a memria s a perifria kztt. Ezt nevezik kzvetlen memria hozzfrsnek Ennek egyrszt az az elnye, hogy mivel az adattvitelt egy specilisan erre a clra kialaktott hardver vgzi, az tbbnyire gyorsabb, mintha a CPU vgezn (nem kell kzben a memribl utastsokat olvasni s nem kell az adatot a CPU-n keresztl ramoltatni. Msrszt, a DMA-s kezels tehermentesti a processzort (egyszerbb lesz program) A DMA-s kezelst leginkbb nagy sebessg, blokkos adattvitelt ignyl perifriknl alkalmazzk (floppy disk, winchester).DMA-s perifria kezels DMC Programozott adattvitel adat MEMRIA adat PERIFRIA MEMRIA CPU adat PERIFRIA

a.)

b.)

Az adat tja DMA-s s programozott kezels esetn Az tvitel lebonyoltst az n. DMA vezrl (DMC, DMA Controller) vgzi. Ez egy specilis perifria, amely felprogramozsa utn busz master funkcit kpes elltni (tvenni a CPU-tl). A DMA vezrl fknt a perifria s a memria kztti tvitel CPU-nl gyorsabb elvgzsre ksztett specilis hardver elem.

A DMA-vezrl regiszterei s mkdse Cmregiszter: mindig az tvitelben szerepl memriarekesz cmt tartalmazza, rtke az tvitel sorn automatikusan n. Szmll regiszter: az tvitel elejn az tviend szavak szmt tartalmazza, rtke az vitel sorn automatikusan cskken. llapotregiszter (parancsregiszter): tartalma az tvitel mdjt s irnyt hatrozza meg.

40

13. A sn (busz) feladata, logikai felptse, tpusai. Snvezrls (szinkron, aszinkron).Master s slave eszkzk. Buszarbitrci (soros s prhuzamos snfoglals). A mikroszmtgp snrendszere (buszrendszere) egy tbb tucat vezetkbl ll vezetkrendszer, amelyen az adatok, vezrljelek, eszkzcmek meghatrozott mdon vihetk t. A snrendszer szablyozott mdon kti ssze a szmtgp klnbz rszeit. Mivel minden eszkz ugyanarra a snrendszerre kapcsoldik, az tvitel ltrehozsakor: - meg kell oldani az adattvitelben rsztvev eszkzk kijellst, - meg kell hatrozni az adattvitel irnyt, - meg kell oldani a kapcsolatban rsztvev eszkzk mkdsnek sszehangolst. A snrendszer hasznlatnak elnye, hogy a szabvnyostott jelhasznlat s vezetkkioszts miatt knnyen cserlhetk a csatlakoztatott eszkzk, illetve azok vezrl krtyi s gy gyrttl, gptl fggetlenn vlik azok hasznlata. A snrendszer elhelyezkedse szerint kt nagy csoportba oszthat: Bels snrendszer: a processzoron belli egysgek sszektsre szolgl, rajele megegyezik a CPU rajelvel Kls snrendszer: a processzor s az azon kvl lv egysgek sszektsre szolgl Bels snrendszer Kialaktst az elrni kvnt teljestmny szabja meg. Nagyobb teljestmny processzorok esetben, az tvitel gyorstsa rdekben, 3-sines rendszer kialaktsa a clszer, amelynl a cmsn mellett, kln adatsn van rsra s olvassra. Ezzel a kzel egyidej rs s olvass megoldhat. Egyszerbb megoldst ad a 2-sines (adat s cmsn) rendszer, amely ltalnosan elterjedt megolds a processzorok krben. A kzs adat s cmsn hasznlata csak a nagyon egyszer, clfeladatokra hasznlt processzoroknl alkalmazott. Kls snrendszer 1. sszekapcsolt terletek alapjn lehet: - helyi sn (local bus), amely a processzorhoz kzvetlenl kapcsold rszt jelenti, teht a processzor hajtja meg. Erre kapcsoldnak azok az eszkzk (pl. memria, grafikus krtya), amelyek esetben a gyorsasg lnyeges; - rendszersn (system bus), amely a processzort kti ssze egy snmeghajt kzbeiktatsval a gp egyb rszeivel, elssorban az I/O eszkzkkel; - memriasn (memory bus), amely nem minden esetben kpez nll rszt, de nagyobb rendszernl clszer levlasztani a rendszersnrl a memria terlett. 2. A snrendszer felptse szerint (tartalmilag) hrom rszre oszthat: - cmsn, amely az eszkzk cmzst szolglja, azok cmt tovbbtja rajta a processzor, szlessge 32 (esetleg 64) bitnek megfelelen ugyanennyi vezetk; - adatsn, amelyen keresztl a tovbbtand adatot kldi, vagy fogadja a processzor. Az adatsn szlessge tbbnyire 32 (vagy 64) bit, illetve ugyanennyi vezetk; - vezrlsn, amelynek vezetkeit a processzor a vezrljelek kikldsre, vagy azok fogadsra hasznlja fel. A vezrljelek szma vltoz, ltalban 10-15 krl van minimlisan. - elvlaszt snvezrl: A snrendszerhez szorosan hozztartozik a helyi snt a rendszersntl elvlaszt snvezrl (snmeghajt) egysg (bus interface), amely szablyozza a snfoglalsokat. Snvezrls (buszvezrls) mdjai: - szinkron temezs buszok, amelyek sajt rajellel rendelkeznek s ezek temei szabjk meg a buszon zajl folyamatok, mveletek idbeli lefutst;

41

- aszinkron temezs buszok, amelyek sajt rajellel nem rendelkeznek s a folyamatok s mveletek lefutst, az egymst kvet elemi lpsek befejezse szablyozza.

Master s slave eszkzkSnhasznlat (buszhasznlat): A snt egyidben csak egy eszkzpr hasznlhatja. A busz hasznlatt valamelyik eszkz kezdemnyezi, amelyet aktv eszkznek (master) neveznek, szemben a kapcsolatban rsztvev msik, passzv eszkzzel (slave), amely csak fogadja s vgrehajtja az aktv eszkztl szrmaz vezrlseket. A mikroszmtgpeknl a busz irnytst megszerz eszkz: - a processzor, vagy - valamelyik DMA-t alkalmaz I/O eszkz lehet. Minden busztevkenysghez meghatrozott idtartam, temszm szksges. Azt az idtartamot, amely egy adattviteli folyamat lefutshoz - egy kvetkez tevkenysg megindtsi lehetsgig kell, buszciklusnak (bus cycle) nevezzk

Buszarbitci: Snfoglals (buszfoglals - bus arbitration): Az adattvitelek lebonyoltshozegyidben tbb aktv eszkz (master) is ignyelheti a busz hasznlatt. Ilyenkor valamilyen eljrssal el kell dnteni, hogy melyik eszkz kapja meg elszr a buszhasznlat jogt. A buszhasznlat jognak eldntsre szolgl folyamatot nevezik buszfoglalsnak, busz arbitrcinak (bus arbitration). A snfoglals irnti ignyek kiszolglsa kt mdon trtnhet: -prhuzamos kiszolglsi md (prioritsos): alkalmazsakor minden eszkz nll buszkr s buszengedlyez vezetkkel rendelkezik. A berkez ignyeket a vezrl logika sorolja, dekdolja s a legmagasabb priorits eszkz szmra engedlyezi a busz hasznlatt. -soros kiszolglsi md (lnc): alkalmazsakor az eszkzk sorba vannak ktve s a lnc mentn az elhelyezkedsk szabja meg, hogy mikor kaphatjk meg a sn hasznlatt. Amelyik eszkz a legkzelebb van a vezrlhz, annak a prioritsa a legmagasabb. Mindkt esetben a jogosultsg megllaptsa trtnhet: - centralizlt mdon, amely esetben egy kzponti prioritsvezrl logika szabja meg a hozzfrs sorrendjt - decentralizlt mdon, amely esetben a priorizl logika elosztott formban valsul meg, az egyes eszkzk vezrli ltal

42

14. Az I/O eszkzvezrlk, interfszek feladata, regiszterei, cmzse. Soros s prhuzamos port s adattvitel. Az ad s vev szinkronizlsa.

Az I/O eszkzvezrlk, interfszek feladata, regiszterei, cmzseAz I/O eszkzk s a processzor kapcsolatt az eszkzvezrlkben tallhat regiszterek biztostjk. Minden egyes eszkzvezrl funkcionlisan legalbb a kvetkez tpus tmeneti trolkat tartalmazza: parancs (command) regiszter, mely az eszkzvezrl ltal vgrehajtand mveletekhez szksges informcikat trolja. llapot (status) regiszter, melyben az eszkzvezrl az I/O eszkz aktulis llapotra vonatkoz informcikat trolja (pl. egy merevlemezre egy blokk kirsa megkezddtt, vagy a nyomtatbl kifogyott a papr) az adatkirs illetve beolvass pufferregiszterei, melyek a folyamatban lv I/O mveletek adatait troljk. A processzor az eszkzvezrlket alapveten kt mdon irnytja (cmezheti): kzvetlen I/O utastsokkal (mitn az llapotregiszter lekrdezsvel megllaptotta, hogy az eszkz az utasts vgrehajtsra kpes llapotban van), a parancsregiszter belltsval s a pufferregiszterek rsval vagy olvassval. kzvetett mdon, amikor a cmzs gy trtnik, mintha az I/O eszkz trolja a ftr rsze lenne (memory mapped addressing, ilyen pl. a grafikus memria).

Interfsz: Az interfsz kt funkcionlis egysg sszekapcsolhatsgt s egyttmkdst biztostelrsok sszessge. A szmtgp s a perifrik kzti informcitvitel cljbl biztostani kell az egyes egysgek illesztst s a rendszer sszehangolt mkdst. Ezrt a szmtgp a hardver eszkzkhz vezrl s illeszt (interfsz) ramkrkn keresztl csatlakozik. A port (illeszt) A port olyan interface, mely a perifrilis eszkzkkel tartja a kapcsolatot, biztostja a szabvnyos csatlakozst a CPU s a perifrilis egysgek kztt a rendszersn kzbeiktatsval. Az adattvitel ktfle lehet: soros s prhuzamos.

Soros port mkdse: A soros (serial) port az egyik legrgebbi, ltalnos cl kommunikcisport. Az interfszt az RS-232C szabvny jelli, tipikusan az egr s a modem csatlakozik r. Az adatbitek a vezetken egyms utn kerlnek tkldsre. Egy vezetken egyirny tvitel valsthat meg, kb. 30-100 m-ig hasznlhat. A PC 4 db soros illesztt tmogat (COM 1-4). Az adattvitel szinkron vagy aszinkron mdon trtnhet. Szinkron tvitel: Szinkron adattvitelnl, az egymst kvet jelek temezetten, rajellel vezrelve, szinkronizltan kvetik egymst. Az adatok tvitele blokkos formban trtnik, amelyet kiegsztenek mg szinkronizl bitekkel is. Aszinkron tvitel: Az aszinkron temezs adattvitelnl, a karakterek temezs nlkl kvetik egymst, az tvitt bitek mennyisge vltoz is lehet. A start/stop bitekkel eltott jelsorozatot adatkeretnek nevezzk. Elgg redundns, teht informcitartalom szempontjbl felesleges jeleket is tartalmaz Az USB (Universal Serial Bus) egy jonnan kifejlesztett nagy sebessg csatlakozsi port, melyet a soros s prhuzamos portok kivltsra szntak. Egy USB soros csatlakozsi rendszer porton keresztl maximum 127 kls perifria csatlakoztathat. Napjainkban a nyomtatk s szkennerek tbbsge rendelkezik ilyen csatlakoztatsi lehetsggel is. Az USB szabvny tovbbfejlesztseknt megjelent a nagyobb tviteli sebessget biztost USB 2.0. csatlakoztatsa Mivel az USB tpramot is szolgltat a

43

snkbelen keresztl, a kis teljestmny (100 mA alatti) berendezsekhez nem kell tpkbel s hlzati adapter. Napjainkban az IEEE 1394 szabvny soros kommunikcis port - melynek legismertebb vltozata az Apple FireWire mrkanev termke - az egyik legnagyobb adattviteli sebessget biztost eszkz. Egy IEEE 1394 portra maximum 63 kls eszkz csatlakozhat. Egyik jellemz alkalmazsi terlete a multimdis eszkzk, pldul digitlis videokamerk szmtgphez trtn

Prhuzamos port mkdse: A prhuzamos interfsz prhuzamos mkds. ltalban anyomtat csatlakoztatst oldja meg, br lehet akr scanner is a csatlakoz eszkz, esetleg kt PC kzvetlen kapcsolatt valsthatjuk meg. (a vezetk nem haladhatja meg az 5 mtert) Az adattvitel hromfle lehet: Csak elre irny Csak vissza irny ktirny A PC 4 prhuzamos port ltt tmogatja (LPT 1-4). Az LPT 1 port neve PRN. SPP (SErial Parallel Port) szabvnyos prhuzamos port, ltalban egy irny EPP (Enhanced Parallel Port) bvtett prhuzamos port ECP (Extended Capability Port) kiterjesztett kpessg port (DMA hasznlatra van szksg)

Az ad s vev szinkronizlsaAz tvitt bitsorozatot hasznljuk fel az ad s vev rajelnek sszehangolshoz, szinkronba hozshoz. Ez azt jelenti, hogy elrunk egy specilis bitsorozatot , amit szinkronizl jelnek neveznk, aminek feladata az ad s vev mkdsnek szinkronizlsa, az rajelkpzs idbeli sszehangolsa. Szablyrendszer: a szinkronizl bitmintnak mindig meg kell elznie az rdemi adatbiteket. Mskpp: Annak a pillanatnak a megjellsre, amelyikben a vevkszlknek rtelmeznie kell az adatot, rajelet hasznlunk. Az rajel le-, vagy felfut lt hasznlhatjuk az idpont jelzsre. Egy soros adatot az ad egysgnek azeltt kell ltrehoznia, mieltt a vevnek rtelmeznie kell. Ez az oka annak, hogy az adoldali, s a vevoldali rajelfrekvencia azonos, viszont fzisban klnbz, mivel az adatjel llapotvltozshoz idre van szksg. Soros adattovbbts lehetsges rajel nlkl is, viszont ekkor gondoskodni kell szinkron vagy aszinkron mdon az adatblokkok kezdetnek a jelzsrl.

44

15. Monitorok tpusai, paramterei, mkdsi elve. A monitorvezrl krtya feladata,felptse, jellemzi (felbonts, sznmlysg, kpmemria mrete) s mkdse. Monitor fajtk: A ma hasznlatos monitorok alapveten ktfle kategriba sorolhatak: CRT (Cathod Raz Tube - katdsugrcs): hagyomnyos monitor, mkdsben a TV-re hasonlt. A kperny tartalmt egy elektronsugr rajzolja fel a fnykibocst rteggel bevont felletre, a kperny bal fels sarktl kezdden, jobbra s lefel haladva, prhuzamos svokra bontott rszekben. Egy teljes kperny tartalom kirajzolsa 1/50 sec-ig tart. A legfontosabb egysgk a katdsugrcs, melynek elektrongyi rajzolja a kpet. A kperny egy elemi monitorpontjt vrs-zld-kk foszforpontok alkotjk LCD (liquid crystal display), TFT (Thin FilmTransistor): mkdsi elvk lnyege, hogy az gynevezett folyadkkristlyok feszltsg hatsra megvltoztatjk kristlyszerkezetket. Mivel a folyadkkristlyokat kls elektromos trrel lehet gy vezrelni, hogy kvnsgra tetszleges mrtkben elforduljanak, gy eljk polariztort, mgjk fnyforrst helyezve az tbocstott fnymennyisget szablyozni tudjuk. Mindezek utn mr csak az kell, hogy elhelyezznk nhny neoncsvet a kperny mgtt, amelyeket lehetsg szerint nagy frekvencival (relatve nagy, 1000 Hz krli) tpllunk, hogy a villogs ne zavarja a szemet. Kell mg egy piros, zld illetve kk sznszr, erre egy vezrelhet folyadkkristlyos rteg, majd egy polariztor, s ksz is a TFT kijelznk. Mivel az LCD technolginl nincs katdsugr, gy a kp nem is tud vibrlni, mg kisebb frisstsi idnl sem. A legkevsb ismert tpus a gzplazms monitor, amelyben a gzok a bennk lv mozg elektronok hatsra fnyt bocstanak ki. Az ilyen kijelzkben ionizlt neon- vagy argongzt zrnak kt olyan veglap kz, melyekbe vzszintesen s fgglegesen vezetkek vannak begyazva. Ezen vezetkek metszspontjai hatrozzk meg a fnyt kibocst kppontokat. Fontos, hogy a CRT-k pixelein vltoz intenzitssal lehet kpet megjelenteni. Teht ha nem egyezik a kperny s a megjelentend kp felbontsa, az nem olyan szembetn. A TFT-k esetn egy pixel vagy ki van jelezve, vagy nem, gy ha a felbonts nem egyezik, rosszabb minsg kpet kapunk.. A kperny vgigpsztzsban ktfle eljrst alkalmaznak Folytonos egymst kvet soronknti psztzs (non-interlacing), amely finomabb felbontst, de gyakoribb kpfelfrisstst eredmnyez. A korszerbb monitorok ezt a megoldst alkalmazzk, mivel a msik esetn villodz eredmnyt kaphatunk. Vltott soros elszr a kp pratlan soronknt kerl kirajzolsra, majd a sugr visszafut a kp elejre, s a pros sorok kvetkeznek. Finomabb felbontst, de alacsonyabb frisstsi frekvencit eredmnyez. A vltott soros psztzsnl flkpenknt 1/50 sec szksges, gy a teljes kp kirajzolsa 1/25 sec alatt trtnik. Pixel: (kppont) a kperny legkisebb olyan egysge, amelyet a szmtgp kezelni tud. Felbontkpessg: A kpernyt egyfajta mtrixnak foghatjuk fel, melynek minden egyes eleme egy pixel, amelyek rendszerezetten sorokban s oszlopokban helyezkednek el. A monitor felbontkpessge a kpernyn fggleges, s vzszintes irnyban megjelenthet kppontok, raszterpontok szmt hatrozza meg. Kpfrissts azt jelenti, hogy az elektronsugarak msodpercenknt hnyszor psztzzk vgig a teljes kpernyt. 85 Hz-nl kevesebb kpfrisstsi frekvencia lthatan vibrl kpet eredmnyez. Az egy kpsor megrajzolsnak sebessgt sorfrekvencinak (horizontal frequency) nevezzk; mrtkegysge a kHz.

45

Sznmlysg: Az egyes kppontok mindegyike hordoz a kppont sznvel kapcsolatos informcit, amely mennyisge a szmtgp grafikus krtyjtl fggen vltozik. A kppont sznvel kapcsolatos informci mennyisge 1,2,4,8,16,24, vagy 32 bit mennyisg lehet. Az egy kpponton megjelenthet sznek szmt nevezzk sznmlysgnek. A sznmlysg fgg a PC videokrtytl, hiszen a grafikus krtya az ltala hasznlt memria terletn trolja a kppontok sznvel kapcsolatos informcikat. Minl nagyobb a sznmlysg, annl nagyobb memria szksges a kppontok szninformciinak trolsra. Jelenleg elterjedt sznmlysgek: 8 bit 256 szn, 16 bit 65536 szn (High Color), 24 bit 16 777 216 szn (True Color, 3 szn 3 bjt) A monitorok mrete A kppontok kztti tvolsg a megjelentett kp pontjai kztti tvolsgot jelenti. Ezt az rtket a gyrtk a monitor adatlapjn mm-ben meg szoktk adni. Minl kisebb az rtk, annl jobb a felbonts, tisztbb kpe van a monitornak. A mai monitoroknl 0,2 0,28 mm. Egy msik fontos adat a beszerelt kpcs tljnak mrete. Itt kt rtkrl is sz van, a valdi fizikai mretrl, illetve a valsgban lthat mretrl. A gyrtk ltal megadott mrtk mindig a kpcs fizikai tljt adja meg, nem pedig a megjelenthet kpt. Ennek oka, hogy a kpcsvet a manyag tartba kell valamilyen mdon rgzteni, ez pedig a kpmret cskkenst vonja maga utn. Kpvlts: Ahhoz, hogy az emberi szem folyamatos mozgsnak rzkelje a kpet, msodpercenknt legalbb 25 kpvltsnak kell lennie. Mrtkegysge a frame/sec, Ha ennl kevesebbszer rajzoldik fel a kp, akkor szaggatottnak rezzk. Ez nem ugyanaz, mint a kpfrisstsi frekvencia. Monitorvezrl krtyk A monitorok a lehet legkevesebb ramkrt tartalmazzk a knny bvthetsg rdekben. A monitorok meghajtshoz szksges tovbbi ramkrk egy krtyn tallhatk meg, a monitorvezrl krtyn. A videokrtya hrom f rszbl ll: a videochip alkotja azokat a jeleket, melyek a monitoron kpek formjban megjelennek valamilyen fajta RAM, amely azrt szksges, hogy a krtya a teljes kpre emlkezzen minden pillanatban, ne csak annak egy rszre. A videokrtykban ltalban 1, 2 ,4, 8 vagy 256 vagy tbb Mbyte RAM van. Alapveten RAM mennyisgtl fgg, hogy milyen felbonts s sznmlysg kpet lthatunk. egy digitl/analg konverter, mely a digitlis jelekbl llt el analg jeleket. A digitl/analg konverterre azrt van szksg mert a katdsugrcsves monitorok hagyomnyos analg jelekkel dolgoznak. A videokrtya mkdse gy leginkbb a processzorra emlkeztet azzal a klnbsggel, hogy a videokrtya csak a kpellltst vgzi. Manapsg a videokrtyk a sokkal nagyobb adattvitelre kpes PCI-buszokra csatlakoznak, vagy a mg annl is gyorsabb - kizrlag a videokrtyk szmra kifejlesztett - AGP buszokhoz. A videokrtykba manapsg egyre inkbb integrlva vannak gyorstkrtyk, de sokszor ms hasznos funkcival is rendelkeznek: pldul televzi-csatornk vtelre s megjelentsre is alkalmasak. Gyorstkrtyk: A grafikus rendszerek terjedsvel a videokrtyk sebessge nem volt megfelel. Az ismtld feladatokat (ablak rajzolsa, kitlts sznnel, vonal hzsa, stb.)hardveresen kellett gyorstani. A gyorstkrtya tveszi a CPU-tl a kpalkotssal kapcsolatos mveleteket. A modern gyorstkrtyk egyre tbb mveletet tudnak vgezni, melyhez kln memria ll rendelkezskre. 2D-s mveletek pl. ablak eltols, vonalhzs, kr rajzols, terlet kitlts, mely sorn csak a kiindul koordintkat kell tadni a gyorstkrtynak. A videokrtyknak sajt memrijuk van a krtyra integrlva, melynek mrete akr 128MB is lehet. ltalban specilis VRAM-ot (Video RAM) hazsnlnak, mely egy idben tud adatot olvasni s rni, mivel 2 csatornja van. Manapsg mr nagyteljestmny processzorok is kerlnek a videokrtykra,

46

melyek fknt a 3D megjelentsnl a vektorgrafikus adatok raszteres adatokk val konvertlsra szolgl. Mivel ezeket a szmtsokat nem a kzponti processzornak kell elvgeznie, hanem az erre specializldott videoprocesszornak, a megjelentett kp gyorsabb s szebb is. A mozgkp megjelents mrtkegysge a frame/sec (frame per sec, FPS), azaz a megjelenthet teljes kpek msodpercenknt. Vannak specilis videokrtyk, melyek a filmek lejtszsra szakosodtak (pl. MPEG2 dekdol krtya, TV-Tuner krtya).