for Abundant-DataComputing

32
21 st Century NanoSystems for Abundant-Data Computing Stanford University The N3XT 1,000 × Subhasish Mitra Nanotechnology Frontiers at 20 years of NNI Proceedings, December 1, 2020, www.nseresearch.org/2020/

Transcript of for Abundant-DataComputing

Page 1: for Abundant-DataComputing

21st Century NanoSystems for Abundant-Data Computing

Stanford University

The N3XT 1,000×

Subhasish Mitra

Nanotechnology Frontiers at 20 years of NNIProceedings, December 1, 2020, www.nseresearch.org/2020/

Page 2: for Abundant-DataComputing

Thanks: Students, Sponsors, Collaborators

2

Page 3: for Abundant-DataComputing

World Relies on Computing

3

Abundant data10010101010101010101010101100101001010101010100110101010101010011101001100010101010101100101000111001010010101010110001011101010101010101001101001010101010101010110101001100101011001010101010110100101101010101010100111110011111011101001001011101010110101011010

Page 4: for Abundant-DataComputing

Edge to Cloud10010101010101010101010101100101001010101010100110101010101010011101001100010101010101100101000111001010010101010110001011101010101010101001101001010101010101010110101001100101011001010101010110100101101010101010100111110011111011101001001011101010110101011010

World Relies on Computing

4

Page 5: for Abundant-DataComputing

Global Grand Challenges100101010101010101010101011001010010101010101001101010101010100111010011000101010101011001010001110010100101010101100010111010101010101010011010010101010101010101101010011001010110

Military ScienceHea1lt0h1C0a1r0e10101010010101010101101G0o0v1e0r1nment

Genomics

Smart Cities

Security

Finance

World Relies on Computing

011111010010011010

0011111011101011101010110101

5

Page 6: for Abundant-DataComputing

100111010011000101010101011001010001110010100101010101100010111010101010101010011010010101010101010101101010011001010110

Military ScienceHea1lt0h1C0a1r0e10101010010101010101101G0o0v1e0r1nment

AEbdugnedtoanCtldoautda100101010101010101010101011001010010101010101001101010101010

Genomics

Smart Cities

Security

FinanceSTOP

011111010010011010

0011111011101011101010110101

6

World Relies on Computing

Page 7: for Abundant-DataComputing

7US National Academy of Sciences (2011)

Page 8: for Abundant-DataComputing

Energy × Execution time

Des

ign

8Device

Improve Computing Performance

Page 9: for Abundant-DataComputing

Abundant-Data Applications

Compute Memory

5%

95%

Memory Wall

Processors, accelerators

Brain-inspired ⊃ Neural Nets

Chip realization ?

•Compute + memory

9

•Dense connectivity

•Energy efficiency

Page 10: for Abundant-DataComputing

Many Walls Simultaneously

Also: interconnect wall, resilience wall, cooling wall …

21.4

10.7

0.5

53

Page 11: for Abundant-DataComputing

Des

ign

Device11

Few experimental demos

Device ≠ system

Option 1: Better Devices

Page 12: for Abundant-DataComputing

Des

ign

Multi-core

Few “tricks”

Design complexity

Power / thermal

Device12

Option 2: Design Tricks

Page 13: for Abundant-DataComputing

Des

ign

Multi-core

Power, thermal

Improve Computing Performance

Device13

Target: 1,000× performance

New innovations required

Page 14: for Abundant-DataComputing

NanoSystemsNew nanotech

DevicesNew systems

New applicationsNew

architecturesFabrication

a

Sensors

14

Page 15: for Abundant-DataComputing

Computing Today

Compute

Memory

15

Page 16: for Abundant-DataComputing

Dense memoryEfficient logic

Impossible with business as usual

Computation immersed in memoryIncreased

functionalityUltra-dense 3D

N3XT NanoSystems

16

Page 17: for Abundant-DataComputing

Nano-Engineered Computing Systems Technology

17

Page 18: for Abundant-DataComputing

LMC Density Metric

Logic

[DL, DM, DC]

Memory

Connections

[Wong, Proc. IEEE 20] TSMC + MIT + Stanford + UCBerkeley 18

Page 19: for Abundant-DataComputing

Which Technologies for N3XT ?Many Experts, Many Opinions

19

Page 20: for Abundant-DataComputing

Which Technologies for N3XT ?

Common Answer

My Technology

20

Page 21: for Abundant-DataComputing

MRAM (quick access)

3D Resistive RAM (massive) No TSV

thermal

thermal

thermal

1D CNFET, 2D FET (logic)

Ultra-dense, fine-grained

vias

Silicon compatible

Our N3XT Implementation

21

1D CNFET, 2D FET (logic)

1D CNFET, 2D FET (logic)

Page 22: for Abundant-DataComputing

DARPA 3DSoC Program

Max Shulaker Anantha Chandrakasan

Subhasish Mitra, Boris Murmann, H.-S. Philip Wong, Simon Wong

Brad Ferguson Mark Nelson

Jefford Humes

22

Page 23: for Abundant-DataComputing

Carbon Nanotube FET (CNFET)[Stanford + IMEC + TSMC] [Stanford, MIT]

~ 9× projected benefitEnergy Delay Product (full-design)

100nmPast obstacles: Imperfections

Solution: Imperfection-immune

23

Page 24: for Abundant-DataComputing

Major ProgressFirst CNT computer (Stanford)

[Nature 2013]CNT RISC-V (MIT, Analog Devices)

[Nature 2019]

178 CNFETs: PMOS logic

Single instruction (Turing complete)

1-bit data

14,702 CNFETs: CMOS logicAll RV32E instructions

16-bit data

Stanford Ph.D. student MIT Professor

24

Page 25: for Abundant-DataComputing

Resistive RRAM (RRAM)

Low R High R

Non-volatile system

operation

ResetSet

10-year continuous edge AI

[Stanford + CEA LETI + NTU Singapore + SkyWater] 25

1TnR First multi bits/cell

arrays

10 – 100× on-chip memory

Page 26: for Abundant-DataComputing

3D Integration

BEOL vias

Sparse 3D

TSV

CNFET

RRAM

Naturally< 400 °C

Dense monolithic 3D Device & arch. benefits

Monolithic 3D

TSV: Through Silicon Via BEOL: Back end of line 26

Page 27: for Abundant-DataComputing

3D NanoSystem2 Million CNFETs, 1 Mbit RRAM

[Stanford] Nature 2017 27

Page 28: for Abundant-DataComputing

3D NanoSystem

Memory1 Megabit RRAM

CNTs

X100,000

Ultra-dense vertical connections

Abundant data: Terabytes / secondMillions of sensors

CNT computing logic Classification accelerator

In-situ classification: extensive, accurate28

Page 29: for Abundant-DataComputing

N3XT Simulation Framework

Explore architecturesEnergy,

exec. time

Physical design, yield, reliability

Heterogeneous nanotechnologies Abundant-

data apps

[Stanford + NTU Singapore] 29

Page 30: for Abundant-DataComputing

N3XT Simulation Use CasesQuantify Co-explore

Massive N3XT benefits Tech + Arch +App851× 1,971× 210×

Page Rank

Energy

LSTM CNN

Execution Time 5µm 1µm 100nm

8.7×

102×

1,971×

30

10×

100× LSTM benefits vs. N3XT via pitch

Page 31: for Abundant-DataComputing

Lab to Fab

[Stanford, 2017]

Lab3D

NanoSystem

Hyper dimensional

[Stanford + MIT + UC Berkeley, 2018]

[MIT, 2019]

3DImager

FabCommercial silicon foundry

CNFET + RRAM + Monolithic 3D

31

[MIT + Stanford + SkyWater]

Page 32: for Abundant-DataComputing

Conclusion NanoSystems today

Commercial foundry: CNFET + RRAM + monolithic 3D

Game ON, to era

N3XT massive benefits

Existing software, wide range of apps32