FIELD PROGRAMMABLE GATE ARRAYS AND THEIR APPLICATIONS

9

description

Research article / paper published by S.M.Shashidhara in IJEETC

Transcript of FIELD PROGRAMMABLE GATE ARRAYS AND THEIR APPLICATIONS

Page 1: FIELD PROGRAMMABLE GATE ARRAYS AND THEIR APPLICATIONS
Page 2: FIELD PROGRAMMABLE GATE ARRAYS AND THEIR APPLICATIONS

19

This article can be downloaded from http://www.ijeetc.com/currentissue.php

Int. J. Elec&Electr.Eng&Telecoms. 2014 S M Shashidhara, 2014

FIELD PROGRAMMABLE GATE ARRAYSAND THEIR APPLICATIONS

S M Shashidhara1*

*Corresponding Author: S M Shashidhara, [email protected]

In recent years, Field-Programmable Gate Arrays (FPGAs) have become increasingly fascinatingas digital logic engines, sometimes used alone and sometimes in conjunction with a processorchip. The largest FPGA vendors, Altera and Xilinx, have invested heavily in developing DSP-oriented chips and development tools. This article presents insights focusing on the evolvingrole of FPGAs in embedded systems.

Keywords: FPGA, Embedded systems, Integrated circuit, Reconfigurable, Logic block

INTRODUCTIONSince a very long time, simulations andprototyping have been a very significant partof the electronics industry. Before steering infor the real fabrication of a dedicatedhardware, one would desire to be certain thatwhat their making will work the way they wantit to. Over the past few decades electronicscompanies provided dedicated hardware intheir products. Hence, it was not conceivablefor the end user to reconfigure them to hisown needs. This need resulted in theemergence of a new market segment ofcustomer configurable integrated circuitscalled Field Programmable Gate Arrays orFPGAs.

ISSN 2319 – 2518 www.ijeetc.comVol. 3, No. 1, January 2014

© 2014 IJEETC. All Rights Reserved

Int. J. Elec&Electr.Eng&Telecoms. 2014

1 Proudhadevaraya Institute of Technology, Hospet, Karnataka, India.

Research Paper

HISTORYThe FPGA shares a common history with mostProgrammable Logic Devices. The first of thiskind of devices was the Programmable ReadOnly Memory. Further driven by need ofspecifically implementing logic circuits, Philipsinvented the Field-Programmable Logic Array(FPLA) in the 1970s. This consisted of twoplanes, a programmable wired AND-plane andthe other as wired OR. It could implementfunctions in the Sum of Products (SoP) form.

To subdue the difficulties of speed and cost,Programmable Array Logics were developedwhich had a programmable ‘AND’ plane fedinto fixed OR gates. PALs and PLAs are

Page 3: FIELD PROGRAMMABLE GATE ARRAYS AND THEIR APPLICATIONS

20

This article can be downloaded from http://www.ijeetc.com/currentissue.php

Int. J. Elec&Electr.Eng&Telecoms. 2014 S M Shashidhara, 2014

classified as Simple Programmable LogicDevices (SPLDs). These SPLDs wereincorporated onto a single chip andinterconnects were provided to connect theSPLD blocks through programming. Thesewere called Complex PLDs and were firstinitiated by Altera.

Then another category of Electronicdevices, Mask-Programmable Gate Arrayscomprising of transistor arrays which could beconnected using custom wires propelled thedesign of the FPGAs. Transistors broke wayto Logic Blocks and the customization couldnow be executed by the user on the field andnot in the manufacturing laboratory. The creditto evolve the first commercially practicableFPGA goes to Xilinx co-founders RossFreeman and Bernard Vonderschmitt. TheXC2064 was devised in 1985 comprising of64 Configurable Logic Blocks and 3 Look UpTables.

By the end of 1990, a lot of competitionsprung up in manufacturing FPGAs whenXilinx’s market share started to downslope.Players like Actel, Altera, QuickLogic, Lattice,Cypress, Lucent and SiliconBlue startedentering this domain and carving their niche inthe world FPGA Market. Then, Xilinx, startedgaining acceptance in applications like DigitalSignal Processing and Telecommunications.In 1997, Adrian Thompson succeeded inblending a genetic algorithm technology withFPGA and started a new age of Evolvablehardware.

Regardless of the different makers andslightly different architectures and features,most FPGA’s have a common generalapproach. The main constitutional blocks ofany FPGA are a flexible programmable‘Configurable Logic Block’ (CLB), enclosed byprogrammable ‘Input/Output Blocks.’ Thehierarchy of routing channels interconnectdifferent blocks on the board. In addition, thesemay consist of Clock DLLs for clockdistribution and control and Dedicated BlockRAM memories.

CONFIGURABLE LOGICBLOCKThe primary building block of a ConfigurableLogic Block is the logic cell. A logic cell maycomprise of an input function generator, carrylogic and a memory element. The functiongenerators are carried out as Look Up Tablesdependent on the input. A Xilinx Spartan II has4 inputs LUT where each LUT can cater a 16 x1 bit Synchronous RAM which can in additionbe multiplexed using multiplexers. An LUT mayalso be used as a Shift register. The storageelements may be used as edge triggered flip-

Figure 1: Field Programmable Gate ArrayChip

Page 4: FIELD PROGRAMMABLE GATE ARRAYS AND THEIR APPLICATIONS

21

This article can be downloaded from http://www.ijeetc.com/currentissue.php

Int. J. Elec&Electr.Eng&Telecoms. 2014 S M Shashidhara, 2014

flops or level responsive latches. The arithmeticlogic consists of an XOR gate for full-adderoperation along with dedicated carry logicchannels. The figure below demonstrates anFPGA slice:

Figure 2: Configurable Logic Blocks

INPUT/OUTPUT BLOCKThis block features inputs and outputs bearinga wide range of signaling standards andinterfaces. A basic Input/Output block is shownbelow:

Figure 3: FPGA Slice

Page 5: FIELD PROGRAMMABLE GATE ARRAYS AND THEIR APPLICATIONS

22

This article can be downloaded from http://www.ijeetc.com/currentissue.php

Int. J. Elec&Electr.Eng&Telecoms. 2014 S M Shashidhara, 2014

The buffers in the Input and output pathsroute the input and output signals to the internallogic and the output pads either direct or via aflip-flop. The buffer can be set to conform tovarious supported signaling standards whichmight even be user defined and externally set.

ROUTING MATRIXIn any assembly line it is often the slowestsection which sets the overall output rate.Practically, it is the route that takes the longestdelay that finally determines the performanceof the entire electronic system. Thus routingalgorithms are brought into place for thedesign of the most effective paths to extraditeoptimal performance. Routing is done onvarious levels like Local, between LUTs, flip-flops and General Routing Matrix, General

Purpose Routing between various CLBs, I/ORouting between I/O Blocks and CLBs,Dedicated Routing for a certain categories ofsignals for maximizing performance andGlobal Routing for distributing clocks and othersignals with very high fan-out.

CLOCK DISTRIBUTIONHigh speed, low skew clock distribution isprovided in most FPGAs using Primary GlobalRouting resources. With each clock input buffer,there is a digital Delay-Locked Loop whicheliminates skew between clock input pad andinternal clock input pins by adjusting the delayelement.

FPGA families now also have large blockRAM structures to complement the distributed

Figure 4: Input/Output Block

Page 6: FIELD PROGRAMMABLE GATE ARRAYS AND THEIR APPLICATIONS

23

This article can be downloaded from http://www.ijeetc.com/currentissue.php

Int. J. Elec&Electr.Eng&Telecoms. 2014 S M Shashidhara, 2014

RAM Look-Up Tables, sizes varying fordifferent FPGA devices.

The design of an FPGA follows mostly thesimilar approach as any VLSI system, the mainsteps being Design Entry, BehavioralSimulation, Synthesis, Post-SynthesisSimulation, translation, mapping and routing,and further analysis like Timing simulation andStatic Timing Analysis.

In order to increase the performance ofFPGAs, more transistors could be used. Thearea overhead involved with FPGAs is higherthan ASIC and could possibly do with moredensity now that 28 nm processes are alsobeing applied on them. Placing moretransistors also means that bigger designswould be imaginable. Use of asynchronousFPGA architecture has also shown bettereffects matched with pipelining technologywhich reduces global inputs and bettersthroughput.

Security used to be a major concern as thecode needed to be revealed every time it wasloaded on to the FPGA’s, thus making FPGA’sflexibility a likely threat to malicious alterations

Figure 5: Pipelined Dataflow in FPGA

during fabrication. But, bitstream encryptionhas arrived to the rescue of FPGAs.

Often the inexperienced designers confrontthis dilemma that how much powerful FPGAwould be appropriate for their application.Manufacturers specify metrics like ‘Gatecount’. For example, Xilinx uses 3 metrics toassess capacity of FPGA, Maximum LogicGates, Maximum Memory Bits and normalGate Range. As long as these cited metricsare agreeable, migration between families issomewhat easy, but it rarely offers subtlecomparability between different sellersbecause of the deviation of architectures andas a result of which, performance alters. Abetter metric is to compare the number andtype of logic resources rendered. In additionto it, the designer must be amply aware of whatis precisely required of the device as sellersmight be boasting of features which would beof little importance to the job. For example,Altera’s Stratix II EP2S180 features about1,86,576 4-Input LUTs while Xilinx Virtex-4XC4VLX200 carries 1,78,176. However if thedesign needs only 175K LUTs, the lastmentioned would suffice. If RAM is the desired

Page 7: FIELD PROGRAMMABLE GATE ARRAYS AND THEIR APPLICATIONS

24

This article can be downloaded from http://www.ijeetc.com/currentissue.php

Int. J. Elec&Electr.Eng&Telecoms. 2014 S M Shashidhara, 2014

metric for the designer, neither the XilinxXC4VLX200’s 6 Mbits nor the Altera’sEP2S180’s 9 Mbits would be preferred overthe lesser publicised, older model ofXC4VFX140 bearing 9.9 Mbits. So it requirescomplete understanding on the part of the userwho ultimately needs it and not what the cutting-edge product on the shelf offerings.

COMMON FPGAAPPLICATIONSFPGAs are used in all walks of life ininnumerable number of embedded systems;On an average there are atleast 50 householdembedded systems, many of them usingFPGA as the processing engine.

Aerospace and Defense: Avionics/DO-254,Communications, Missiles and Munitions,Secure Solutions, Space.

ASIC Prototyping: Before fabricatingApplication Specific ICs, there functionality ischecked on an FPGA.

Audio: Connectivity Solutions, PortableElectronics, Radio, Digital Signal Processing(DSP).

Automotive: High Resolution Video, ImageProcessing, Vehicle Networking andConnectivity, Automotive Infotainment.

Broadcast: Real-Time Video Engine,EdgeQAM, Encoders, Displays, Switches andRouters.

Consumer Electronics: Digital Displays,Digital Cameras, Multi-function Printers,Portable Electronics, Set-top Boxes.

Distributed Monetary Systems: Transactionverification, BitCoin Mining

Data Center: Servers, Security, Routers,Switches, Gateways, Load Balancing.

High Performance Computing: Servers,Super Computers, SIGINT Systems, High-endRADARS, Data Mining Systems, High-endBeam Forming Systems.

Industrial: Industrial Imaging, Data MiningSystems, Industrial Networking.

Medical: Ultrasound, CT Scanner, X-ray, PET,X-ray, PET, MRI, Surgical Systems.

Security: Industrial Imaging, SecureSolutions, Image Processing.

Video and Image Processing: HighResolution Video, Video Over IP Gateway,Digital Displays, Industrial Imaging.

Wired Communications: Optical TransportNetworks, Network Processing, ConnectivityInterfaces.

Wireless Communications: Baseband,Connectivity Interfaces, Mobile Backhaul,Radio.

FPGA ADVANTAGESSystems based on FPGAs provide manyadvantages over conventionalimplementations:

Long Time Availability: Field ProgrammableGate Arrays (FPGAs) enable customerindependent from component manufacturerssince the functionality is not given by the deviceitself but in its configuration. The configurationcan be programmed to be portable betweenmiscellaneous FPGAs without anyadaptations.

Can be Updated and Upgraded atCustomer’s Site (Field Programmable):FPGAs in contrast to traditional IC chips arecompletely configurable. Updates and featureenhancement can be carried out even afterdelivery at customer’s site.

Page 8: FIELD PROGRAMMABLE GATE ARRAYS AND THEIR APPLICATIONS

25

This article can be downloaded from http://www.ijeetc.com/currentissue.php

Int. J. Elec&Electr.Eng&Telecoms. 2014 S M Shashidhara, 2014

Extremely Short Time to Market: Throughthe use of FPGAs, the development ofhardware prototypes is significantly quickenedsince a larger part of the hardwaredevelopment process is shifted into IP coredesign, which can go on in parallel. In addition,because of the early availability of hardwareprototypes, time-consuming activities like thestart-up and debugging of the hardware areadvanced concurrently to the overalldevelopment.

Performance Gain for SoftwareApplications: Complex tasks are oftenhandled through software implementations incombination with high-performanceprocessors. In this case FPGAs provide acompetitive alternative, which by means ofparallelization and customization for thespecific task even gives an additionalperformance gain.

Fast and Efficient Systems: With FPGAs,systems can be developed that are preciselycustomized for the intended task and for thisreason works extremely efficient.

Massively Parallel Data Processing: Theamount of data in contemporary systems isever increasing which leads to the problemthat systems working sequential are no longerable to process the data on time. Particularlyby means of parallelization, FPGAs provide

an answer to this problem which in additionscales excellently.

Real Time Applications: FPGAs areperfectly suited for applications in time-criticalsystems. In contrast to software basedsolutions with real time operating systems,FPGAs provide real deterministic behavior. Bymeans of the featured flexibility even complexcomputations can be accomplished inextremely short periods.

CONCLUSIONThis paper reviewed some of the recentadvances in FPGA technology. As higherperformance becomes necessary and ASICcosts, risk adversity and time to marketpressures continue to increase, FPGAs willcontinue to grow in importance.

REFERENCES1. Article on available at FPGA http://www.af-

inventions.de

2. BDTI’s New Report (2013), “SpecialPreview: BDTI’s FPGAs for DSP”, EETimes Jounal, 2nd Edition.

3. Field-programmable gate array Artcleavailable at http://www.en.wikipedia.org/wiki/Field-programmable_gate_array

4. FPGA Applications Article, available athttp://www.engineersgarage.com

Page 9: FIELD PROGRAMMABLE GATE ARRAYS AND THEIR APPLICATIONS