東京エレクトロン株式会社 Corporate...

57
CORP IR / 2018.03.07 1 201837東京エレクトロン株式会社 Corporate Update

Transcript of 東京エレクトロン株式会社 Corporate...

Page 1: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 1

2018年3月7日

東京エレクトロン株式会社

Corporate Update

Page 2: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 2

3

9

19

29

34

39

46

1. 会社概要

2. 中期経営計画達成に向けた取り組みと進捗

3. 2018年3月期 事業環境と業績予想

Appendix 1:エッチング装置

Appendix 2:EUVリソグラフィ導入の効果

Appendix 3:FPD製造装置

Appendix 4:財務データ

内容

Page 3: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 3

会社概要

Page 4: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 4

技術サポートを付加価値とする専門商社自社製品の開発・製造

メーカーとしての地位を築くグローバリゼーション 生産革新

TEL、市場変化への対応による成長の軌跡

0

2,000

4,000

6,000

8,000

10,000

65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 00 01 02 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18(E)

(億円)

(FY)

TEL連結売上高

1980年東証2部上場1984年東証1部上場

コーポレートガバナンスの強化最初のJV

(TEL-Thermco)設立

コンシューマー向け製品の輸出事業から撤退

海外でのダイレクトオペレーションを開始

Semiconductor applications

Mainframe computer

Mobile phonePC

Consumer electronics, etc

新たな成長への挑戦

Page 5: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 5

売上高と営業利益の推移

(億円)

4M DRAM 供給過剰 • IT バブル崩壊•ロジックファウンドリの過剰投資

•アジア経済危機• 64M DRAM 供給過剰

•世界経済危機•メモリの過剰投資

(FY)

•欧州債務問題、新興国の成長鈍化• PC、モバイル等の需要低迷

7,238

9,060

6,687

4,972

6,121 6,131

6,639

7,997

11,300

16.7%

-4.4%

18.6%

-0.5%

14.6%

2.5%5.3%

14.4%

17.6%

19.5%

24.0%

-10%

0%

10%

20%

30%

40%

50%

-2,000

0

2,000

4,000

6,000

8,000

10,000

12,000

90 91 92 93 94 95 96 97 98 99 00 01 02 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18(E)

TEL売上高

営業利益

営業利益率

Page 6: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 6

US$ 1,742B

US$ 343B

US$ 37B

東京エレクトロンの事業領域

7,498億円,

94%

493億円,

6%

4億円,

0%

7,997億円

CY2016 世界市場 FY2017 TEL売上高(2016.4 – 2017.3)

電子機器

半導体

WFE* CAPEX

FPD製造装置(FPD)

半導体製造装置(SPE)

その他

*半導体製造工程には、ウェーハ状態で回路形成・検査をする前工程と、そのウェーハをチップごとに切断し、組立・検査をする後工程があります。半導体前工程装置は、この前工程で使用される製造装置です。また半導体前工程装置は、ウェーハレベルパッケージング用の装置を含んでいます。出所: Gartner, “Forecast: Semiconductor Wafer Fab Manufacturing Equipment, Worldwide,

1Q17 Update” 13 April 2017図はガートナーリサーチに基づき、東京エレクトロンが作成。

Page 7: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 7

90%

23%

37%

29%

20%

13%

53%

TEL 主要プロダクト 世界市場シェア (CY2016)

FPDコータ/デベロッパ FPDプラズマエッチング装置

出所(FPD): 当社推定

成膜装置 洗浄装置

ALD

コータ/デベロッパ プラズマエッチング装置

38%

CVD

55%

酸化/拡散

半導体製造装置

FPD製造装置 (FY2017)

出所(SPE): Gartner, “Market Share: Semiconductor Wafer Fab Equipment, Worldwide, 2016”, 30 March 2017,

図はガートナーリサーチに基づき、東京エレクトロンが作成。コータ/デベロッパ: Photoresist processing (Track), 成膜装置: Tube CVD + Atomic layer deposition tools +

Oxidation/diffusion furnaces + Nontube LPCVD,ALD: Atomic layer deposition tools, CVD: Tube CVD + Nontube LPCVD, 酸化/拡散: Oxidation/diffusion,

当社推定: プラズマエッチング装置および洗浄装置

Page 8: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 8

半導体製造装置メーカートップ10

9.87

7.33

6.37

6.06

3.19

1.78

1.41

1.36

1.12

0.93

Applied Materials

ASML

Lam Research

東京エレクトロン

KLA-Tencor

SCREEN

アドバンテスト

Teradyne

日立ハイテクノロジーズ

ASM Pacific Technology

CY2016 売上ランキング

出所:The Chip Insider Equipment & Emerging Markets (VLSI Research, May 2017)

為替レート: 1US$=¥108.80

(Billions of US$)

Page 9: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 9

中期経営計画達成に向けた取り組みと進捗

Page 10: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 10

半導体前工程製造装置(WFE)市場の展望

PC・インターネット・モバイル+

VR/AR/MR* ・IoT・AI・RPA**・機械学習ビッグデータ・自動運転・個別化医療

WFE市場の成長加速

$50B以上へ拡大

将来の成長ドライバー

半導体用途の広がりにより、装置市場は一段上のフェーズへ

0

10

20

30

40

50

60

CY'13 CY'14 CY'15 CY'16 CY'17 CY'18

($ Billion)

* VR/AR/MR:仮想現実/拡張現実/複合現実 ** RPA:ロボットによる処理自動化

市場規模にはウェーハレベルパッケージング向け装置は含まない

Source: VLSI “Wafer Fab Equipment (WFE) Market History and Forecast” January 2018. Graph created by TEL using above data

Page 11: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 11

財務モデル(2020年3月期までに達成) (億円)

2017年3月期(実績)

2018年3月期(予想)

2020年3月期(中期計画)

WFE $37B WFE $49B WFE $42B WFE $45B

売上高 7,997 11,300 10,500 12,000

SPE 7,498 10,547 9,700 11,200

FPD 493 750 800 800

売上総利益下段:売上総利益率

3,22240.3%

4,67041.3%

4,52043.0%

5,22043.5%

販管費下段:売上高販管費比率

1,66520.8%

1,96017.3%

2,00019.0%

2,10017.5%

営業利益下段:営業利益率

1,55619.5%

2,71024.0%

2,52024.0%

3,12026.0%

親会社株主に帰属する当期純利益

1,152 1,980 1,800 2,200

Page 12: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 12

売上総利益(WFE $45Bケース)

2017年3月期(実績)

2018年3月期(予想)

2020年3月期(中期計画)

増加率(FY’17-FY’20)

売上総利益

下段:売上総利益率3,22240.3%

4,67041.3%

5,22043.5%

+62%+3.2pts

(億円)

SPE主力製品の限界利益率を向上

– 拡大する市場へむけて、新製品をタイムリーに投入

– 品質改善により、製品原価率を引き下げる

FPD製造装置の限界利益率を向上

売上総利益率を3.2pts向上

Page 13: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 13

販管費(WFE $45Bケース)

2017年3月期(実績)

2018年3月期(予想)

2020年3月期(中期計画)

増加率(FY’17-FY’20)

販管費

下段:売上高販管費比率1,66520.8%

1,96017.3%

2,10017.5%

+26%-3.3pts

(億円)

開発部門統合によるコスト削減

– 東京エレクトロン テクノロジーソリューションズの設立

– コータ/デベロッパと洗浄装置のビジネスユニット統合の成果が顕在化

業務の生産性を向上させ、固定費をコントロール

– サービス部門の業務効率を改善

– 現事業の利益率とのバランスの中で開発費の適正化を図る

売上高販管費比率を3.3pts改善

Page 14: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 14

815 732 786

713 762 838

1,000

1,000~1,100

0

600

1,200

FY2012 FY2013 FY2014 FY2015 FY2016 FY2017 FY2018

(予想)

FY2020

(計画)

395

217

127 131 133

206

500

≒150

241 266 248208 192 178

230 ≒250

0

300

600

FY2012 FY2013 FY2014 FY2015 FY2016 FY2017 FY2018

(予想)

FY2020

(計画)

研究開発費および設備投資計画

成長に必要な開発は、利益を維持しながら効率を高めて実施

設備投資は、開発・生産用とあわせ年間150億円規模に抑える

(億円) 研究開発費

(億円) 設備投資 減価償却費

Page 15: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 15

SPE事業戦略:エッチング装置

DRAMは計画通り進捗、継続して3D NAND HARCとロジックに注力

*HARC(High aspect ratio contact)工程:高度な加工技術を要する深穴形成工程

ワードライン分離(スリット)

チャネル(メモリホール)

多段コンタクト

3D NAND HARC* 工程DRAM

パターニング一括エッチングで顧客の生産コスト低減を実現

圧倒的な生産性と加工精度で差別化9X/12X世代でのシェア向上に注力

CY’17 CY’18 CY'19

6X 6X/9X 9X

工程簡素化

ロジック

絶縁膜工程で高シェアを維持

7nm以細のパターニングで工程獲得

キャパシタ 工程(HARC)

Cu配線(ダマシン化)

キャパシタ

多層配線

FinFET

Cu配線

市場シェア CY’14(実績) CY’15(実績) CY’16(実績) ・・・ CY’19(目標)

エッチング装置 28% 21% 23% >30%

Page 16: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 16

SPE事業戦略:成膜装置

さらなる微細化や次世代半導体に対応した新技術で収益拡大を図る

CVD装置ALD装置

セミバッチ装置で、微細化・3D化で求められる高品質膜の形成と高生産性を実現

メモリ向けに圧倒的な生産性を有するバッチ装置で差別化

微細化対応した高品質メタル成膜を実現

セミバッチALD装置

NT333™2014 2015 2016 2017 2018 2019

ALD装置市場

CAGR >10%

当社推定

900億円

1,500億円

バッチ装置

TELINDY PLUS™

メタル成膜装置

Triase+™

市場シェア CY’14(実績) CY’15(実績) CY’16(実績) ・・・ CY’19(目標)

成膜装置 38% 38% 37% >47%

出所: Gartner, “Market Share: Semiconductor Wafer Fab Equipment, Worldwide, 2016”, 30 March 2017, 表はガートナーリサーチに基づき、東京エレクトロンが作成。成膜装置: Tube CVD + Atomic layer deposition tools + Oxidation/diffusion furnaces + Nontube LPCVD

Page 17: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 17

枚葉洗浄 CELLESTA™シリーズの売上拡大– バックサイド・ベベル洗浄*およびポストエッチクリーニング処理のパターン倒壊抑制乾燥技術を軸に、アプリケーションを拡大

バッチ洗浄による3D NANDキー工程の獲得– 緻密な制御性を必要とする窒化膜除去やメタルエッチ、ポリシリコンエッチングを高品質かつ高生産性で提供

コータ/デベロッパのBest Known Methodを洗浄事業に活用– 開発の一元化による先端技術の共有とノウハウの取り込み

SPE事業戦略:洗浄装置

枚葉洗浄装置

CELLESTA™

バッチ式洗浄装置

EXPEDIUSTM

* ベベル洗浄: ウェーハ外周部の膜除去処理

市場シェア CY’14(実績) CY’15(実績) CY’16(実績) ・・・ CY’19(目標)

洗浄装置 19% 18% 20% >24%

Page 18: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 18

フィールドソリューション(FS)事業戦略

装置メーカーの強みを生かしたビジネスモデルにより中古装置/改造およびパーツ/サービスの両セグメントで収益向上

IoTにドライブされる新たな顧客ニーズに対応

– 新しいアプリケーションに対応した改造・再製作装置の提供

顧客の生産性向上に寄与

– リモート接続による高付加価値サービスの提供

(納入済み装置62,000台)

FS事業戦略

930 1,050 1,150

1,400

1,800 770 800

930

1,100

1,400

0

500

1000

1500

2000

2500

3000

3500

4000

FY'15 FY'16 FY'17 FY'18

フィールドソリューション売上高

3,200億円

1,700

中古装置・改造

パーツ・サービス

1,8502,080

2,500

WFE$45Bケース

FY’18(予想)

FY’20(中期目標)

Page 19: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 19

2018年3月期 事業環境と業績予想

Page 20: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 20

►半導体前工程製造装置(WFE)* の設備投資

CY2018の投資額は、旺盛なメモリー向け需要に牽引され、前年比10%

程度の成長を見込む。

► FPD製造装置 TFTアレイ工程**向け設備投資

CY2018の装置需要は、モバイル用途の中小型パネル向け設備投資の継続と第10.5世代向けの投資拡大により、前年比20%程度の成長を予想。

装置市場は、IoTと次世代技術への活発な投資により成長が加速

事業環境(2018年1月時点での見方)

* 半導体前工程製造装置(WFE; Wafer fab equipment):半導体製造工程には、ウェーハ状態で回路形成・検査をする前工程と、そのウェーハをチップごとに切断し、組み立て・検査をする後工程があります。半導体前工程製造装置は、この前工程で使用される製造装置です。また半導体前工程製造装置は、ウェーハレベルパッケージング用の装置を含んでいます。

** TFTアレイ工程:ディスプレイを駆動する電気回路機能を持つ基板を製造する工程

Page 21: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 21

CY2018 アプリケーション別のWFE市場と事業機会

NAND:前年比5%の市場成長を予想

– 設備投資:最先端の9X層数世代向けが5割

– 牽引役 :データセンタ向けSSD需要の拡大

– 事業機会:高付加価値のエッチング工程で差別化

DRAM:30%以上の増加

– 設備投資:1X/1Ynm世代向け設備投資が8割

– 牽引役 :モバイルの平均搭載容量は前年比25%増加、サーバも30%増加

– 事業機会:一括パターニング、配線工程で差別化

ロジック/ファウンドリ:同水準を予想

– 設備投資:10nm以細の世代向けが6割

– 牽引役 :高性能化、省エネ化の需要

– 事業機会:難易度の高まるパターニングでのビジネス拡大

10

35

68

120

140

0

50

100

150

CY'15末 CY'16末 CY'17末 CY'18末 CY'19末

3D NAND 生産設備(万枚/月)

当社推定

Page 22: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 22

NANDフラッシュ向け設備投資の牽引役

29% 38% 35%30%

30%40%40%

43%

49%

51%

CY'17(E) CY'18(E) CY'19(E) CY'20(E) CY'21(E)

SSDビット成長率

CAGR 50.9%

NANDビット需要のアプリケーション別構成比*グラフ上の比率はビット需要全体を100とした場合の構成比

Source: Gartner, “Forecast: NAND Flash Supply and Demand, Worldwide, 1Q16-4Q18, 4Q17 Update”, 02 January 2018. 図はガートナーリサーチに基づき、東京エレクトロンが作成。モバイル: Ultramobile + Phone, Basic, Smart OS + Phone, Premium, Smart OS + Phone, Utility, Smart OS, CAGR: CY’17-CY’21

IoTによるストレージ需要急増とSSD搭載率増加の両方が投資を牽引

SSD

その他

モバイル

NANDビット成長はSSDが牽引

– SSD CAGR 50.9%(CY’17-21)

– モバイル CAGR 32.0%(CY’17-21)

サーバ容量の需要が急増※容量はSSDとHDD合計

– CY’17 300EB ⇒ CY’21 1ZB

SSD搭載率の成長ポテンシャル大

– CY’17 サーバ向けSSD搭載率は僅か9%

– CY’17 PC向けSSD搭載率も39%

Source: Gartner, “Forecast: Hard-Disk Drives, Worldwide, 2014-2021, 3Q17 Update”, 13 November 2017. サーバ: Total Enterprise HDD/SSD PB, PC: Total Notebook/Ultramobile Premium and Desk-Based/Workstation PCs

EB: 1018 byte, ZB: 1021 byte

Page 23: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 23

FY2017(実績)

FY2018(予想)

H1 H2 通期 通期対前年増減実績 予想 予想

売上高 7,997 5,169 6,130 11,300 +41.3%

SPE 7,498 4,877 5,670 10,547 +40.6%

FPD 493 290 459 750 +51.9%

売上総利益下段:売上総利益率

3,22240.3%

2,15441.7%

2,51541.0%

4,67041.3%

+1,447+1.0pts

販管費 1,665 920 1,039 1,960 +294

営業利益下段:営業利益率

1,55619.5%

1,23323.9%

1,47624.1%

2,71024.0%

+1,153+4.5pts

税前利益 1,491 1,223 1,447 2,670 +1,178親会社株主に帰属する当期純利益 1,152 906 1,073 1,980 +827

1株当たり当期純利益 (円) 702.26 552.59 - 1,206.66 +504.40

FY2018 業績予想(2017/10/31発表から変更なし)

投資拡大とシェア向上により、大幅な増収増益を見込むSPE:半導体製造装置、FPD:フラットパネルディスプレイ製造装置

(億円)

Page 24: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 24

27%32%

26% 19%

16%8%

12% 10%

40%35%

41%

40%

17%

25%21%

31%

1,697

2,043

1,817

2,583

0

1,000

2,000

Q1

(実績)

Q2

(実績)

Q3

(実績)

Q4

(予想)

DRAM

不揮発性メモリ

FY2018 SPE部門新規装置売上予想

(億円) ロジックファウンドリ

ロジック & その他

グラフは新規装置の売上高における構成比を示しています。フィールドソリューション売上高を含んでいません。

また、Q4予想の2,583億円は10月31日発表の下期予想値からQ3実績を引いた値です。

FY2018 アプリケーション別売上構成比

DRAM

– 需給タイトにより投資急拡大

3D NAND

– SSD向け需要好調

– 次世代9X向け装置の納入開始

ロジック/ファウンドリ

– 10/7nm世代への投資継続

– 28nm世代以前への投資も堅調

Page 25: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 25

市場拡大とシェア向上により、メモリ向け通期売上予定が前期比2倍

FS事業の収益拡大、9ヶ月累計売上高1,810億円、前年同期比22%の増加*

戦略製品の売上拡大も順調

宮城工場(エッチング装置)の拡張

– 物流棟の稼働開始

– 新開発棟 9月竣工予定

– 生産能力を10月までに2倍に増強

FY2018 SPE事業の進捗

東京エレクトロン宮城

SPEの事業戦略は計画通り進捗、次世代向け技術で収益拡大*FPD事業のFS売上を含んでいます。

Page 26: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 26

第10.5世代におけるリーディングポジション確立

新プラットフォームエッチング装置 Betelex™を投入

PICP™*エッチング装置の製品展開が好調

– 中小型向け通期売上予定が前期比約2倍、大型向けにもビジネス獲得

FY2018 FPD事業の進捗

FPDプラズマエッチング/アッシング装置

Impressio™

FPDプラズマエッチング/アッシング装置

Betelex™

FPDの事業戦略は順調に進捗、計画通りの利益率向上を見込む

FPDコータ/デベロッパ

Exceliner™

*PICP:パネル基板上に極めて均一な高密度プラズマを生成するプラズマソース

Page 27: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 27

FY2018 配当予想(2017/10/31発表から変更なし)

連結配当性向:

但し、1株当たり年間配当金150円を下回らない

2期連続して当期利益を生まなかった場合は、配当金の見直しを検討する

50%

自己株式の取得: 機動的に実施を検討

当社の株主還元策

0

100

200

300

400

500

600

700

FY'14 FY'15 FY'16 FY'17 FY'18

(予想)

143円

237円

(円)

中間277円

期末328円

605円

1株当たり配当金は、前期比70%以上の増配を予定

1株当たり配当金

352円

50円

Page 28: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 28

CY2018は更なる市場成長を見込む(WFE市場+10%、FPD装置市場+20%)

SPE/FPD事業ともに、市場成長をアウトパフォームする

拡大する装置市場に対する積極的な開発投資の継続

5nm世代以細に向けたプロセスインテグレーションの開発加速

IoTアプリケーションに対応したFS事業の拡大

FY2019に向けてのキーメッセージ

Page 29: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 29

Appendix 1:エッチング装置

Page 30: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 30

CY’12~’14 CY’19

3D NANDの事業機会

当社推定

10k/wspm**当たり投資額($M) 6X 9X 12X

HARC工程(多段コンタクト/ワードライン分離/チャネル)

30 40 50

その他のエッチング工程 80 80 80

エッチング工程全体 110 120 130

3D NAND HARC* 工程が増加

積層数増加によりHARC工程が継続して拡大

3D NAND 6X

9X

ワードライン分離(スリット)

チャネル(メモリホール)

多段コンタクト

3D NAND HARC* 工程

* HARC(High aspect ratio contact)工程:高度な加工技術を要する深穴形成工程

エッチング装置

50%

エッチング装置

15%

NANDのエッチング装置比率

その他装置

85%

その他装置

50%

CY’17 CY’18 CY’19

6X 6X/9X 9X

(Greenfield, 当社推定)

** wspm: wafer starts per month、月間ウェーハ投入枚数

Page 31: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 31

多段コンタクトにおいて100%のシェアを維持。今後は、マスク工程との工程一括化による生産性向上を実現し、一層の収益向上を図る

ワードライン分離は9X層数世代で新たな顧客のPORを獲得。今後は、マスク工程との工程一括化により採用工程拡大を目指す

チャネルは新技術で工程獲得を実現していく

3D NANDにおける成果と取り組み

圧倒的な加工形状と生産性向上により、採用工程拡大を実現する

通常は異なる装置で加工するマスク/メイン工程を同じ装置で一度に加工する

生産性の向上

マスク加工

メイン加工

工程一括化による生産性向上

Page 32: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 32

多層配線の絶縁膜工程で高シェアを維持

先端パターニングにおける採用工程拡大

ロジックにおける成果と取り組み

高レベルの寸法ばらつき制御が実現低電子温度プラズマによる高選択比実現

RLSA™プラズマソース ALEコンセプトプロセス

プラズマエッチング装置

Tactras™ RLSA™

7nm以細の先端パターニングで差別化、売上拡大を目指す

多層配線先端パターニング

Page 33: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 33

DRAMにおける成果と取り組み

キャパシタ(HARC系)

配線工程

DRAMのすべての注力工程において計画通り成果が実る

配線工程のダマシン化により、ロジックの知見を生かし工程獲得

1Y世代のキャパシタ工程(HARC系)ですべての顧客の開発POR獲得

パターニング一括エッチングで顧客の生産コスト低減を実現戦略顧客での成果を横展開してシェア拡大中

先端パターニング一括エッチングによる工程簡素化

Page 34: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 34

Appendix 2:EUVリソグラフィ導入の効果

Page 35: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 35

EUVの採用は、顧客の直面する高度な技術課題(位置ずれ)を解決し、半導体と製造装置産業全体にとって非常にポジティブな効果をもたらす

– 微細化の促進

– 歩留まり向上による次世代への投資加速

EUVによる微細化の促進が、当社製品の更なる差別化と収益機会を創出する

– コータ/デベロッパのさらなる市場シェア向上

– エッチ・成膜・洗浄装置の需要拡大

– 自己整合型パターニングの進化による差別化

– 当社製品ラインアップを生かしたプロセスインテグレーションによる事業拡大

EUVリソグラフィ導入の効果

Page 36: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 36

EUVによる高度な技術課題(位置ずれ)の解決

1

12

33 masks

Too close

Closer

Too far

1 mask

Cut

3回露光: (Litho + Etch) x 3 歩留まり低下

歩留まり向上

位置ずれ解消

ロジックの構造図

EUV導入

従来の露光

EUV露光

11

11

Line

Space

自己整合型マルチパターニング (SAMP)

(エッチと成膜を多数使用)

LELELE = (Litho-Etch)3

(エッチと成膜の使用は限定的)

Step1: Line/Space

Step2: Cut

1回露光: (Litho + Etch) x 1

各露光プロセスごとに位置ずれ発生

Page 37: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 37

ロジックコンタクト向け (Litho-etch)n パターニング(Cut)

• PMD dep

• Hard mask1 dep

• Hard mask2 dep

• Lithography1

• Contact HM2 etch1 short etch time

• Lithography2

• Contact HM2 etch2 short etch time

• Lithography3

• Contact HM2 etch3 short etch time

• Contact HM1 etch short etch time

• Contact PMD etch long etch time

• Post etch clean

• Barrier metal Ti/TiN dep

• Contact plug W dep

• Contact plug W CMP

Lithography1 Lithography2 Lithgraphy3

Contact PMD etch

Contact HM2

etch1

Contact HM2

etch2

Contact HM2

etch3

Contact HM1 etch Contact plug W CMP

Thicker layer

Higher etch selectivity

(Litho-etch)3パターニング EUV lithography

Contact HM2

etch

(Litho-etch)nパターニング

or

Page 38: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 38

自己整合型マルチパターニング(Line/space)

Mandrel2 etch Sidewall1 dep Sidewall etch back1

Hard mask3 etch Mandrel1 etch Sidewall2 dep Sidewall etch back2

Hard mask1Hard mask2

Mandrel1Hard mask3Hard mask4Mandrel2Hard mask5

𝑝

• Had mask1 dep

• Hard mask2 dep

• Mandrel1 dep

• Hard mask3 dep

• Hard mask4 dep

• Mandrel2 dep

• Hard mask5 dep

• Lithography

• Hard mask5 etch

• Mandrel2 etch

• Sidewall1 dep

• Sidewall1 etch back

• Mandrel2 pull

• Hard mask4 etch

• Hard mask3 etch

• Mandrel1 etch

• Sidewall2 dep

• Sidewall2 etch back

1

2𝑝

SADP

SADP: Self-aligned double patterning

SAQP: Self-aligned quadruple patterning

1

4𝑝

SAQP

リソグラフィ成膜エッチ

Page 39: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 39

Appendix 3: FPD製造装置

Page 40: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 40

ディスプレイトレンド

サイズ拡大

高解像度化

FHD 4K 8K

有機EL

デザイン性

LCD OLED

TV

Smartphones 300 ppi 700 ppi

技術変化⇒事業機会の拡大

Flexible, edge bent, free format

TFT

カラーフィルター

バックライト

液晶TFT

発光層

Page 41: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 41

-10%

0%

10%

20%

30%

40%

0

200

400

600

800

1000

FY2015 FY2016 FY2017 FY2018 FY2020

売上

営業利益率

FPD事業中期計画

再成長する市場でシェアと収益性を向上

2020年3月期目標:売上800億円・営業利益率20%以上

(億円)

(予想) (中期計画)

Page 42: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 42

中期計画進捗のハイライト

新製品PICP™*エッチング装置の製品戦略が計画通り進捗

第10.5世代向け装置のビジネス拡大

2015 2016 2017 2018 2019

第10.5世代

第8.5世代

第6世代

中小型パネル向けPICPエッチング装置

大型パネル向けPICPエッチング装置

塗布現像・エッチング装置

すべてのパネル世代向けで収益性が向上

新Platform Betelex™

OLED工程(G6H)

* PICP: パネル基板上に極めて均一な高密度プラズマを生成するプラズマソース

Page 43: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 43

事業機会:第10.5世代装置市場

65インチ TVパネル 8面取りが可能

“65

3,3

70

mm

2,940 mm

G10.5TFTアレイ工程装置市場

($M)

0

500

1,000

1,500

2,000

2,500

3,000

3,500

2017 2018 2019

想定以上の投資、市場拡大

差別化技術(大面積プラズマ制御、エア浮上コータ)で高シェア維持

Results based on IHS Markit, Technology Group “Display Supply Demand & Equipment

Tracker, Q1 2017”. Results are not an endorsement of Tokyo Electron. Any reliance on

these results is at the third party's own risk. Visit technology.ihs.com for more details.

Page 44: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 44

TFTアレイ a-Siアモルファスシリコン

Metal oxide

酸化物半導体

LTPS

低温ポリシリコン

構造図

アプリケーション液晶 TV

モニター

有機EL TV

タブレット

スマートフォン(液晶、有機EL)

マスク数 5 6 ~ 8 9 ~13

ドライエッチング工程

3

a-Si、SiNx

3

SiO、SiNx

~11

SiO、金属

フレキシブル化

+2 工程

OLED工程

(G6 Half Size)+3~4工程

さらに新たなニーズ

エッチング技術の高度化と工程数拡大

事業機会:酸化物半導体・低温ポリシリコン

Page 45: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 45

国内新規参入メーカー

東芝REGZA X910シリーズ2017年3月発売

ソニーBRAVIA A1

2017年6月発売予定パナソニック

VIERA TH-65EZ1000/EZ950シリーズ2017年6月発売予定

事業機会:有機EL TV市場の拡大

2018年以降の立ち上がりに向けてインクジェット描画装置を市場投入

既存の蒸着方式に比べ圧倒的な材料使用効率

有機EL TV 参入メーカー拡大

0

1

2

3

4

5

6

7

8

2016 2017 2018 2019 2020 2021

M m

2/Y

R

有機EL TV 面積需要

Results based on IHS Markit, Technology Group “Display Supply Demand & Equipment

Tracker, Q1 2017”. Results are not an endorsement of Tokyo Electron. Any reliance on

these results is at the third party's own risk. Visit technology.ihs.com for more details.

Page 46: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 46

Appendix 4:財務データ

Page 47: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 47

2017年3月期ハイライト

連結売上高は前年比+20%の増収、SPE*売上高は過去最高を記録

売上総利益率・営業利益率・当期純利益ともに過去最高を更新

売上高と売上総利益率(億円)

4,972

6,121 6,131

6,639

7,997

31.9%33.0%

39.6% 40.2% 40.3%

0

3,000

6,000

9,000

FY2013 FY2014 FY2015 FY2016 FY2017

売上高

売上総利益率

125

322

881

1,167

1,556

2.5%

5.3%

14.4%

17.6%

19.5%

0.0%

5.0%

10.0%

15.0%

20.0%

0

500

1,000

1,500

2,000

FY2013 FY2014 FY2015 FY2016 FY2017

営業利益

営業利益率

営業利益と営業利益率(億円)

60

-194

718 778

1,152

1.0%

-3.3%

11.8%13.0%

19.1%

-500

0

500

1,000

1,500

FY2013 FY2014 FY2015 FY2016 FY2017

当期純利益

ROE

当期純利益とROE(億円)

* SPE: 半導体製造装置

Page 48: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 48

損益状況

FY2017 FY2018

Q3 Q4 Q1 Q2 Q3対Q2増減率

売上高 1,863 2,606 2,363 2,805 2,577 -8.1%

売上総利益下段:売上総利益率

72939.1%

1,10642.5%

97141.1%

1,18242.1%

1,06041.1%

-10.3%-1.0pts

販管費 387 491 423 497 479 -3.5%

営業利益下段:営業利益率

34118.3%

61523.6%

54723.2%

68524.4%

58022.5%

-15.2%-1.9pts

税前利益 332 614 551 671 573 -14.7%

親会社株主に帰属する当期純利益 259 472 412 494 407 -17.6%

研究開発費 191 248 211 248 239 -3.7%

設備投資額 41 71 81 117 137 +17.5%

減価償却費 45 50 42 47 55 +15.2%

1. 当社の主力製品である半導体製造装置及びFPD製造装置の輸出売上は、原則円建てで行われます。一部にドル建ての決済もありますが、受注時に個別に先物為替予約を付し、為替変動リスクをヘッジしています。

2. 利益率および増減率は、1円単位の金額をもとに計算しています。

(億円)

Page 49: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 49

1,793

2,435

2,219

2,657

2,414

434

676 661

786 690

24.2%

27.8%29.8% 29.6% 28.6%

0%

10%

20%

30%

40%

50%

60%

0

500

1,000

1,500

2,000

2,500

3,000

Q3FY'17

Q4 Q1FY'18

Q2 Q3

セグメント情報

1. セグメント利益は、税前利益です。2. 上記報告セグメントに配分していない全社費用(主に基礎研究又は要素研究等の研究開発費)があります。3. 売上構成比率は外部顧客に対する売上高で算出しています。

68

170

143 147 162

4

23

4

22 30

7.1%

14.1%

3.2%

15.4%

19.0%

0%

10%

20%

30%

40%

50%

60%

0

50

100

150

200

250

300

Q3FY'17

Q4 Q1FY'18

Q2 Q3

(億円)

SPE(半導体製造装置)

(億円)

96 93 94 95 94

4 7 6 5 6

0%

50%

100%

Q3FY'17

Q4 Q1FY'18

Q2 Q3

SPE

FPD

その他

売上構成比率

売上高

セグメント利益

セグメント利益率

売上高

セグメント利益

セグメント利益率

FPD(フラットパネルディスプレイ製造装置)

Page 50: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 50

Q3

FY’16

Q4 Q1

FY’17

Q2 Q3 Q4 Q1

FY’18

Q2 Q3

日本 270 264 178 264 203 266 267 384 351

北米 234 197 170 344 246 254 240 320 291

欧州 148 95 52 66 150 329 253 269 196

韓国 152 309 198 290 333 555 683 1,017 794

台湾 433 425 305 582 623 747 458 366 421

中国 172 152 300 240 137 227 267 230 226

東南アジア・他 53 50 103 173 98 53 46 67 132

SPE部門地域別売上高

1,465 1,495 1,307

1,962 1,793

2,435

2,219

2,657

2,414

0

500

1,000

1,500

2,000

2,500

3,000

(億円)

Page 51: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 51

SPE部門新規装置 アプリケーション別売上構成比

25% 23% 19%25% 26% 24% 27%

32%26%

27% 22% 32%

32% 34%27%

16%8%

12%23%

17% 31%

31%24%

27%40%

35%41%

25%38% 18%

12%

16%

22%17%

25%21%

1,051 1,031

883

1,461

1,304

1,853

1,697

2,043

1,817

0

500

1,000

1,500

2,000

2,500

Q3FY'16

Q4 Q1FY'17

Q2 Q3 Q4 Q1FY'18

Q2 Q3

(億円)

不揮発性メモリ向けの投資が高水準継続

DRAM

不揮発性メモリ

ロジックファウンドリ

ロジック & その他

(MPU、AP、その他)

グラフは新規装置の売上高における構成比を示しています。フィールドソリューション売上高を含んでいません。

Page 52: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 52

フィールドソリューション売上高

440 489

444

524 509

604

544

644621

0

200

400

600

800

(億円)

Q3

FY’16

Q4 Q1

FY’17

Q2 Q3 Q4 Q1

FY’18

Q2 Q3

SPE売上高 413 464 424 500 488 581 522 614 597

FPD売上高 27 24 20 24 20 22 22 29 24

Page 53: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 53

貸借対照表

* 現金同等物:現預金+短期投資等(貸借対照表上の表示は有価証券)

602 656 682 700 720 167 154 162 164 165 984 1,004 1,042 1,114 1,208 765 904 652 770 956

2,303 2,362 2,666 2,834

3,328

1,261 1,338 1,397

1,428

1,523 2,772

3,153 2,946 3,299

3,012 8,857

9,574 9,549

10,311 10,915

Q3FY'17

Q4 Q1FY'18

Q2 Q3

5,945 6,459 6,551 7,079 7,095

2,912 3,114 2,998

3,231 3,819

8,857

9,574 9,549

10,311 10,915

Q3FY'17

Q4 Q1FY'18

Q2 Q3

(億円) (億円)

現金同等物*

売上債権

たな卸資産

その他流動資産

有形固定資産

負債

純資産

無形固定資産投資その他資産

資産 負債・純資産

Page 54: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 54

たな卸資産・売上債権の回転日数

2,577

55

64 57

81

65 61

57 54 54

99

107 113 111

120

108 110 107

117

0

25

50

75

100

125

150

0

500

1,000

1,500

2,000

2,500

3,000

Q3FY'16

Q4 Q1FY'17

Q2 Q3 Q4 Q1FY'18

Q2 Q3

(億円) (日)

回転日数=売上債権もしくはたな卸資産÷ 各四半期末までの12ヶ月間売上高× 365

売上高

売上債権回転日数

たな卸資産回転日数

Page 55: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 55

(百万円)

2008年 2009年 2010年 2011年 2012年 2013年 2014年 2015年 2016年 2017年 2008年 2009年 2010年 2011年 2012年 2013年 2014年 2015年 2016年 2017年3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期

売上高 906,091 508,082 418,636 668,722 633,091 497,299 612,170 613,124 663,948 799,719 有利子負債 36,069 3,806 5,105 7,996 4,402 3,756 13,531 ― ― ―

半導体製造装置 726,439 325,383 262,391 511,331 477,873 392,026 478,841 576,242 613,032 749,893 自己資本 534,953 518,387 511,818 572,741 586,789 593,032 578,091 639,483 562,369 643,094

FPD製造装置 68,016 88,107 71,361 66,721 69,888 20,160 28,317 32,709 44,687 49,387 総資産 792,817 668,998 696,351 809,205 783,610 775,527 828,591 876,153 793,367 957,447

PV製造装置 3,805 3,617

コンピュータ・ネットワーク 111,181 94,207 84,473 90,216 84,867 84,664 100,726 デット・エクイティ・レシオ 6.7% 0.7% 1.0% 1.4% 0.8% 0.6% 2.3% ― ― ―

電子部品 自己資本比率 67.5% 77.5% 73.5% 70.8% 74.9% 76.5% 69.8% 73.0% 70.9% 67.2%

その他 454 384 410 453 461 448 479 555 6,228 438 ROE 21.4% 1.4% -1.8% 13.3% 6.3% 1.0% -3.3% 11.8% 13.0% 19.1%

国内売上高 323,946 208,870 162,608 182,165 171,364 118,503 161,630 95,045 121,807 101,122 営業キャッシュ・フロー 116,939 81,030 48,284 83,238 29,712 84,266 44,449 71,806 69,398 136,948

海外売上高 582,145 299,211 256,028 486,557 461,727 378,795 450,539 518,078 542,140 698,597 投資キャッシュ・フロー -30,186 -160,621 9,613 -35,881 -8,352 -141,769 -19,599 155,737 -150,013 -28,893

財務キャッシュ・フロー -27,033 -46,015 -287 -5,236 -27,334 -10,625 -186 -18,213 -138,600 -39,380

売上総利益 311,297 137,408 108,316 234,758 211,444 158,754 201,892 242,773 267,209 322,291

売上総利益率 34.4% 27.0% 25.9% 35.1% 33.4% 31.9% 33.0% 39.6% 40.2% 40.3% 594.0 42.2 -50.5 401.7 205.0 33.9 -108.3 401.1 461.1 702.3

販売費及び一般管理費 142,799 122,697 110,496 136,887 151,001 146,206 169,687 154,660 150,420 166,594 125 24 12 114 80 51 50 143 237 352

営業利益 168,498 14,710 -2,180 97,870 60,443 12,548 32,204 88,113 116,788 155,697

営業利益率 18.6% 2.9% -0.5% 14.6% 9.5% 2.5% 5.3% 14.4% 17.6% 19.5% 従業員数(人) 10,429 10,391 10,068 10,343 10,684 12,201 12,304 10,844 10,629 11,241

経常利益 172,713 20,555 2,558 101,919 64,046 16,696 35,487 92,949 119,399 157,549

税前利益 169,219 9,636 -7,767 99,579 60,602 17,766 -11,756 86,827 106,466 149,116

親会社株主に帰属する当期純利益 106,271 7,543 -9,033 71,924 36,725 6,076 -19,408 71,888 77,891 115,208

減価償却費 21,413 23,068 20,001 17,707 24,197 26,630 24,888 20,878 19,257 17,872

設備投資額 22,703 18,107 14,918 39,140 39,541 21,773 12,799 13,183 13,341 20,697

研究開発費 66,072 60,987 54,074 70,568 81,506 73,248 78,663 71,349 76,286 83,800

1株当たり当期純利益

1株当たり配当金(円)

10年間の主要財務データ

Page 56: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 56

将来見通しについて

本資料に記述されている当社の業績予想、将来予測などは、当社が作成時点で入手可能な情報に基づいて判断したものであり、経済情勢、半導体/FPD市況、販売競争の激化、急速な技術革新への当社の対応力、安全・品質管理、知的財産権に関するリスクなど、様々な外部要因・内部要因の変化により、実際の業績、成果はこれら見通しと大きく異なる結果となる可能性があります。

数字の処理について

記載された金額は単位未満を切り捨て処理、比率は1円単位の金額で計算した結果を四捨五入処理しているため、内訳の計が合計と一致しない場合があります。

為替リスクについて

当社の主力製品である半導体製造装置及びFPD製造装置の輸出売上は、原則円建てで行われます。一部にドル建ての決済もありますが、受注時に個別に先物為替予約を付し、為替変動リスクをヘッジしています。従って、収益への為替レート変動による影響は極めて軽微です。

IHS Markitのデータについて(43、45ページ)

The IHS Markit reports and information referenced herein (the "IHS Markit Materials") are the copyrighted property of IHS Markit Ltd. (“IHS Markit”) and represent data, research,

opinions or viewpoints published by IHS Markit, and are not representations of fact. The IHS Markit Materials speak as of the original publication date thereof (and not as of the date

of this offering document). The information and opinions expressed in the IHS Markit Materials are subject to change without notice and IHS Markit has no duty or responsibility to

update the IHS Markit Materials. Moreover, while the IHS Markit Materials reproduced herein are from sources considered reliable, the accuracy and completeness thereof are not

warranted, nor are the opinions and analyses which are based upon it. To the extent permitted by law, IHS Markit shall not be liable for any errors or omissions or any loss, damage

or expense incurred by reliance on the IHS Markit Materials or any statement contained herein, or resulting from any omission. No portion of the IHS Markit Materials may be

reproduced, reused, or otherwise distributed in any form without the prior written consent of IHS Markit. Content reproduced or redistributed with IHS Markit’s permission must

display IHS Markit’s legal notices and attributions of authorship. IHS Markit and the IHS Markit globe design are trademarks of IHS Markit. Other trademarks appearing in the IHS

Markit Materials are the property of IHS Markit or their respective owners.

Gartnerのデータについて(6、7、16、22ページ)

本プレゼンテーションにおいてガートナーに帰属するすべての記述は、ガートナーの顧客向けに発行された配信購読サービスの一部として発行されたデータ、リサーチ・オピニオン、または見解に関する東京エレクトロンによる解釈であり、ガートナーによる本プレゼンテーションのレビューは行われておりません。ガートナーの発行物は、その発行時点における見解であり、本プレゼンテーション発行時点のものではありません。ガートナーの発行物で述べられた意見は、事実を表現したものではなく、事前の予告なしに変更されることがあります。

FPD:フラットパネルディスプレイ

Page 57: 東京エレクトロン株式会社 Corporate Update...7nm以細のパターニングで工程獲得 キャパシタ工程(HARC) Cu配線 (ダマシン化) キャパシタ

CORP IR / 2018.03.07 57