EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm...

70
Source Workshop, Amsterdam, November 5 th 2019 EUV Source for Lithography in HVM: performance and prospects Igor Fomenkov ASML Fellow

Transcript of EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm...

Page 1: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Source Workshop, Amsterdam, November 5th 2019

EUV Source for Lithography in HVM:

performance and prospects

Igor Fomenkov

ASML Fellow

Page 2: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

• EUV lithography in HVM

• Background and History

• EUV Lithography with NXE:3400B

• Principles of EUV Generation

• EUV Source: Architecture

• EUV Sources in the Field

• Source Power Outlook

• Summary

OutlineSlide 2

Public

Page 3: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 3

And it’s here: we see EUV - enabled chips in 2019EUV up and running in High Volume Manufacturing

Public

Page 4: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Advantages of EUVL : Samsung Infographic

Lower patterning cost (limiting multiple exposures)

In a full fab, EUV enables higher output

Slide 4

https://news.samsung.com/global/infographic-euv-samsungs-latest-investment-on-developing-next-generation-semiconductor-products

Public

Page 5: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Why EUV? - Resolution in Optical LithographySlide 5

Critical Dimension:

𝐶𝐷 = 𝑘1 ×

𝑁𝐴

Depth of focus:

𝐷𝑂𝐹 = 𝑘2 ×

𝑁𝐴2

k: process parameter

NA: numerical aperture

: wavelength of light

KrF-Laser: 248nm

ArF-Laser: 193 nm

ArF-Laser (immersion): 193 nm

EUV sources: 13.5 nm

EUV sourceWafer stage

Reticle stage

theoretical limit (air): NA=1

practical limit: NA=0.9

theoretical limit (immersion):NA ≈ n (~1.7)

k1 is process parameter

traditionally: >0.75

typically: 0.3 – 0.4

theoretical limit: 0.25

Public

Page 6: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 6

EUV development has progressed over 30 yearsfrom NGL to HVM insertion

’84

1st lithography

(LLNL, Bell Labs,

Japan)

USA

40 nm hp

70 nm

L&S

Japan

80 nm

160 nm

NL

28 nm Lines and spaces

USA

5 mm

ASML starts

EUVL research

program

ASML ships 1st

pre-production NA

0.25 system

NXE:3100

ASML ships 1st

NA 0.33 system

NXE:3300B

NL

13 nm L/S

ASML ships 1st

HVM NA0.33 system

NXE:3400B

NL

7 nm and 5 nm

node structures

’85 ’86 ’87 ’88 ’89 ’90 ’91 ’92 ’93 ’94 ’95 ’96 ’97 ’98 ’99 ’00 ’01 ’02 ’03 ’04 ’05 ’06 ’07 ’08 ’09 ’10 ’11 ’12 ‘13 ’14 ’15 ’16 ’17 ’18

NL

19 nm Lines and spaces

ASML ships

2 alpha demo tools:

IMEC (Belgium) and

CNSE (USA)

USANL NL NL

Public

Page 7: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

1

10

100

1,000

1985 1990 1995 2000 2005 2010 2015 2020 2025

High-NA EUV targets <7nm resolutionRelative improvement:5X over ArFi, 40% over 0.33 NA EUV

Year of introduction

Slide 7

13.5, EUV

193, ArF

248, KrF

365, i-line

436, g-line

>10x

NA+67%

Re

so

luti

on

, n

m

= k

1x W

avele

ng

th / N

A

Wavelength, nm

>2021

i-Line 365 nm

KrF 248 nm

ArFi 193 nm

ArF 193 nm

EUV 13.5 nm

Production systems

Development systems

XT:1400

NXT:1950i

NXE:3400

High-NA

g-Line 436 nm

NA+45%

𝐶𝐷 = 𝑘1λ

𝑁𝐴

Public

Page 8: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 8

EUV is being ramped up quickly now

Since Jan 2018, EUV systems have run more

wafers (2.5M) than 2011-2017 combined

2013

EU

V y

earl

y ship

ped a

nd c

um

ula

tive c

apacity

[10

3w

afe

rs/d

ay]

NXE:3400B installed base stands at 38 (per

Q2 2019), cumulative EUV wafer capacity

will approach 108 wafers per year by 2020

2020201920182017

0

5

10

15

20

30

35

40

27

10

#systems

in fabs

Capacity (wpd) Cumulative

capacity (wpd)

0

5

10

15

20

25

30

35

40

Worl

dw

ide

insta

lled

ba

se

(3

40

0B

)

4.5M

201920182017201620152014

2M

1.1M

0.6M

Cumulative wafer count

38(per Q219)

25

Installed base NXE:3400B Cumulative EUV wafer count

Public

Page 9: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 9

Thro

ughput [w

afe

rsper

hour]

2014

Q1

2014

Q2

2014

Q3

2014

Q4

2015

Q3

2015

Q4

2016

Q2

2016

Q4

2017

Q1

NXE:3350B

at customers

2017

Q3

2017

Q3

2018

Q1

NXE productivity reached 170 wafers per hour On NXE:3400C in ASML factory

ATP test: 26x33mm2, 96 fields, 20mJ/cm2

NXE:3400B

at customers

NXE:3350B

ASML factory

170

160

150

180

140

110

100

90

80

70

60

50

40

30

20

10

0

130

120

NXE:3300B

at customers

NXE:3300B

NXE:3350B

NXE:3400B

NXE:3400B

ASML factory

NXE:3400B

ASML factory (proto)

NXE:3400B

at customers

NXE:3400C

2019

Q1

2019

Q2

NXE:3400C

ASML factory

Public

Page 10: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

NXE:3400B: 13 nm resolution at full productivitySupporting 5 nm logic, <15nm DRAM requirements Slide 10

New Flex-illuminator

outer sigma to 1.0

Inner sigma to 0.06

reduced PFR* (0.20)

Overlay set up

Set-up and modelling

improvements

Reticle Stage

Improved clamp flatness

for focus and overlay

Projection Optics

Continuously Improved

aberration performance

Wafer Stage

Flatter clamps, improved

dynamics and stability

125WPH

Reduced overhead

Improved source power

*PFR = pupil fill ratio

Overlay

Imaging/Focus

Productivity

Resolution 13 nm

Full wafer CDU < 1.1 nm

DCO < 1.4 nm

MMO < 2.0 nm

Focus control < 60 nm

Productivity ≥ 125 WPH

Public

Page 11: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 11

NXE: 3400C improvements with higher productivity Demonstrated in ASML factory, shipping to the customers

ATP test: 26x33mm2, 96 fields, 20mJ/cm2

Source with Modular Vessel

collector swap <8hrs

Inline tin refill

Wafer Handler

@ ≥170WPH

Faster Reticle Align /

reduced wafer

overhead

Reticle Handler

Improved productivity

DGL Membrane

(optional)

5 5

OpticsTransmission improvement

OFP:3400B (standard)

ORION

UV-LS 2nd Gen

≤ 1.3 nm

Public

Page 12: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 12

World class overlay performance now at 170wph on

NXE:3400C systems

Overlay well in spec at 170 WPH throughput

DCO

MMO

Public

Page 13: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 13

EUV Reticle frontside protection optionsPublic

Reticle

Reflected

illumination

EUV Reticle (13.5nm)

Reticle

particle

pellicle

Reticle with pellicle

Clean system (without pellicle)

Page 14: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 14

0

20

40

60

80

100

120

Aug-16 Nov-16 Mar-17 Jun-17 Sep-17 Dec-17 Apr-18 Jul-18 Oct-18 Feb-19

Par

ticl

es a

dd

ed t

o r

etic

le f

ron

t-si

de

per

10

,00

0 w

afer

exp

osu

res

Reticle front-side adder performance

NXE:3350B NXE:3400B

Each data point represents between 1,000 and 10,000 wafer exposures in ASML factory or at a customer

HVM target

<1/10k

Improvements on hydrogen gas

curtain, parts cleanliness, in-situ

cleaning, factory way-of-working.

Continuous fine-tuning and

reduction of electrostatic effects

Improvement roadmap in place

to consistently meet HVM target.

Addressing particle generation,

release, and transport.

2016 20182017

Reticle front-side defectivity ~1/10k

Clean ScannerPublic

Page 15: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 15

Pellicles robust to at least 10k wafers at 250WNo measurable degradation after 3k Public

NXE:3400B @ 250W, 96 fields per wafer, 50mJ/cm2 sensor based, 35mJ/cm2 in resist

Pellicle #1 0-3k wafers, Pellicle #2 0-6k wafers, Pellicle #3 0-10k wafers, all latest version Mk2.2.

– 0.5%

– 0.6%

– 0.5%

0.0%

+0.3% unexposed

300 wafers

3000 wafers

6000 wafers

10000 wafers

Pellicle #3 pre/post EUVT

delta at 300, 3k, 6k and 10k wafers

0

50

100

150

200

250

300

0 2000 4000 6000 8000 10000 12000

Sou

rce

Po

we

r [W

]

Wafers exposed

Mk2.2 pellicles exposed for up to 10k wafers at 250W

Pellicle #3 Pellicle #2 Pellicle #1

-1.5

-1.25

-1

-0.75

-0.5

-0.25

0

0.25

0.5

0 2000 4000 6000 8000 10000 12000

Ch

nag

e in

EU

VT

[%]

Wafers exposed [#]

Mk2.2 degradation at 250W

Pellicle #1

Pellicle #2

Pellicle #3

Page 16: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 16

EUV pellicle industrialization

83% transmissionTarget 90%

Pellicle Film as of 2018EUV Transmission at 83%

first 88% transmissive pellicle film available mid 2020 Public

88% transmission

Next generation PellicleEUV Transmission at 88%

Page 17: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Scaling drives multiple patterning performance Driven by Edge Placement Error and increasing local CD and placement

7nm 5nm 3nm

15 ~ 20nm

Typical Logic Pattern

Typical Logic EPE budget

9 ~ 13nm

12 ~ 14nm 8 ~ 11nm

7 ~ 9nm 5 ~ 7nm

50%

21%

12%

18%

EPE budget

Logic Node

CD: Critical Dimension, OPC: Mask Optical Proximity Correction

Minimum Half Pitch

40%

28%

11%

21%

Edge placement error (EPE): combined error

of overlay and CD uniformity (global CDU,

local stochastic and OPC error)

25

0 n

m

Slide 17

Public

Page 18: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 18

Resist improved more than 2x in the last 6 yearsImproved Line Width Roughness (LWR) and dose as measured by Z-factor*

EUVJ-2107

2013 2014 2015 2016 2017 2018 2019

Dose 50mJ 58mJ 42mJ 23mJ 21mJ 38 mJ 37mJ

LWR 4.4nm 4.0nm 4.2nm 5.3nm 5.5nm 3.8 3.5

Z-factor 4.0 3.8 3.0 2.6 2.6 2.2 1.9

*Z-factor = Res3 x LWR2 x Dose

16nm DL horizontal; Dipole90Y

Source: ‘Progress in EUV resists towards high-NA EUV lithography’, Xiaolong Wang et al. (PSI), SPIE 2019

2013 2015 2017 2019 2021

0

1

2

3

4

Z-F

acto

r (1

0

)-8

Public

Page 19: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Performance of NXE:3400B in the Field

Slide 19

Public

Page 20: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

0%

10%

20%

30%

40%

50%

60%

70%

80%

90%

100%

0%

10%

20%

30%

40%

50%

60%

70%

80%

90%

100%

20

18

14

20

18

16

20

18

18

20

18

20

20

18

22

20

18

24

20

18

26

20

18

28

20

18

30

20

18

32

20

18

34

20

18

36

20

18

38

20

18

40

20

18

42

20

18

44

20

18

46

20

18

48

20

18

50

20

18

52

20

19

02

20

19

04

20

19

06

20

19

08

20

19

10

20

19

12

20

19

14

20

19

16

20

19

18

20

19

20

20

19

22

20

19

24

20

19

26

20

19

28

20

19

30

20

19

32

20

19

34

20

19

36

NXE:3400B’s availability substantially improved in 2018Growing installed base needs further improvements for high volume

Availa

bili

ty 1

3w

ma (

%)

High volume manufacturing target

Data from growing installed base without configuration repair / upgrades

Weeks (2018/2019)

Maintenance

USD

Average (13wma)

6 systems 29 systems

Upgrades

Slide 20

Public

Page 21: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

250W EUV power, Source availability >85%Customers have started HVM Slide 21

• (left) 250W EUV power meeting dose stability requirements

• (right) >85% Source Availability over 13 weeks at customers,

without configuration repair / upgrades

Public

Page 22: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 22

EUV Source operation at 250W with 99.90% fields meeting dose spec

98.0

98.5

99.0

99.5

100.0

0

50

100

150

200

250

May 2018 June 2018 July 2018 August 2018

Source

power

(W)

Die

yield

(%)

Public

Page 23: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 23

Dose Performance and Slit uniformity show stable resultsSupporting requirements for 5 nm node CD control

0.0

0.5

1.0

1.5

2.0

2.5

3.0

A B C D E F G H I J K L M N O P Q R S T U V W

NXE:3400B Dose System Performance, DSP

Slit uniformity

Do

se

pe

rfo

rma

nc

e [

%]

Public

Page 24: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 24

0.33 NA | 13nm

NXE and EXE to drive scaling beyond the next decadeCost-effective scaling through accelerated innovation and lithography simplification

1 Tput: Throughput upgrade (wph)

0.55 NA | 8nm

2016 2017 2018 2019 2020 2021 2022 2023 …2025

EUV

EUV

4.0nm

125wph

Matched Machine OverlayThroughput

NXE:3350B

3.0nm

125wph

NXE:3400B Overlay

2.5nm

TPut1

155wph

NXE:3400C

2.5nm

170wph

NXE:Next

<1.5nm

≥185wph

EXE:50001.7nm

185wphMatched Machine Overlay

Throughput

EXE to be introduced at the 3 nm node for affordable scaling beyond the next decade

NXE will be extended to provide state-of-the-art overlay and node-to-node productivity improvements

Public

Page 25: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 25

TWINSCAN EUV Product RoadmapSupporting customer roadmaps well into the next decade

2016 2017 2018 2019 2020 2021 2022 2023 …2025

NXE Next<1.5nm | ≥ 185wph

NXE:3400C2.5nm | 170wph

TPut155

OVL2.5nm

NXE:3400B3.0nm | 125wph

NXE:3350B4.0 | 125wph

EUV

0.33 NA

13nm

0.55 NA

8nmEXE:50001.7nm | 185wph

EUV 0.33 NA

EUV 0.33 platform will be extended to provide state of the

art overlay and node to node productivity improvements

S3 for NXE:3400C

>250 W,

Modular vessel,

availability to ~95%

S3 (Now)

Up to 250 W (at

155 wph) + gas,

water, electrical

simplification

S2: source

power to

125W

EUV Source Next

Power scaling for throughput, dose

and stability improvements, further

step in availability.

Source horizontal to support

EXE5000.

EUV

source

EUV

scanner

S3 Intro:

source

power to

200W

Public

Page 26: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

In the same way that 0.33NA enables 7nm and 5nm Logic, 0.55NA EUV

will be needed to enable 3nm Logic

Deliver continuation of shrink roadmap: EXE platform

Process simplification and improved

device performance

>50% cost reduction

compare to multi-patterning schemes

3 to 6x cycle time reduction for critical

layers

Best in class overlay performance

and focus performance

Public

Slide 26

Page 27: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

High-NA Field and Mask Size productivityThroughput >185wph with Half Fields

Fast stages enable high throughput despite half fields

WS, RS, current

WS 2x, RS 4x

HF

FF

Slide 27

Thro

ugh

pu

t [3

00

mm

/hr]

Source Power/Dose [W/(mJ/cm2]

Throughput for various source powers and doses

0

20

40

60

80

100

120

140

160

180

200

0 5 10 15 20 25 30 35

500 Watt

30 mJ/cm2

0.33NA

High-NA

250 Watt

20 mJ/cm2

Acceleration of mask stage ~4x..

Acceleration of wafer stage ~2x..

Public

Page 28: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

EUV: Principles of Generation

Slide 28

Public

Page 29: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Laser Produced Plasma Density and Temperature

Nishihara et al. (2008)

EUV

LPP

Ion density ~ 1017 – 1018 #/cm3

Temperature ~ 30 -100 eV

Slide 29

Public

Page 30: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Fundamentals: EUV Generation in LPPLaser produced plasma (LPP) as an EUV emitter Slide 30

30 micron diameter tin droplet

Focused

Laser light

electrons

tin ions

“ejecta”

microparticles

tin vapor

1. High power laser interacts with liquid tin producing a plasma.

2. Plasma is heated to high temperatures creating EUV radiation.

3. Radiation is collected and used to pattern wafers.

Tin Laser Produced Plasma

Image

EUV

EUV

EUV

EUV

Dense hot

Plasma

Public

Page 31: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

109

1010

1011

1012

0

1

2

3

4

5

6

7

8

9

10

CE

(%

)

Intensity (W/cm2 )

Tin target, 50 mm

10 ns isquare-top laser

CE calculated with

Gaussian 2% bandwidth

10.6 mm

5.0 mm

2.0 mm

1.064 mm

532 nm

355 nm

266 nm

Slide 31

Modelled EUV CE of LPP Sn Plasma vs. Wavelength

EUV CE defined into 2% bandwidth, 2p sr solid angle

Simulation Assumptions:

• 1D modeling

• Sn flat target (50um thickness)

• Laser Pulse: 10ns duration (rectangular)

• Uniform radial distribution of intensity in beam spot

• Prizm Computational Sciences, Inc., 2005

Public

Page 32: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 32

EUV Spectra of Laser Produced Sn Plasma

10 11 12 13 14 15 16 17 18 19 200.0

0.2

0.4

0.6

0.8

1.0

EUV spectrum

Inte

nsity (

arb

. u.)

Wavelength (nm)

EUV Spectrum and MLM Reflectivity

0

20

40

60

80

100

Reflectivity (

%)

MLM reflectivity

Peak of EUV spectrum matches the MoSi

multilayer reflectivity band at 13.5 nm

6 8 10 12 14 16 18 20 220

1

2

3

4

5

6

Sn, 355nm

Sn, 1064nm

EUV mirror

Sig

nal,

(a.u

.)

Wavelength, (nm)

EUV spectrum with CO2 Laser

at 10.6 mm

EUV spectrum with Nd:YAG Laser

at 1064 nm and 355 nm

Public

Page 33: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 33

LPP Target Material and Laser Wavelength Options

CO2 Laser with Sn target was selected for industrialization in 2006

Public

Page 34: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Plasma simulation capabilitiesMain-pulse modeling using HYDRA

Main-pulse

shape

1D simulations are fast and useful

for problems that require rapid

feedback and less accuracy

3D:

+ real asymmetric

profile

2D and 3D

simulations are run

for the full duration

of the Main pulse.

Results include

temperature,

electron density,

spectral emission,

etc.

Target

Sn target using a real

irradiance distribution

1D:

real pulse shape

2D:

+ symmeterized

beam profile

Electron density (top half) with laser light (bottom half)

500μm500μm500μm

500μ

m

Po

we

r (a

rb. U

nits)

Time

Slide 34

Public

Page 35: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Simulation of the EUV source

The plasma code’s outputs

were processed to produce

synthetic source data. The

comparison to experiments

helps to validate the code and

understand it’s accuracy.

Measured Shadowgrams

Simulated Shadowgrams

Simulated EUV spectra

Emission anisotropy

Collector rim

Reflected laser modelingC

onve

rsio

n E

ffic

iency (

%)

Target Diameter (µm)

Flu

en

ce

(J/c

m2

/S/m

m)

Wavelength (nm)

Simulation

Conversion Efficiency

Slide 35

Public

Page 36: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

EUV Source: Architecture

and Operation Principles

Slide 36

Public

Page 37: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 37

EUV Lithography System Schematic

Reticle-stage

Wafer stage

LPP SourceIntermediate focus

Illuminator

Projection optics

Collector

Drive Laser

Plasma

Public

Page 38: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

• Key factors for high source power are:High input CO2 laser power

High conversion efficiency (CO2 to EUV energy)

High collection efficiency (reflectivity and lifetime)

Advanced controls to minimize dose overhead

Vessel

With Collector, Droplet

Generator and Metrology

Focusing Optics

Ele

ctr

on

ics

Ele

ctr

on

icsControllers for Dose

and Pre-pulse

*∝EUV power

(source/scanner interface, [W]) CO2 power [W]

Conversion

Efficiency1 – Dose

Overhead *

Pre-PulseIs

ola

tor

PreAmp PASeed

op

tics

op

tics PAo

pti

cs

op

tics PAo

pti

cs

Power Amplifier

Beam Transport SystemFab Floor

Sub-Fab FloorMaster Oscillator

PA op

tics

Pre-pulse

seed laser

trigger control

Slide 38

LPP: Master Oscillator Power Amplifier (MOPA)

Pre-Pulse Source ArchitecturePublic

Intermediate

Focus, (IF)

Page 39: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 39

EUV System overview

Scanner

VesselFinal

Focus

Assembly

Beam

Transport

System

Drive Laser

Ancillaries

Drive Laser

Common Housing[Power Amplifiers]

Public

Page 40: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 40

Industrial high power CO2 laser High beam quality for gain extraction and EUV generation

▪ 4 cascaded power amplifiers (PAs) in HPAC

▪ Individually optimized geometry and settings

▪ Connected by relay optics

▪ Extensive metrology between amplifiers & at DL exit

PA0 PA1 PA2 PA3

HPSM

On-droplet

High Power Amplifiers

BTS

Public

Page 41: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

NXE:3XY0 EUV Source: Main modulesPopulated vacuum vessel with tin droplet generator and collector Slide 41

Droplet GeneratorDroplet Catcher

EUV Collector

Intermediate Focus

Public

Page 42: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 42

EUV Source: MOPA + Pre-Pulse OperationPublic

Beam Diameter 100mmDroplet Diameter 27mmCO2 beam

Pre-pulse

CO2 beam

Main pulseBeam Diameter 400mmTarget Diameter 400mm

Droplet stream of 27mm droplets

80 m/s

TargetExpansion

EUV

CO2 beam

Pre-pulse

CO2 beam

Main-pulse

TargetExpansion

Spatial View

PP MP10.59 µm

Temporal View

Time

Z

X

Page 43: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Droplet generation

Slide 43

Public

Page 44: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

0 10 20 30 40 50 60

-40

-20

0

20

40

60

80

100

Dro

ple

t positio

n, m

m

Time, hours

Slide 44

Droplet Generator: principle of operation• Tin is loaded in a vessel & heated above melting point

• Pressure applied by an inert gas

• Tin flows through a filter prior to the nozzle

• Tin jet is modulated by mechanical vibrations

Nozzle

Filter

ModulatorGas

Sn

0 5 10 15 20 25 30-10

-5

0

5

10

Dro

ple

t p

ositio

n, mm

Time, sec

140 mm 50 mm 30 mm

Short term droplet

position stability σ~1mm

16 mm

Public

Droplet position stability, long and short term

Droplets of different sizes can be generated

Pressure: 1005 psi Frequency: 30 kHz Diameter: 37 µm Distance: 1357 µm Velocity: 40.7 m/s

Pressure: 1025 psi Frequency: 50 kHz Diameter: 31 µm Distance: 821 µm Velocity: 41.1 m/s

Pressure: 1025 psi Frequency: 500 kHz Diameter: 14 µm Distance: 82 µm Velocity: 40.8 m/s

Pressure: 1005 psi Frequency: 1706 kHz Diameter: 9 µm Distance: 24 µm Velocity: 41.1 m/s

Fig. 1. Images of tin droplets obtained with a 5.5 μm nozzle. The images on the left were obtained in

frequency modulation regime; the image on the right – with a simple sine wave signal. The images

were taken at 300 mm distance from the nozzle.

Page 45: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 45

Droplet Generator: Principle of Operation

Multiple small droplets coalesce together to form larger droplets at larger separation distance

Large separation between the droplets by special modulationPublic

Page 46: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 46

Forces on Droplets during EUV Generation

High EUV power at high repetition rates drives requirements

for higher speed droplets with large space between droplets

Public

Droplet deformations induced by LPP of previous pulses

Lowest vibrational

mode n=2

Page 47: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 47

Droplet Generator: Principle of OperationLarge separation between the droplets by special modulation

Tin droplets at 80 kHz and at different applied pressures.

Images taken at a distance of 200 mm from the nozzle

1.5 mm

Increasing

Droplet Generator

Pressure

Public

Page 48: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 48

Increase of droplet spacingLarger separation between the droplets needed for higher pulse energies

Droplet spacing of 1.5 mm demonstrated at 80 kHz

30 40 50 60 70 80 90 100 110 1200.0

0.5

1.0

1.5

2.0

2.5

3.0

3.5

4.0

Droplet Spacing vs Frequency vs Pressure

14 MPa

28 MPa

42 MPa

56 MPa

70 MPa

84 MPa

140 MPa

Dro

ple

t-to

-dro

ple

t d

ista

nce

, m

m

Frequency, kHz

Public

Page 49: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 49

Droplet generator history Improvements in performance and availability

Public

Page 50: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

EUV Collection

Debris management

Slide 50

Public

Page 51: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 51

Collector Protection by Hydrogen Flow

Sn droplet /

plasma

H2 flow

Reaction of H radicals with Sn

to form SnH4, which can be

pumped away.

Sn (s) + 4H (g) → SnH4 (g)

• Hydrogen buffer gas (pressure

~100Pa) causes deceleration of ions

• Hydrogen flow away from collector

reduces atomic tin deposition rate

Laser beam

IntermediateFocus

Sn

catcher

DG

EUV collector

• Vessel with vacuum pumping to

remove hot gas and tin vapor

• Internal hardware to collect micro

particles

Public

Page 52: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 52

EUV Collector: Normal Incidence

• Ellipsoidal design

• Plasma at first focus

• Power delivered to exposure tool at second focus (intermediate focus)

• Wavelength matching across the entire collection area

Normal Incidence Graded

Multilayer Coated Collector

Public

Page 53: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 53

Hydrogen gas central to tin management strategy

Hydrogen performs well

for all these tasks!

Requirements for buffer gas:

➢ Stopping fast ions (with high

EUV transparency)

➢Heat transport

➢ Sn etching capability

High heat capacity High thermal conductivity

High EUV transparency

Public

Page 54: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 54

Debris in the tin LPP EUV source

Primary debris – directly from plasma and before

collision with any surface:

➢ Heat and momentum transfer into surrounding gas

o Kinetic energy and momentum of stopped ions

o Absorbed plasma radiation

➢ Sn flux onto collector

o Diffusion of stopped ions

o Sn vapor

o Sn micro-particles

Sn

Sn

Sn vapor (diffusion debris)

Sn particles

Sn+ Fast Sn ions (line of sight debris)

Public

Page 55: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 55

3D measurement of fast tin ion distributions Faraday cups measure tin ion distributions

LASER

Ion measurements inform H2 flow requirements for source

Target direction

Laser direction

FC direction

Faraday cup

Red = moreBlue = less

Public

Page 56: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 56

Measurement of fast tin ion and radiation distributions Multiple sensors on a rotating frame

Sensors

• Faraday Cups: ion energy and charge distributions

• CO2 PEMs: scattered infrared radiation

• EUV PDs: EUV emission and anisotropy

Applications

• Input to Plasma-Gas Interaction /

Computational Fluid Dynamics model

• Evaluation of collector protection capability

• Improvement of Conversion Efficiency

Public

Page 57: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 57

Tin ion distributions

Data are used for optimization of H2 flow in the source

Public

Page 58: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

EUV Source improvements

Slide 58

Public

Page 59: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

EUV Source: Changes to Vessel in 2019Introduction of modularity enables faster service and lower downtime

Current vessel Modular vessel (EUV source for 3400C)

1: Collector swap door

2: Vessel service door

3: Metrology directly on vessel, fast EUV recovery

4. Continuous tin supply droplet generator

5. Eliminate manual laser beam adjustment

13

2

4

5Droplet GeneratorDroplet Catcher

EUV Collector

Intermediate Focus

Slide 59

Public

Page 60: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 60

Public

0

10

20

30

40

50

60

Collector Mirror Droplet generator replacement Droplet generator refill

Mea

n T

ime to

Rep

air

(hrs

)

Current Vessel NXE:3400CMTTR includes total time for diagnostics, access, part replacement and system recovery (green to green)

4x

3x

NXE:3400C improves serviceability and reduces maintenance time

with new vesselSlide 60

Public

Page 61: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 61

NXE:3300B

Degradation rate

[%/GP]

0.6

Power [W] 80

Swap time [hr] 120

NXE:3350B

Degradation rate

[%/GP]

0.4

Power [W] 125

Swap time [hr] 50

NXE:3400B

Degradation rate

[%/GP]

0.15

Power [W] 250

Swap time [hr] 28

Far Field EUV intensity (image of the collector)

Reduced EUV collector degradation and swap times

leading to higher productivity and availability

Collector lifetime improvements4x reduction in collector degradation at 3x higher power

Normalized average collector reflectivity

Public

Page 62: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 62

Improved debris mitigation At 250 watt of EUV power

Data from the EUV source development system

0

0.2

0.4

0.6

0.8

1

1.2

0 10 20 30 40

Co

lle

cto

r re

fle

cti

vit

y [

arb

.]

Pulse count [Billion Pulses]

Public

Page 63: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

EUV Source Power Outlook

Slide 63

Public

Page 64: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

>250W is now demonstrated,

Shipping started in the end of 2017

Progress for in EUV power: 250W

∝EUV power

(source/scanner interface, [W]) CO2 power [W]

Conversion

Efficiency*1 – Dose

Overhead *

Increase average and peak laser power

Enhanced isolation technology

Advanced target formation technology

Improved dose-control technique

Slide 64

Public

Operation Parameters

Repetition Rate 50kHz

MP power on droplet 21.5kW

Conversion Efficiency 6.0%

Collector Reflectivity 41%

Dose Margin 10%

EUV Power 250 W

Page 65: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 65

500W in-burst EUV power demonstrationDemonstrated on the development system at 80 kHz

0 1 2 3 4 5 6 7 8 9 100

2000

4000

6000

8000

10000

12000

14000

16000

Nu

mbe

r o

f p

uls

es

EUV pulse energy, mJ

500 W

450 W

EUV source power and key technology steps

Open loop, 15 ms Bursts, 80 kHz,3% duty cycleOn the development system

EUV pulse energy histograms

Historical trend: ~ two years from demonstration in research to a product

Public

Page 66: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 66

Increase of CO2 laser powerHigh beam quality for gain extraction and EUV generation

Public

Source: Beam Quality of Higher Power Lasers- EUVL 2018 Trumpf

Key technologies:1. Pulsed drive laser with high

average power capability 2. Gain distribution inside

amplification chain3. Isolation between amplifiers4. Metrology, control, and

automation

Page 67: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 67

Summary

EUV chips have made it to the end market!

Our customers are ramping up EUV for the 7nm Logic node and preparing for the 16nm DRAM node with systems deliveries and qualification on-going. EUV layers adoption continues to grow to reduce patterning complexity and cost

ASML EUV lithography systems continue to improve on productivity and availability supporting our Logic and DRAM customers roadmap while maintaining, state of the art overlay performance and year on year cost reduction

- Dose-controlled power of 250W on multiple tools at customers

- Droplet Generator with improved lifetime and reliability >700 hour average runtime in the field>3X reduction of maintenance time

- Collector lifetime improved to > 100Gp (4X at 3X higher power)

Availability improvements are well underway to meet our customers requirements, with the NXE:3400C supporting >90% availability

Path towards 500W EUV demonstrated in research

- EUV CE is up to ~ 6 %

- In burst EUV power demonstration up to 500W

- CO2 Laser development supports EUV power scaling

Public

Page 68: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 68

Acknowledgements:

Alex Schafgans, Peter Mayer, Slava Rokitski, Jayson Stewart, Andrew LaForge, Alex Ershov, Michael Purvis, Yezheng Tao, Mike Vargas, Jonathan Grava, Palash Das, Lukasz Urbanski, Rob Rafac, Alex Frenzel, Niels Braaksma, Joshua Lukens, Chirag Rajyaguru, Georgiy Vaschenko, Carmen Zoldesi, Qiushi Zhu, Adam Kielczewski, Klaus Hummler, Silvia De Dea, Martijn Leenders, Payam Tayebati, David Brandt, Daniel Brown and many others.

ASML US LP, 17075 Thornmint Ct. San Diego, CA 92127-2413, USA

Marcel Mastenbroek, Jan van Schoot, Roderik van Es, Mark van de Kerkhof, Dmitry Kurilovich, Leon Levasier, Daniel Smith, Uwe Stamm, Sjoerd Lok, Arthur Minnaert, Martijn van Noordenburg, Jowan Jacobs, Joerg Mallmann, David Ockwell, Henk Meijer, JudonStoeldraijer, Christian Wagner, Eelco van Setten, Jo Finders, Koen de Peuter, Chris de Ruijter, Milos Popadic, Roger Huang, Marcel Beckers, Rolf Beijsens, Kars Troost, Andre Engelen, Dinesh Kanawade, Arthur Minnaert, Niclas Mika, Vadim Banine, Jos Benschop and many others.

ASML Netherlands B.V., De Run 6501, 5504 DR Veldhoven, The Netherlands

Public

Page 69: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements

Slide 69

Acknowledgements:Public

Page 70: EUV Source for Lithography in HVM: performance and prospects · 2019. 12. 12. · MMO < 2.0 nm Focus control < 60 nm Productivity ≥ 125 WPH Public. Slide 11 NXE: 3400C improvements