émetteur-récepteur Manchester

14
Etude dun émetteur, récepteur Manchester Effectué par : Siham MAJID

Transcript of émetteur-récepteur Manchester

Etude d’un émetteur,

récepteur Manchester

Effectué par : Siham MAJID

Émetteur et récepteur Manchester

Introduction :

Le codage Manchester, également appelé codage biphasé, introduit une

transition au milieu de chaque intervalle. Il consiste en fait à faire un OU

exclusif (XOR) entre le signal et le signal d'horloge, ce qui se traduit par un

front montant lorsque le bit est à zéro, un front descendant dans le cas

contraire.

D’autre part on peut dire que Le codage Manchester est un codage synchrone. Il

est utilisé dans les réseaux informatiques pour injecter sur le média physique

(couche 1 du modèle OSI) les valeurs logiques correspondant au flux d'entrée.

Le code utilisé pour représenter une donnée numérique est le code NRZ :

un NL1 (niveau logique 1) est représenté par 5V par exemple

un NL0 (niveau logique 0) par 0 V ou -5 V

L’idée du codage Manchester ou biphasé consiste à représenter les informations

logiques, non pas par des niveaux (5 V et, 0 ou -5 V), mais par des transitions :

une NL1 par un front descendant au milieu de la période d’horloge

un NL0 par un front montant au milieu de la période d’horloge

Comme la montre la figure suivant :

Emetteur Manchester :

Le schéma de l’émetteur Manchester est donné par la figure suivante :

Fonctionnement :

A partir d’un signal carré issu de l’oscillateur de référence on obtiendra un

signal d’horloge (Horloge d’émission) deviser par 16,32,64 selon ce qui convient .

L’horloge attaquera ensuite un générateur pseudo-aléatoire ce qui permettra de

générer une séquence NRZ permettra de tester à l’émetteur et le récepteur

avec un signal proche de la réalité qu’une suite de niveaux identiques ou qu’une

alternance de 1 et de 0.

Enfin le codeur va réaliser une translation du code NRZ vers le code

Manchester et le reste du circuit permettra d’attaquer la ligne

.

Dans La suite on va programmer chacun de ces blocs en langage VHDL :

Le diviseur de fréquence :

Comme son nom l’indique un diviseur de fréquence permettra de diviser la

fréquence en une autre 32 et 64 fois plus petite que la fréquence d’entrée.

Programme VHDL :

Simulation :

Le générateur pseudo-aléatoire :

Un générateur pseudo aléatoire permet de générer à partir de N bascules D

montées en registre de décalage une suite périodique de 2N-1 codé en

NRZ,l’entrée du registre à décalage est une combinaison d’un ou exclusif de la

sortie du registre et d’une ou plusieurs bascules dont le rang dépend de N .

Le schéma suivant résume tous ce qu’on vient de dire :

Programme VHDL :

Simulation :

Le codeur :

Programme VHDL :

Simulation :

La simulation fonctionnelle de l’ensemble :

La simulation temporelle de l’ensemble :

Récepteur Manchester :

Le schéma du récepteur Manchester est donnée par la figure suivante :

Un détecteur de front va dans un premier temps, à partir du signal incident

filtré, amplifié et remis en forme, VE, fournir une brève impulsion en VI à

chaque front montant ou descendant.

Fig. : Détecteur de front

Pour décoder le signal reçu, nous allons utiliser le fait qu’au milieu de chaque

période de l’horloge d’émission, un front montant (pour un NL1 de la donnée NRZ)

ou descendant (pour un NL0 de la donnée NRZ) représente la valeur cherchée.

Il suffira donc d’aller lire le signal reçu après ce front pour avoir la valeur NRZ,

aux trois quarts de la période de l’horloge d’émission par exemple.

On voit bien claire qu’à la sortie du détecteur de front on a deux types

d’impulsion, des impulsions systématiques et d’autres aléatoires on a intérêt à

supprimer les dernières par l’intermédiaire d’un monostable M1 à front montant

qui va nous fournir un NL1 durant 75% de la période d’horloge, il nous faut aussi

un autre monostable M2 placé à la sortie M1 qui fournira à son tour un NL0

pendant la duré de 25%.

Le monostable est un circuit purement numérique comme le montre la figure

suivante :

Enfin on a un échantionneur numérique qui transmettra à sa sortie la valeur du

signal reçu par le récepteur au moment de sn front montant.

Comme dans l’étude de l’émetteur on va écrire le programme de chaque

élément de la chaine de réception :

Détecteur de front :

Programme VHDL :

Simulation :

Monostable :

Programme VHDL :

Simulation :

Echantionneur :

Programme VHDL :

Simulation :

Simulation de l’ensemble :