%%Chapter 13 MEMS Slides 110407

download %%Chapter 13 MEMS Slides 110407

of 89

Transcript of %%Chapter 13 MEMS Slides 110407

Chapter 13MEMS Testing

1EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 1

What is this chapter about?Microeletromechanical Systems (MEMS)Have emerged as a successful technology by utilizing the existing infrastructure of the integrated circuit (IC) industry. MEMS along with IC has created new opportunities in physical, chemical and biological sensor and actuator applications.

Focus onTesting considerations for MEMS, test methods and instrumentation for MEMS. Overview of testing approaches for RF MEMS, Optical MEMS, Fluidic MEMS, Accelerometers, Gyroscopes, and Microphones. Testing Digital Microfluidic Biochips, DFT and BIST for MEMS.

2EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 2

TopicsIntroduction MEMS Testing Considerations Test Methods and Instrumentation for MEMSElectrical, Optical, and Mechanical Test Methods Material Property Measurements, Failure Mode and Analysis, and Environmental Testing

Test Methods forRF MEMS, Optical MEMS, Fluidic MEMS, Accelerometers, Gyroscopes, and Microphones Digital Microfluidic devices.

DFT and BIST for MEMSOverview of DFT and BIST techniques, and MEMS BIST examples

Concluding Remarks3EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 3

13.1 IntroductionMEMS devices are miniature electromechanical sensors and actuators fabricated using VLSI processing techniques. Typical sizes for MEMS devices range from nanometers to millimeters (100 nm to 1000 m). MEMS enhances realization of system-on-chip (SOC) by integration of mixed domain technologies such as electrical, optical, mechanical, thermal, and fluidics. Typical examples for commercial MEMS devices include Analog Devices ADXL series accelerometers, FreeScale Semiconductors pressure sensors and accelerometers, Texas Instruments digital light processing (DLP) displays, and Knowles Electronics SiSonic MEMS microphone. Microfluidics-based biochips, also referred to as lab-on-a-chip, are replacing cumbersome and expensive laboratory equipment for applications such as high-throughput sequencing, parallel immunoassays, protein crystallization, blood chemistry for clinical diagnostics, and environmental toxicity monitoring. To ensure the testability and reliability of these MEMS-based SOCs, MEMS devices need to be thoroughly tested, particularly when used for safety-critical applications such as in the automotive and healthcare industry. Therefore, there is a pressing need for design for testability (DFT) and built-in self-test (BIST) of MEMS4EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 4

13.2 MEMS Testing ConsiderationsHandle with Care! MEMS devices necessitate special considerations during fabrication processes such as handling, dicing, testing, and packaging. The micromechanical parts need to be protected from shock and vibration during transport and packaging. Extreme care must be taken to avoid particle contamination at various processing steps involved in MEMS fabrication. As a common practice in MEMS industry, the backside of a fully processed wafer is attached to an adhesive plastic film and then mounted in a rigid frame for dicing at the wafer-processing facility.5EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 5

13.2 MEMS Testing Considerations (Continued)Testing die vs. Packaged deviceMEMS devices often require packaging before dicingthat is, 0-level packaging at the wafer level by either wafer-to-wafer bonding or local bonding of minature caps (e.g., Si or glass) over the MEMS structure using a hermetic sealing ring. MEMS test methods and instrumentation vary depending on whether the testing is performed at the wafer level (i.e., unpackaged die) or on packaged devices. Wafer-level testing is carried out using precision-controlled wafer probers that step from die to die on the wafer, making electrical contact using needle probes. Fully packaged MEMS devices can be tested with the electrical and non-electrical inputs required for the sensor to function. A variety of environmental test methods commonly used for testing packaged ICs can be directly employed for testing packaged MEMS devices. Many standard tests are common to both ICs and MEMS, such as thermal cycling, high temperature storage, thermal shock, and high humidity. However, many MEMS packages need to fulfill additional specifications.

6EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 6

13.3 Test Methods and Instrumentation for MEMSTest Instrumentation for testing MEMSMEMS encompass a wide variety of applications such as inertial sensors (accelerometers and gyroscopes), RF MEMS, optical MEMS, and bio or fluidic MEMS. Test instrumentation depends on the specific type of MEMS device and the desired performance characteristics. For example, inertial MEMS sensors require different test instrumentation than RF MEMS.

Functionality Testing vs. Reliability/Failure TestingMEMS testing can be categorized as (1) functionality and performance testing and (2) reliability/failure testing. In functional testing, the characteristic performance parameters are measured and compared against benchmark specifications to verify the intended operation of the MEMS device. In reliability/failure testing, the performance degradation over sustained operation or shelf life and eventual failure of the device are investigated. Quite often the borderline between functional testing and reliability testing is not always clear. Have emerged as a successful technology by utilizing the existing infrastructure of the integrated circuit (IC) industry.

7EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 7

13.3 Test Methods and Instrumentation for MEMS13.3.1 Electrical Test MethodElectrical tests are one of the most important methods employed to characterize MEMS. A typical electrical test setup consists of a probe station interfaced with the required test instrumentation. A wide range of electrical test equipment used for VLSI testing is commonly used to perform electrical characterization of MEMS devices. Typical electrical test instrumentation includes current, voltage, and resistance measurement systems, capacitance-voltage measurement systems, impedance analyzers for low-frequency characterization, network analyzers for high-frequency characterization, and signal analyzers. Probe lengths and wire types (shielded and unshielded) must also be carefully considered. For instance, resistance measurements must include a means for reducing contact errors. Capacitance measurements need to take into account the stray capacitance in test lines.

8EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 8

Test Methods and Instrumentation for MEMS13.3.1 Electrical TestA typical experimental setup used for testing an electrostatically actuated MEMS relay is shown below. The setup shown consists of an Agilent 33220A function generator, Krohnit 7600 Wideband Amplifier, HP 54501A Oscilloscope, MM8060 Micromanipulator Probe Station, and HP3468A 4-wire Multimeter. The basic test setup described here can be used to test a variety of actuators including electrostatic, thermal, and piezoelectric.

EE141 System-on-Chip Test Architectures

Source: L. Almeida, R. Ramadoss, R. Jackson, K. Ishikawa, and Q. Yu, Study of Electrical Contact Resistance of Multi-Contact MEMS relay fabricated using MetalMUMPs process, J. Micromechanics and Microengineering, 16(6), pp. 11891194, July 2006.

9

Ch. 13 - MEMS Testing - P. 9

13.3 Test Methods and Instrumentation for MEMS13.3.2 Optical Test MethodsMEMS actuators typically include mechanical motion associated with the electrical signals. Optical profilometers, such as an optical microscope, confocal microscope, optical interferometers, and laser Doppler vibrometer, are useful for making static and dynamic measurements of MEMS devices. An optical microscope equipped with high-resolution objectives and accurate graticule can be used to measure MEMS features in a twodimensional plane view. Modern confocal microscopes employ low-cost lasers and computers to scan a thin slice through the specimen. The optical interferometers make use of white light (e.g., a sodium lamp) or of coherent monochromatic light (a laser light). Optical interferometers are useful for measuring noncontact three-dimensional profiles of MEMS devices. Examples of optical interferometers include Wyko series manufactured by Veeco Instruments, NewView 6000 series manufactured by Zygo, PhotoMap 3-D profilometers by Fogale nanotech, and the Xi-100 developed by Ambios Technology.10EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 10

13.3 Test Methods and Instrumentation for MEMS13.3.2 Optical Test Setup Laser Doppler Vibrometer (LDV)Laser Doppler vibrometry (LDV) is based on the modulation of laser interference fringes caused by motion of the device under test (DUT). The fringe pattern in a Doppler vibrometer is moving at a rate proportional to the device motion. By measuring the time rate of change in distance between successive fringes, a vibrometer can measure displacement as well as velocity. The direction of motion can be determined by observing the Doppler effect on the modulation frequency. LDV is useful for measuring transient and steady-state responses of MEMS devices. A wide variety of LDVs for MEMS applications are available such as Polytecs MSA-400 Microsystem Analyzer, which uses white light interferometry for static surface topography, laser Doppler vibrometry for measuring out-of-plane vibrations, and stroboscopic video microscopy for measuring in-plane motion.

Stroboscopic video microscopy Static surface topography Out-of-plane vibrations

Amplitude

Source: MEMS Geometry and vibrations, Laser Measurement Systems Application Note VIB-M-05, Polytec GmbH, www.polytec.com, 2007.

EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 11

Phase

11

13.3 Test Methods and Instrumentation for MEMS13.3.3 Material Property Measurements Material properties and processing parameters influence the functionality and reliability of MEMS. Relevant material properties include elastic modulus, Poisson's ratio, fracture toughness and mechanisms, electrical properties (resistivity, migration), interfacial strength, and coefficient of thermal expansion. MEMS-based test structures such as cantilever beams, clamped-clamped beams, and Guckel rings are often co-fabricated on the wafer for making stress and strain measurements. Optical profile measurements of these test structures can be used for estimation of the strain gradient, residual strain, and material properties. For example, the curvature of cantilever beams can be used to obtain the stress gradient present in the film. Buckling behavior of fixed-fixed test structures can be used to obtain compressive stresses in the film. A Guckel ring can be used to obtain tensile stress information.

Cantilever Beam

Clamped-Clamped Beam

Guckel Ring

12EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 12

13.3 Test Methods and Instrumentation for MEMS13.3.4 Failure Modes and Analysis MEMS have specific failure modes, such as fatigue, wear, fracture, and stiction. Several kinds of test structures are commonly used to study materials related reliability issues such as fatigue. Typically, samples with a preformed notch are used, such that the growth of a crack during functioning can be studied, either by direct optical observation or by a study of the influence on the Eigen-frequency of a beam or similar structure, for example Surface roughness can affect issues such as stiction, wear, contact degradation, and contact resistance. Contact profilometers such as Dektak stylus profilers can be used to measure the surface roughness and the thickness of thin films. Atomic force microscopy (AFM) is a useful tool for measuring surface roughness. It should be pointed out that the roughness of the top surface of a moving MEMS part is not necessarily the same as the roughness of the bottom surface. To measure the bottom side roughness, the moving part can simply be removed destructively or in some cases even cut with a focused ion beam (FIB) and examined. An AFM can also be used to obtain information on mechanical parameters, contact resistance as a function of force, or even tribological information such as adhesion forces. Also nanoindentor systems are frequently used to study MEMS: they can provide information on the Young's modulus of materials by physically indenting them, and they can also be used to obtain force-displacement curves of moving parts. Several failure analysis (FA) techniques that are conventionally used for chips and packages can also be used for MEMS. Especially useful is the scanning electron microscope (SEM) for inspection and the focused ion beam (FIB) to make local cross sections. Additional techniques include transmission electron microscopy (TEM), photon emission microscopy (PEM), scanning acoustic microscopy (SAM), infrared inicroscopy (In), x-ray, and Raman spectroscopy.

13EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 13

13.3.4 Overview of Mechanical MEMS DevicesThey can be modeled as second order systems consisting of: proof mass spring damping & & x System dynamics modeled by: m&&2 + c( x2 x1 ) + k ( x2 x1 ) = 0 where x1(t) is the input; x2(t) is the outputSpring, k Air damping Frame Electrode x1(t) Mass, m Spring, k Mass, m Damper, c

x2(t)

14EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 14

TransmissibilityT(s) is defined as:nT ( s) = X 2 ( s) Q = X 1 ( s ) s 2 + n s + 2 n Q2 s + n

Natural frequency: n = Quality factor:Q= km cn Q

k

m

Transmissibility:( T ( j ) =4 ) 2 + n

2 (n 2 ) 2 + (

n Q

)215

EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 15

Transmissibility PlotFor Q 5, the resonant peak of |T(j)| occurs at approximately = n For Q 5, the magnitude of |T(j)| at = n is approximately equal to QTransmissibility Vs. Normailzed Frequency70

50

30 Magnitude, dB

Q=1000 Q=100 Q=10 Q=1 Q=0.1

10

-10

0

2

4

6

8

10

-30

-50 Normalized Frequency, Hz

16EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 16

Electromechanical ShakerCan subject an attached device to sinusoidal motion Adjustable amplitude Adjustable bandwidth Useful in measuring |T(j)| of a MEMS device

Photograph of an LDS model V408 electromechanical shaker with an attached accelerometer (courtesy Auburn University).

17EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 17

Rate Table

A machine used to rotate attached devices Provides electrical feedthroughs for functional testing Useful for angular rate testing Useful for variable acceleration testing using centripetal force:a c = r 2EE141 System-on-Chip Test Architectures

r

An illustration of a rate tableRotating Plate Slip Ring Assembly

Motor

A photograph of a simple rate table (courtesy Auburn University)18

Ch. 13 - MEMS Testing - P. 18

13.3.6 Thermal TestingEvaluation of a device as a function of temperature Static thermal evaluation Thermal cycling High temperature or low temperature storage Thermal shock

A photograph of a box oven (courtesy Auburn University

19EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 19

Humidity TestingEvaluation of a device as a function of humidity Easiest type of chemical testing to perform Usually performed in a controlled humidity chamber Controlled humidity level Controlled temperature Humidity and temperature cycling is possible Functional testing of MEMS devices during humidity testing is possible

20EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 20

Pressure TestingEvaluation of a device as a function of pressure Pressures above or below ambient pressure may be of interest For example: the testing of MEMS pressure sensors A bell jar system is useful for pressures below ambient The price for a suitable pump increases as the pressure decreases

21EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 21

13.4 RF MEMS DevicesMEMS employed in radio-frequency (RF) applications are called RF MEMS. These represent a new class of devices and components that exhibit low insertion loss, high isolation, high Q, small size and low power consumption; and enable new system capabilities. The application of MEMS in RF technology can be broadly classified into two categories: active (moving) devices, which involve mechanical motion (e.g., RF MEMS switch, RF MEMS capacitors, RF MEMS resonators, etc.) and static (non-moving) components (e.g., micromachined transmission lines, resonators, etc.).22EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 22

13.4 RF MEMS DevicesRF MEMS SwitchesMEMS relays are more preferable than other conventional semiconductor based switching devices such as field effect transistors, due to low-loss, low power consumption, absence of intermodulation distortion and broad-band operation from DC to the microwave frequency range. An ohmic contact switch uses a metal-to-metal contact between the two electrodes for signal transmission.

Ohmic Contact SwitchesThe operating voltage required to obtain electrical continuity can be obtained from measuring the R-V characteristics using the experimental setup discussed in Electrical Testing. RF characteristics of RF MEMS switches are obtained by measuring the S-parameters in both the ON and OFF states of the switch. S-parameters are most commonly used for electrical characterization of devices, components and networks operating at RF and microwave frequencies.

Capacitive Contact SwitchesIn a capacitive contact switch, a thin dielectric layer is present between the two electrodes. Capacitive contact RF MEMS switches can be characterized by measuring the capacitance-voltage (C-V) characteristics. A C-V meter or an impedance analyzer equipped with a bias-T can be used in conjunction with a probe station to obtain C-V characteristics. The pull-down voltage can be determined from the C-V characteristics. RF characteristics of RF MEMS switches are obtained by measuring the S-parameters using a network analyzer. 23EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 23

13.4 RF MEMS DevicesReliability of RF MEMS The reliability of MEMS switches has been a major concern that limits the use of MEMS in real world applications. Ohmic contact MEMS switch reliability issues, such as failure due to stiction and contact degradation, have been observed to be the key failure modes. In capacitive contact type MEMS switches, reliability issues such as stiction due to charge accumulation in the dielectric layer and capacitance degradation with actuation are commonly encountered failure modes. A low frequency electrical test setup for reliability testing of RF MEMS switches is shown in the figure below. The setup consists of two signal generators, a filter, and a demodulator. The RF MEMS switches are driven by an actuation signal from Generator 1. A low frequency RF signal from Generator 2 is superimposed on the actuation signal. The modulated signal is detected using a demodulator to obtain switch characteristics such as pull-in voltage, rise-time, fall-time, and capacitance change for capacitive switches or contact resistance change for ohmic switches. Reliability of switches can be quantified by measuring the drift in any of these parameters.

24

System-on-Chip Test Architectures

Source: R. Puers, R. Mertens, frequency EE141 W. M. van Spengen, and Microengineering, and I. De Wolf, A lowMay 2003. electrical test set-up for the reliability assessment of capacitive RF MEMS switches, J. Micromechanics 13(5), pp. 604612,

Ch. 13 - MEMS Testing - P. 24

13.4 RF MEMS DevicesResonatorsA mechanical filter is composed of multiple coupled lumped mechanical resonators. Mechanical filters transform electrical signals into mechanical energy, perform a filtering function, and then transform the remaining output mechanical energy back into an electrical signal. MEMS technology has been applied to the miniaturization of mechanical resonators and filters. MEMS resonators and filters are characterized by measuring the frequency response characteristics. The performance parameters such as the resonant frequency, Q-factor and bandwidth are obtained from the measured frequency response characteristics. The equivalent circuit parameters can be extracted from the measured frequency response characteristics.25EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 25

13.4 RF MEMS DevicesResonators Disk Resonator ExampleA MEMS disk resonator in a one-port configuration is shown in Figure (a). The contourmode disk resonator consists of a resonating circular disk, two input electrodes, and a bottom output/bias electrode. A typical test setup for testing a one-port contour-mode disk RF MEMS resonator is shown in Figure (a). The required test instrumentation includes a network analyzer, a DC voltage source, a bias-T and a vacuum chamber. The measured transmission spectrum obtained from a one-port measurement of a 156 MHz disk resonator is shown in Figure (b). From the measured results, the equivalent circuit model (shown in Figure (c)) parameters have been extracted to be Rx = 22.287 k, Lx = 70.15 mH, Cx=14.793 aF, and Co = 57.78 fF.

a) Test setup for a disk resonator

b) Measured transmission spectrum

c) Equivalent circuit model

Source: J. R. Clark, W.-T. Hsu, and C. T.-C. Nguyen, Measurement techniques for capacitively-transduced VHF-to-UHF micromechanical resonators, in Proc. Int. Conf. on Solid-State Sensors & Actuators, pp. 11181121, June 2001. 26

EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 26

13.5 Optical MEMSThe integration of micro-optics and MEMS has created a new class of devices, termed optical MEMS or Micro-Opto-Electro-Mechanical-Systems (MOEMS). The advantages of optical MEMS devices include high functionality, high performance, and low-cost. Piston MicromirrorA typical piston micromirror consists of a mirror segment supported by four springs and is capable of movement in the direction normal (i.e., vertical) to the mirror surface. Arrays of piston micromirrors are employed in adaptive optics to compensate for variable optical aberrations. The two important characteristics of interest are: 1) static characteristics (i.e., vertical displacement versus applied voltage characteristics), and 2) dynamic characteristics (i.e., transient response). The deflection versus applied voltage characteristics can be obtained by measuring the optical profile of the micromirror for various applied voltages. Dynamic characteristics of piston mirrors can be measured using laser Doppler vibrometers.

a) Piston Micromirrors

b) Optical profile measured using Zygo interferometer

Source: A. Tuantranont, L.-A. Liew, V. M. Bright, J. Zhang, W. Zhang, and Y. C. Lee, Bulk-etched surface micromachined and flip-chip integrated micromirror array for infrared applications in Proc. IEEE/LEOS Int. Conf. on Optical MEMS, pp. 7172, August 2000. 27

EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 27

13.5 Optical MEMSTilt MicromirrorA typical tilt micromirror consists of a flat mirror segment supported by two torsional springs. Tilt micromirrors change the angle of reflection of incident light by angular or torsional rotation of micromirror structures. The two important characteristics of interest are: 1) static characteristics (i.e., vertical displacement versus applied voltage characteristics), and 2) dynamic characteristics (i.e., transient response). To measure the tilt angle versus applied voltage characteristics, a laser beam is directed on the mirror surface while the reflection of the laser beam off the mirror surface is projected onto a screen mounted vertically and parallel to the scanners chip surface. The dynamic characteristics of tilt mirrors can be measured using laser Doppler vibrometers. As an example, dynamic characteristics of an Applied MEMS DurascanTM two-axis tilt mirror measured using Polytecs Laser Doppler vibrometer are shown below. Dynamic parameters such as switching time and settling times can be obtained from these results.

a) Applied MEMS Durascan tilt mirror

b) Optical profile measured using Zygo interferometer

28EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 28

13.6 Testing of Microfluidic DevicesMEMS technology can be used to realize miniature plumbing systems for fluid based applications Testing may be limited to leak testing and/or functional testing Reusable microfluidic devices may be easier to test than one-time-only devices FlowFETS functionally behave as MOSFETS except that they control fluid flow instead of electrical current Potentially useful for implementing functional testing algorithms in microfluidic devices

29EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 29

13.6.1 MEMS Pressure SensorPressure sensors are one of the most successful MEMS devices with a wide-range of applications in automotive systems, industrial process control, environmental monitoring, medical diagnostics and monitoring. A MEMS pressure sensor consists of a mechanical membrane present at the interface between a sealed cavity and the external environment. The pressure difference between the sealed cavity and the surrounding environment produces a deflection of the diaphragm. Pressure sensors are characterized by measuring the output response for various applied pressures.30EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 30

13.6.1 MEMS Pressure SensorThe measurement setup for testing capacitive pressure sensors is shown in the Figure below. The setup consists of two components: 1) a custom made pressure chamber which can withstand large pressures, and 2) the signal conditioning circuitry. The pressure chamber is made of Teflon with dimensions of 9.58.53. A pressure gauge is used to monitor the pressure inside the chamber. The pressure sensor is placed inside the chamber. When the pressure inside the chamber exceeds the atmospheric pressure, the movable diaphragm starts deflecting downwards, thereby increasing the capacitance between the top and bottom electrodes. The signal conditioning board (MS3110BDPC from Microsensors Inc.) outputs a voltage corresponding to a change in the sensor capacitance.

Source: J. N. Palasagaram and R. Ramadoss, MEMS capacitive pressure sensor fabricated using printed circuit processing techniques, IEEE Sensors J., 6(6), pp. 13741375, December 2006.

31EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 31

13.6.2 MEMS Humidity SensorThe Hygrometrix HMX2000 is an example MEMS humidity sensor Four cantilevered beams coated with a moisture absorbing polymer Wheatstone bridge configured piezoresistive sensing The sensor is small enough for use in evaluating the hermeticity of sealed cavitiesEE141 System-on-Chip Test Architectures

Front and backside photographs of a HMX2000 MEMS humidity sensor [Dean 2005]

Characterize sensor performance prior to cavity evaluation Also evaluate as a function of temperature32

Ch. 13 - MEMS Testing - P. 32

13.7 Dyanmic MEMS DevicesDynamic MEMS devices are micromachines that possess one or more members that respond to an applied force by acceleration, resulting in mechanical motion. The applied force could be internally generated, such as the force resulting from a microactuator, or externally generated, such as the force resulting from interaction with the environment. A number of MEMS sensors can be accurately described as dynamic MEMS devices, including microphones, accelerometers, and gyroscopes.33EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 33

13.7.1 MEMS MicrophoneMEMS microphones have been successfully commercialized for use in cell phones, cameras, PDAs, and other high volume consumer electronics. The microphones are characterized by measuring sensitivity, frequency response, and noise. The sensitivity (mV/Pa) is obtained by exciting the microphone at a chosen sinusoidal sound pressure level (SPL) and measuring the output voltage of the microphone for various DC bias voltages. The frequency response is obtained by exciting the microphone with a periodic noise over the desired operating frequency range and measuring the sensitivity of the microphone. The relative gain and resonance frequency can be obtained from the frequency response characteristics. The noise measurements are performed by measuring the frequency response of the microphone in an anechoic chamber.

34EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 34

13.7.1 MEMS MicrophoneA typical test setup for acoustical test and characterization of the integrated microphone is shown in Figure (a). The instrumentation includes a signal analyzer and amplifier. The reference microphone, MEMS microphone, and test speaker are located inside the anechoic chamber. The dimensions of the chamber are chosen such that standing waves are avoided in the frequency range of interest. The inside of the chamber is covered with sound absorbing material to minimize the influence of reflections as well as external noise. This results in an approximate free sound-pressure field. The loudspeaker is driven by a dynamic signal analyzer, which uses a reference microphone in a feedback loop to maintain the output of the loudspeaker at a specified level in the frequency range of interest. The amplifier is used to boost the signal output from the reference microphone. An example frequency response of the Knowles SiSonic MEMS microphone is shown in Figure (b).

a) Experimental Test Setup

Source: M. Pedersen, W. Olthuis, and P. Bergveld, High-performance condenser microphone with fully integrated CMOS amplifier and DC-DC voltage converter, J. Microelectromechanical Systems, 7(4), pp. 387394, December 1998.

b) Knowles SiSonicTM MEMS microphone

Source: P. V. Loeppert and S. B. Lee, SiSonic: the first commercialized MEMS microphone, in Digest of Papers, Solid-State Sensors, Actuators, and Microsystems Workshop, pp. 2730, June 2006.

35EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 35

13.7.2 MEMS AccelerometerA very widely used type of MEMS device Measures translational or linear acceleration Can be tested using a rate table The applied acceleration input can be varied by adjusting the angular rate Some MEMS accelerometers have BIST features where an externally applied input signal emulates the effect of a specific applied acceleration

36EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 36

13.7.3 MEMS GyroscopeA gyroscope detects the presence of rotational motion about a predefined axis A gyroscope can be tested using a rate table by varying the angular rate and measuring the sensors output signal MEMS gyroscopes are often sensitive to high frequency mechanical vibrations present in the operating environment An electromechanical shaker is useful for evaluating this sensitivity

37EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 37

13.8 Testing Digital Microfluidics Biochips

38EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 38

Testing of Digital Microfluidic Biochips

39EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 39

Motivation for Microfluidic BiochipsGoalCarry out biochemical laboratory experiments on a microchip

AdvantagesHigher throughput Shrink Minimal human intervention Smaller sample/reagent consumption Higher sensitivity Lab-on-a-chip Increased productivityMicrofluidic Biochip

Conventional Biochemical Analyzer

20nl sample40EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 40

Applications of BiochipsClinical diagnostics, e.g., healthcare for premature infants, point-of-care diagnosis of diseases Bio-smoke alarm: environmental monitoring Massive parallel DNA analysis, automated drug discovery, protein crystallization Robust test techniques neededOutcome of biochemical results must be reliable Testing must be low-cost: disposable devices ($1/chip) 41EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 41

Motivation for MicrofluidicsTest tubesAutomation Integration Miniaturization

Robotics

Automation Integration Miniaturization

Microfluidics

Automation Integration Miniaturization42

EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 42

Technology OverviewDigital microfluidic biochipsManipulation of liquids as discrete droplets

43EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 43

What is Digital Microfluidics?Droplet actuation is achieved through electrowetting Electrical modulation of the solid-liquid interfacial tension

No Potential

Applied Potential

44EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 44

MicrofluidicsContinuous-flow biochips: Permanently etched microchannels, micropumps and microvalves Digital microfluidic biochips: Manipulation of liquids as discrete droplets(Duke University) 2002

(University of Michigan) 1998 Printed circuit board lab-on-a-chip inexpensive and readily manufacturableEE141 System-on-Chip Test Architectures

Control electronics (shown) are suitable for handheld or benchtop applications 45

Ch. 13 - MEMS Testing - P. 45

AdvantagesNo bulky liquid pumps are requiredElectrowetting uses microwatts of power Can be easily battery powered Standard low-cost fabrication methods can be usedContinuous-flow systems use expensive lithographic techniques to create channels Digital microfluidic chips are possible using solely PCB processes46

Droplet Transport on PCB (Isometric View)

EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 46

CapabilitiesDigital microfluidics-based biochipsTRANSPORT TRANSPORT DISPENSING DISPENSING MIXERS MIXERS REACTORS REACTORS DETECTION DETECTION

INTEGRATE

Digital Microfluidic Biochip

Basic microfluidic functions (transport, splitting, merging, and mixing) have already been demonstrated on a 2-D array Digital microfluidics-based biochip is a highly reconfigurable system47

EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 47

More on ApplicationsDroplet-based microfluidic biochip

Drug discovery and biotechnology

Environmental and other applications Burns, Science 2002 Medical diagnostics and therapeutics Clinical chemistry Immunoassays Nucleic acid tests

Proteomics

Micro-optics Countering bioterrorism Air/water/agro food monitoring48

High-throughput screening Genomics

EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 48

Glass Chip Platform DevelopmentTop Plate (Optional) (i.e. glass or plastic) Gasket Layer (100 to 600 m) (proprietary) Hydrophobic Layer (50 nm) (i.e. Teflon dip coated) Insulator Layer (1 to 25 m) (i.e. parylene) Patterned Metal on Substrate (i.e. chrome on glass via lift-off process)

Chip AssemblyTop plate is either glued or fixed in place by pressure Contacts are made either through the top or bottom Droplets are either dispensed by hand or formed from on-chip reservoirs

49EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 49

PCB Chip Platform DevelopmentFabrication ProcessGasket Layer(Dry Soldermask)

Flash Plating(Copper)

Hydrophobic Layer(Teflon AF)

Dielectric(LPI Soldermask)

PCB

Top Metal Layer(Copper)

Bottom Metal Layer(Copper)

Via Hole Filling(Non Conductive Epoxy)

PCB Material Mitsui BN300 64 mil Top Metal Layer (Electrodes) Cu 15m Bottom Metal Layer (Contacts) Cu 15m Dielectric LPI Soldermask 25 m Via Hole Filling Non-conductive Epoxy Hydrophobic Layer Teflon AF 0.05 to 1.0 m Gasket (spacer) Dry Film Soldermask (Vacrel 8140) 4 mils (~95m after processing)50EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 50

Biochip for Multiple Assays (Circa 2002)2-layer metal process Pitch = 500m Gap = 85 m 4-phase outer bus 3-phase inner bus 8 reservoirs for sample, reagents, waste, calibrants etc Each reservoir with a loading port Dedicated mixing region One detection siteUrea Buffer

L

U

U

B

L

Lactate

G

UC

ControlsS

L GM detection 3 phase bus M

Glucose

G

M C mixing 4 phase bus

Calibrants

s

s

s

Sample

M B

Mixed product Buffer

Sample

Waste

G Glucose L Lactate Urea

UC

Control/ Calibrant

51EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 51

Fault ClassificationCatastrophic faultsCauses: dielectric breakdown, degradation of the insulator, etc.

Parametric faultsCauses: geometrical parameter deviation, change in viscosity of droplet and filler medium, etc.

Single-electrode faultsElectrode open

Two-electrodes faultsShort between the adjacent electrodes52EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 52

Catastrophic Defects for BiochipsCause of defect Excessive actuation voltage applied to an electrode Electrode actuation for excessive duration Defect type Dielectric breakdown No. of Fault cells model 1 Droplet-electrode short (a short between the droplet and the electrode) Electrode-stuckon (the electrode remains constantly activated) Observable error Droplet undergoes electrolysis, which prevents its further Transportation

Irreversible charge concentration on an electrode

1

Unintentional droplet operations or stuck droplets

53EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 53

Catastrophic Defects (Contd)Cause of defect Excessive mechanical force applied to the chip Defect type Misalignment of parallel plates (electrodes and ground plane) Non-uniform dielectric layer No. of cells 1 Fault model Observable error

Pressure gradient Droplet transportation (net static without activation pressure in some voltage direction)

Coating failure

1

Dielectric islands Fragmentation of (islands of droplets and their Teflon coating) motion is prevented

54EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 54

Catastrophic Defects (Contd)Cause of defect Abnormal metal layer deposition and etch variation during fabrication Defect type Grounding Failure No. of Fault cells model 1 Observable error Floating Failure of droplet droplets transportation (droplet are not anchored ) Electrode Failure to activate the open electrode for droplet (electrode Transportation actuation is not possible) Electrode short (short between electrodes) A droplet resides in the middle of the two shorted electrodes, and its transport along one or more directions cannot be achieved55EE141 System-on-Chip Test Architectures

Broken wire to control source

1

Metal connection between two adjacent electrodes

2

Ch. 13 - MEMS Testing - P. 55

Catastrophic Defects (Contd)Cause of defect Particle contamination or liquid residue Defect type A particle that connect two adjacent electrodes Sample residue on electrode surface No. of cells 2 Fault model Observable error Electrode short A droplet resides in the middle of the two shorted electrodes, and its transport along one or more directions cannot be achieved Resistive open at electrode Droplet transportation is impeded.

Protein absorption during a bioassay

1

Contamination Assay results are outside the range of possible outcomes56

EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 56

Electrical Detection Mechanism10 K

Minimally invasive Easy to implement (alleviate the need for external devices) Fault effect should be unambiguous Electrically control and track test stimuli droplets

Output

Periodic square waveform

150 pF 74C14 +5V 1N914 Droplet

1N5231 5.1V

5K 1N914

Gnd

Capacitive changes reflected in electrical signals (Fluidic domain to electrical domain)Microscope & CCD camera Capacitivesen sing circuit

If there is a droplet, output=1; otherwise, output=0 Fault-free : there is a droplet between sink electrodes Faulty: there is no droplet.

Chipunder-test

57EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 57

Examples of DefectsDegradation of electrode

Short between electrodes

58EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 58

Defect-Oriented ExperimentUnderstand the impact of certain defects on droplet flow, e.g., for short-circuit between two electrodes Experimental SetupTo evaluate the effect of an electrode short on microfluidic behavior

59EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 59

Defect-Oriented Experiment (Contd)Results and AnalysisExperimental results and analysis for the first step.

60EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 60

Defect-Oriented Experiment (Contd)Experimental results and analysis for the second step.

61EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 61

Testing and Diagnosis: SummaryEdge-dependent nature of some defects Testing based on the Hamiltonian path is not sufficient Formulate the test planning problem in terms of the Euler circuit and Euler path problems Key idea: Model array as an undirected graph; use Euler Theorem to find an efficient test flow path62EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 62

Test Planning MethodsEuler-path based testingManipulate single test droplet to transverse the microfluidic array

63EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 63

Experiments with Fabricated ChipPCB microfluidic platform for DNA sequencingReservoirsDefect sites

Reserved cells

Known a priori to contain one defect

64EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 64

Experiments with Fabricated ChipEuler-path based testingTesting: 57 seconds; Diagnosis: 173 seconds

Parallel scan-like testingTesting: 44 seconds; Diagnosis: 44 secondsPseudo sources Source

Pseudo sinksEE141 System-on-Chip Test Architectures

Sink

Test Droplets65

Ch. 13 - MEMS Testing - P. 65

13.9 DFT and BIST for MEMS13.9.1 Overview of DFT and BIST Approaches 13.9.2 MEMS BIST Examples

66EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 66

Test Stimuli for MEMS BISTDiversity of stimuli for MEMS devices acceleration pressure heat chemical concentration, etc. In most cases it is not convenient to generate real input test stimuli for MEMS devices. Alternative test stimuli (such as electrical voltage) which are somewhat equivalent, but easier to generate, will be used for MEMS BIST.

67EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 67

Overview of DFT and BIST for MEMSMEMS BIST: using voltage-induced electrostatic force Example: in-field BIST of ADXL comb accelerometers Electrostatic force induced by self-test voltage is used to mimic the effect of input acceleration. Calibration needed, not good for manufacturing test.

BIST of a comb accelerometer using electrostatic force [Mir 2006]68EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 68

Overview of DFT and BIST for MEMSMEMS BIST: using electrically induced pneumatic actuation Example: self-testing of a piezoresistive pressure sensor Pulse voltage applied to resistor heater embedded in cavity The air inside the cavity is heated: air pressure increased Output response sensed by piezoresistive gauge in the membrane and compared with good device response

Pneumatic actuation of a pressure sensor for self-test [Puers 2001]EE141 System-on-Chip Test Architectures

69

Ch. 13 - MEMS Testing - P. 69

Overview of DFT and BIST for MEMSMEMS BIST: using electrically induced resistor heating to mimic thermal radiation input of infrared imager Electrical voltage applied to heating resistor on suspended membrane of each pixel Membrane is heated up as by incident infrared radiation in normal operation

Thermal actuation of an infrared imager pixel for BIST [Mir 2006]EE141 System-on-Chip Test Architectures

70

Ch. 13 - MEMS Testing - P. 70

Overview of DFT and BIST for MEMSMEMS BIST: Oscillation-based Test Methodology (OTM) measuring indirect parameters was demonstrated for a MEMS magnetometer Direct parameters (e.g., sensitivity) are effective to verify the device function, but not always easy to measure. Electrically induced Lorentz force in magnetic field is used as test stimuli. The DUT is reconfigured into an oscillating device with a feedback circuit. Some indirect parameters (e.g., oscillation frequency and amplitude) which are easier to observe, are measured for testing the MEMS device.71EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 71

Overview of DFT and BIST for MEMSMEMS BIST: Symmetry testing based on device structure symmetry. Most MEMS devices have certain degree of structure symmetry (e.g., left-right, top-bottom or rotation symmetry). Symmetry BIST is effective in detecting local defects which change the device symmetry. No calibration needed, can be used for manufacturing test. Example: symmetry BIST for a pressure sensor with internal redundancy [Rosing 2000a] The movable shuttle is activated twice: first toward left and then toward right. The output responses from both activations are compared. Any difference indicates the existence of a local defect leading to a structure asymmetry of the device .72EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 72

Overview of DFT and BIST for MEMSSymmetry BIST for CMOS MEMS accelerometers [Deb 2002] Movable shuttle of the accelerometer is divided into two conductors which are physically connected by an insulator layer while electrically insulated from each other. By comparing the voltage outputs from both conductors of the movable shuttle, structure asymmetry caused by local, hard-to-detect defects is detected. Symmetry BIST that divides fixed instead of movable parts of symmetrical capacitive MEMS devices [Xiong 2005a]. Good for MEMS devices (e.g., ADXL accelerometers, comb resonator) in which the movable parts are not divided.73EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 73

Overview of DFT and BIST for MEMSMEMS BIST: Pseudo-random MEMS BIST [Mir 2006] Voltage pulses applied to a heating resistor on the cantilever. The cantilever deflects due to the induced heat. Deflection measured by piezoresistor Wheatstone bridge in anchor. Pseudo-random maximum-length binary sequences are generated by linear-feedback-shift-registers (LFSRs). The output bridge voltage is converted to digital values and analyzed for input-output cross-correlation function (CCF). Test signature compared with expected values for Go/No-Go decision.

Pseudo-random BIST of MEMS cantilevers [Mir 2006]EE141 System-on-Chip Test Architectures

74

Ch. 13 - MEMS Testing - P. 74

MEMS BIST ExampleAccelerometer is used to explain basic idea of MEMS BIST, because it is widely used in industry. ADXL series, such as ADXL190, ADXL 330 of Analog Devices, all implemented BIST. A voltage Vs activates self-test pin, an electrostatic force is generated and results in about 20% of full-scale acceleration. A voltage change can be observed from output pin. This BIST technique can be used for in-field testing where external test equipment are unavailable. BIST for accelerometers is used to discuss basic working principles of MEMS BIST.75EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 75

MEMS BIST ExampleMEMS BIST: how to generate test stimulus? how to analyze output response? Most BIST methods for accelerometers generate test stimuli using electrostatic input, thermal input, or real acceleration input, or pseudo-random input. Test response w.r.t. the actuation is measured using a sensing circuit and compared with expected response. This discussion is mainly focused on surfacemicromachined comb accelerometers due to its popularity in industry.

76EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 76

MEMS BIST ExampleIn static mode,C1 = C 2 = n f 0 ( L f )h d0

where C1(C2): left (right) differential capacitance, nf: total number of differential capacitance groups, 0: dielectric constant of air, Lf: length of movable finger, : non-overlapped length at the root of each movable finger, h: device thickness.EE141 System-on-Chip Test Architectures

A typical surface-micromachined MEMS comb accelerometer.77

Ch. 13 - MEMS Testing - P. 77

MEMS BISTinertial force F =-M a Example If there is acceleration a, thea s

results in deflection x of the beams and movable fingersFa M s a x= = a k k n f 0 ( L f ) h (1

Differential capacitance changed to(d 0 + x) d0 n ( L )h n f 0 ( L f )h x C2 = f 0 f (1 + ). (d 0 x) d0 d0 C1 = n f 0 ( L f )h x ), d0

Sensing the differential capacitance The schematic diagram of change, we know the displacement differential capacitance (one finger group) x, hence the acceleration a.

78EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 78

MEMS Fault Modeling and SimulationCarnegie Mellon University (USA) CARAMEL (contamination and reliability analysis of micro-electromechanical layout) [Kolpekwar 1998a] Lancaster University (United Kingdom) FMEA (failure modes and effect analysis) approach [Rosing 2000a] Inductive fault analysis [Shen 1985] TIMA (France) Failure mechanisms and fault classes for CMOS MEMS [Castillejo 1998]

79EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 79

Carnegie Mellon ApproachFault analysis method was developed as a tool called CARAMEL (contamination and reliability analysis of microelectromechanical layout). In CARAMEL, a defective MEMS structure is represented by a 3-D representation, which is then extracted to mesh netlist for mechanical simulation. Faults considered include: stiction for ADXL75, particulate contamination for microresonator, vertical stiction, foreign particles, etch variation for resonators and accelerometers. Effects of these faults to resonant frequency was also identified.80EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 80

Lancaster University ApproachThis technique integrates qualitative analysis and quantitative fault simulation to generate realistic faults for MEMS transducers. Industrial failure modes of sensor/actuator are analyzed and simulated by inductive fault analysis (IFA) and finite element simulation. Analog and mixed-signals are also simulated using inductive fault analysis (defect-related faults) and process variation analysis (parametric faults). Faults are then described by a behavioral model for test purpose. Major faults considered: local defects, global and local parameters out of tolerance, wear, environmental hazards, problems from imperfection in design process.81EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 81

TIMA ApproachInstead of using IFA, fabrication process of MEMS is analyzed to determine realistic defects or failure mechanisms. Failure mechanisms are divided into those occurred in CMOS process, and those occurred in micromachining process. Defects are classified into gauge (e.g., sending circuit) faults and microstructure faults. Each class is further divided into catastrophic faults and parametric faults. Gauge faults: shorts, opens, or changes in width, length and metal resistivity. Microstructure faults: break-around-gauge, stiction, nonreleased microstructure, asymmetrical microstructure, or change of Youngs modulus.82EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 82

BIST Structure of Comb AccelerometerSimplified comb accelerometer structure for BIST functions M1-M8: movable fingers Ms: central mass D1-D8: fixed driving fingers S1-S8: fixed sensing fingers

BIST structural diagram of a comb accelerometer [Deb 2002]EE141 System-on-Chip Test Architectures

83

Ch. 13 - MEMS Testing - P. 83

Test Stimulus GenerationTest stimulus generation: use electrostatic force Fd to mimic the effect of inertial force. Voltage Vd applied to fixed driving fingers {D1, D3, D5, D7} Nominal voltage Vnom applied to Ms and {D2, D4, D6, D8} Induced electrostatic force Fd on movable mass Ms:Fd =

0 S (Vd Vnom ) 22d 2

Displacement of mass x=Fd /k Measure the resulted differential capacitance change and compare with expected good device valueEE141 System-on-Chip Test Architectures

MEMS comb accelerometer [Deb 2002]84

Ch. 13 - MEMS Testing - P. 84

Comb Accelerometer: Normal OperationNormal operation modeModulation voltage Vmp=V0sqrt(t) applied to {S1, S3, S5, S7} Modulation voltage Vmn=-V0sqrt(t) applied to {S2, S4, S6, S8} Input acceleration a results in displacement of movable mass x=-Msa/k The voltage in the movable mass x VMs = V0 sqr (t ) d 0 Measuring the voltage level VMs in the movable mass, we know the value of displacement x, hence the acceleration a.EE141 System-on-Chip Test Architectures

MEMS comb accelerometer [Deb 2002]85

Ch. 13 - MEMS Testing - P. 85

Comb Accelerometer: Sensitivity BISTComb Accelerometer: Sensitivity BIST [Analog 2007]Test driving voltage Vd is applied to {D1, D3, D5, D7} Nominal voltage Vnom applied to {D2, D4, D6, D8},{M1, M5, M4, M8} Movable mass is activated upward by electrostatic force Modulation voltage Vmp=V0sqrt(t) applied to {S1, S3, S5, S7} Modulation voltage Vmn=-V0sqrt(t) applied to {S2, S4, S6, S8} Displacement of mass x=-Fd /k Voltage in movable mass x VMs = V0 sqr (t ) d 0

Measure the voltage level VMs in movable mass and compare with expected good device value.EE141 System-on-Chip Test Architectures

MEMS comb accelerometer [Deb 2002]86

Ch. 13 - MEMS Testing - P. 86

Comb Accelerometer: Symmetry BISTComb Accelerometer: Symmetry BIST [Deb 2002]Movable mass is divided into two (left and right) equal conductors connected by an insulator layer. Movable mass activated by electrostatic force as in sensitivity BIST Modulation voltage Vmp=V0sqrt(t) applied to {S1, S3, S5, S7} Modulation voltage Vmn=-V0sqrt(t) applied to {S2, S4, S6, S8} The difference between voltage Vs1 from left movable fingers {M2, M3} and voltage Vs2 from right movable fingers {M6, M7} is sensed by a differential amplifier. Any local defect changing device left-right symmetry results in difference between Vs1 and Vs2 and will be detected.MEMS comb accelerometer [Deb 87 2002]EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 87

Comb Accelerometer: Symmetry BISTComb Accelerometer: Symmetry BIST [Xiong 2005a] For comb accelerometers in which the movable mass is not divided (e.g., ADXL accelerometers), symmetry BIST needs to be implemented in a different way [Xiong 2005a] Movable mass activated by electrostatic force as in sensitivity BIST Modulation voltage Vmp=V0sqrt(t) applied to {S1, S5} Modulation voltage Vmn=-V0sqrt(t) applied to {S3, S7} Due to device symmetry, capacitance C1 between {S1, S5} and {M2, M3} should always equal to capacitance C2 between {S3, S7} and {M6, M7}. Hence, for good device, VMs=0. Any local defect changing device leftright symmetry results in non-zero VMs and will be detected. It divides fixed instead of movable capacitance plates.MEMS comb accelerometer [Deb 2002] 88EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 88

Concluding RemarksA majority of microelectromechanical systems (MEMS) devices are inherently mechanical in nature and therefore require some special considerations during various manufacturing stages and testing. This chapter discussed some of the important handling considerations during dicing, packaging, and testing. A wide variety of test methods, such as electrical, optical, mechanical, and environmental, for characterization of various MEMS devices. This chapter reviewed the instrumentation, typical setup, and important characteristics for testing a wide variety of MEMS devices, including accelerometers, gyroscopes, humidity sensors, RF MEMS, optical MEMS, pressure sensors, and microphones Microfluidics-based biochips have a great potential for replacing cumbersome and expensive laboratory equipment. Test techniques for digital microfluidic chips have been discussed. MEMS DFT/BIST techniques and examples have been discussed. It should be noted that the diversity of MEMS devices and their principles remain a challenge in developing universal DFT and BIST solutions.89EE141 System-on-Chip Test Architectures

Ch. 13 - MEMS Testing - P. 89