国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research...

36
国立研究開発法人 産業技術総合研究所 共用研究開発施設のご紹介 産総研があなたの研究開発をサポートします 先端ナノ計測施設 超電導アナログ・デジタルデバイス開発施設 MEMS研究開発拠点 ナノプロセシング施設 スーパークリーンルーム 先端バイオ計測施設

Transcript of 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research...

Page 1: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

つくばエクスプレス 秋葉原より快速で45分

MEMS 研究開発拠点先端ナノ計測施設超電導アナログデジタルデバイス開発施設ナノプロセシング施設

1. 先端ナノ計測施設(AIST Nanocharacterization Facility:ANCF)  E-mail:[email protected]

2. 超電導アナログ・デジタルデバイス開発施設(Clean Room for Analog ‒ Digital superconductiVITY:CRAVITY)  E-mail:[email protected]

3. MEMS 研究開発拠点(MEMS Foundry:MEMS)  E-mail:[email protected]

4. ナノプロセシング施設(Nano-Processing Facility:NPF)  E-mail:[email protected]

5. スーパークリーンルーム(Super Clean Room:SCR)  E-mail:[email protected]

6. 先端バイオ計測施設(Advanced Bio-measurement Facility : BIO)  E-mail:[email protected]

TIA推進センター 共用施設運営ユニット 共用施設ステーション〒305-8568 茨城県つくば市梅園 1-1-1    TEL: 029-861-3210  E-mail: [email protected]

茨城空港

つくば

並木一丁目

並木二丁目

産総研つくば中央

気象研究所

産総研つくば西

並木大橋

荒川沖上野秋葉原

秋葉原

東京

羽田空港120分

羽田~つくばセンター 高速バス

60分

茨城~つくばセンター高速バス

45分つくばエクスプレス

120分成田~つくばセンター 高速バス

関東鉄道バス

65分

3分

神田 御徒町

環境研究所

洞峰公園

物質研究所

牛久

3分 3分

20分15分

20分

20分

徒歩5分

徒歩1分

徒歩3分

徒歩3分

徒歩5分

常磐高速バス

山手線60分常磐線

成田空港

ひたち野うしく

産総研つくば東

産総研つくば東

スーパークリーンルーム

アクセス

つくば駅下車、産総研連絡便、または、関鉄バス④番のりばより、荒川沖駅行、学園南循環、ひたち野うしく駅行、などURL: http://www.aist.go.jp/aist_j/guidemap/tsukuba/tsukuba_map_main.html

各施設問い合わせ先

国立研究開発法人 産業技術総合研究所

354 354

408

408

6

6

至上野

至阿見

土浦駅

荒川沖駅

ひたち野うしく駅

万博記念公園駅

研究学園駅

つくば駅

至東京

至水戸

至水戸

谷田部 IC

つくば中央 IC

つくばエクスプレス

つくば西

つくば苅間サイト

つくば東つくば中央

つくば牛久 IC

桜土浦 IC

つくばセンター(バスターミナル)

大角豆稲荷前

土浦学園線

筑波大学

筑波大学附属病院

土浦北 IC

つくば JCT

サイエンス大通り

東大通り

西大通り

常磐自動車道

JR 常磐線

産業技術総合研究所

354

408

つくば西 つくば東つくば中央

桜土浦 IC大角豆稲荷前

常磐自動車道

産業技術総合研究所

2020年1月版第1刷

圏央道

平塚線

ご利用の案内

https://unit.aist.go.jp/tia-co/orp/index.html

国立研究開発法人 産業技術総合研究所 共用研究開発施設のご紹介 産総研があなたの研究開発をサポートします 先端ナノ計測施設超電導アナログ・デジタルデバイス開発施設MEMS研究開発拠点ナノプロセシング施設スーパークリーンルーム先端バイオ計測施設

Page 2: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

0202

Open R

esearch Platform

共用施設等利用制度

制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社会に普及させることを目的に、幅広い分野の先端機器をノウハウ等とともに共用施設として社会に公開しております。これらの共用施設をご利用いただくための制度が「共用施設等利用制度」です。

特徴 共用施設等利用制度には下記の特長があります。

● 約款に基づく利用申込手続きのため、契約手続きにお時間が掛かりません。● 発生した知的財産権は原則として利用者に帰属します。● 約款内で明瞭な秘密情報管理のルールを定めております。● 単価表で時間(枚数)当たり価格が公開されているため、コストの見通しが立て易くなっております。

共用施設 公開している共用施設は以下の施設です。詳細は各施設のページをご確認ください。

1. 先端ナノ計測施設(AIST Nanocharacterization Facility:ANCF) ‥‥‥‥‥‥‥‥‥‥ 42. 超電導アナログ・デジタルデバイス開発施設 ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 8 (Clean Room for Analog – Digital superconductiVITY:CRAVITY)3. MEMS研究開発拠点(MEMS Foundry:MEMS) ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 144. ナノプロセシング施設(Nano-Processing Facility:NPF) ‥‥‥‥‥‥‥‥‥‥‥‥ 205. スーパークリーンルーム(Super Clean Room:SCR) ‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 286. 先端バイオ計測施設(Advanced Bio-measurement Facility:BIO) ‥‥‥‥‥‥‥‥ 34

支援形態 共用施設等利用制度では以下のサービスを提供しております。

(施設により一部提供していないサービスもございますので、各施設にお問い合わせください。)

1. 機器利用 利用者がご自分で装置を利用する支援形態。装置をご利用いただく前に技術指導が必要になる場合もございます。高度な技術が必要とされる装置には、機器利用に対応していない物もございます。

2. 技術指導 利用者が共用施設スタッフの指導を受けながら装置利用を行う支援形態。

3. 技術代行 共用施設スタッフが利用者に代わり、作業を代行する支援形態。高度な技術が必要とされ機器利用に適さない装置に関しては技術代行のみで対応している場合もございます。

共用施設等利用制度

Page 3: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

03

共用施設等利用制度のご利用方法1. ご利用に関する注意事項

 共用施設等利用制度は「国立研究開発法人産業技術総合研究所共用施設等の利用に関する規程」及び「共用施設等利用約款」に基づきご利用いただいております。約款をお読みいただき、ご同意いただいた上でお申込みいただきますようお願い申し上げます。 規程及び約款は下記URLアドレスのウェブサイトからダウンロード可能です。アドレスのQRコードを右図に示します。産総研 共用施設の利用サービス https://unit.aist.go.jp/tia-co/orp/index.html

2.共用施設ご利用の流れ 共用施設ご利用の手順をご紹介致します。施設により若干異なる点もございますので、詳細については各施設にお問い合わせください。

 利用申込書をご提出いただく前に、技術的・スケジュール的に利用可能かをご利用になりたい施設の管理責任者とご相談ください。各施設の連絡先は最終ページに記載してあります。

 技術的・スケジュール的に利用可能な場合は、管理責任者より内諾を通知致します。注:この内諾は、技術的・スケジュール的に利用可能であることを通知しているのみで、利用に関する契約はまだ結ばれておりません。

 内諾内容に基づき「共用施設等利用申込書」にご記入、押印の上、TIA推進センター共用施設ステーションまでご提出ください。利用申込書は通常内諾通知時に利用希望者にメールでお送りしております。 お急ぎの場合は、利用申込書をPDFファイル化し、共用施設ステーション([email protected])までメールでご送信ください。

 約款第3条第2項の各号に基づきお申込みいただいた内容を審査させていただきます。原則10営業日以内にご回答致します。

 審査終了後、回答書を発行・送付いたします。回答書の発行後、施設のご利用が可能になりますので、それまで少々お待ちください。

 回答書を受け取られましたら共用施設をご利用ください。

 利用実績に基づき、事前に決めたタイミングで利用料金が請求されます。

 ナノテクノロジープラットフォーム事業の支援を受けて先端ナノ計測施設もしくはナノプロセシング施設を利用される場合は、利用後A4用紙一枚の利用報告書を提出していただいております。

STEP3 利用申込書の提出

STEP2 内諾通知

STEP4 申込み内容の審査

STEP5 ご回答

STEP8 利用報告書提出

STEP7 利用料金請求

STEP6 利用開始

STEP1 事前相談

Page 4: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

04

AN

CF

先端ナノ計測施設

 産総研では、国内の産業力強化と新産業創出の先導や社会イノベーションへの貢献を目指して、先端計測分析技術の開発を実施しています。開発した先端計測装置や技術は、先端ナノ計測施設(ANCF)にて公開しています。

超伝導蛍光X線検出器付走査型電子顕微鏡(SC-SEM)

 ANCF の先端計測機器・技術は、産総研 共用研究開発施設の制度の下で公開し、企業や大学などの研究者や技術者にご利用いただいています。また、ANCF は、文部科学省ナノテクノロジープラットフォーム事業の微細構造解析プラットフォームにも参画しています。プラットフォーム参画の大学等が公開している透過電子顕微鏡(TEM)などの原子スケールのイメージングと比較して、ANCF では原子欠陥や特定の元素の回りの原子配位といった、平均として得られるナノ情報を提供します。

 ナノテクノロジープラットフォーム事業では利用結果公開が原則ですが、 特許や論文発表のためなどの理由があれば最大2 年間の公開猶予も可能です。また、 産総研自主運用として利用結果非公開でのご利用も可能です。資金的支援制度として、 ナノテクノロジープラットフォームの「研究設備の試行的利用事業」や産総研独自の中小企業割引制度があります。 ANCF の先端計測機器・技術を是非ご活用ください。

■ 公開装置と測定対象例 ■

陽電子プローブマイクロアナライザー (PPMA)   ガスバリア膜や分離膜の分子間空隙、半導体中の格子欠陥

超伝導蛍光収量X線吸収微細構造分析装置(SC-XAFS)   パワーエレクトロニクス材料中の微量ドーパント

可視-近赤外過渡吸収分光計測装置 (VITA)   有機太陽電池や有機機能性分子

リアル表面プローブ顕微鏡(RSPM)   摩擦摩耗低減のためのオイル中金属材料表面、文化財の繊維断面

固体NMR装置(SSNMR)   固体触媒材料、ゴム材料、環境負荷低減アモルファス材料

極端紫外光光電子分光装置(EUPS)   半導体材料、白金ナノ粒子触媒などの最表面原子層の電子物性

超伝導蛍光X線検出器付走査型電子顕微鏡(SC-SEM)  エレクトロニクス、構造材料等の軽元素分析

先端ナノ計測施設(ANCF)の概要

Page 5: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

05

 公開機器や機器利用申請の詳細は、ANCFホームページをご覧ください。ご要望等はお気軽にANCF事務局までご相談ください。機器群を見学いただくことも可能です。

 産総研のナノ計測関連機器を御活用いただけますようよろしくお願い致します。

■お問い合わせ先産業技術総合研究所 先端ナノ計測施設(ANCF事務局)[email protected]://unit.aist.go.jp/rima/nanotech/index.html

研究支援の形態

お問い合わせ先など

産総研 共用研究開発施設

・産総研が代行して設備を操作

・産総研が補助指導し、利用者が機器を操作

・利用者が機器を操作

産総研の自主運用

問い合わせ・相談

1)技術代行利用結果公開利用結果非公開

所内利用

3)機器利用

2)技術補助

4)共同研究

ナノテクノロジープラットフォーム事業微細構造解析プラットフォーム

先端ナノ計測 (ANCF)

契約・約款に基づく支援(有料)

Page 6: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

06

AN

CF

先端ナノ計測施設

1

3

2

4

先端ナノ計測施設装置群 AIST Nanocharacterization Facility陽電子プローブマイクロアナライザー PPMA

【ANCF001】

可視-近赤外過渡吸収分光計測装置 VITA【ANCF003 ~ ANCF006】

超伝導蛍光収量 X 線吸収微細構造分析装置 SC-XAFS【ANCF002】

リアル表面プローブ顕微鏡 RSPM【ANCF007 ~ ANCF008】

▼仕様・特徴●評価対象:金属・半導体・ 高分子材料中の原子 サイズ欠陥 ・ 空隙の評価●ビームエネルギー:1 keV ~ 30 keV可変 (分析深さ: 表面~ 数μm)●ビーム径: 0.01mm ~ 10mm

▼測定例●鉄鋼材料の欠陥評価●ゼオライト、高分子 ポリマー中の空隙評価●シリコンウエハの欠陥評価

▼仕様・特徴●ナノ秒可視—近赤外蛍光寿命測定●ナノ秒可視—近赤外過渡吸収測定●ピコ秒可視蛍光寿命測定●ピコ秒可視−近赤外過渡吸収測定

▼測定例●有機系(色素増感, 有機薄

膜)太陽電池のキャリア特性評価

●光触媒半導体材料のキャリア特性評価

●有機電界発光材料の励起状態解析

▼仕様・特徴●蛍光X線エネルギー分解

能:10 eV @ O-Kα●エネルギー範囲:70 eV – 5000 eV (1 keV以下は超 伝導、以上は半導体)●光子計数率:0.5 Mcps●液体ヘリウムを使用せず 自動冷却(0.3 K)

▼測定例●窒素注入SiC材料の窒素

のまわりの局所構造解析●GaN中Mgド ー パ ン ト の

局所構造解析

▼仕様・特徴●表面プローブ顕微鏡1(FMモードが利用可能、AFM探針

形状評価によるイメージ補正が可能)●表面プローブ顕微鏡2(各種環境制御、カンチレバーのレー

ザー励起、リアルタイム計測をサポート)と前処理装置(表面のクリーニング、断面作成等)

▼測定例●電池材料の表面電流分布

測定●有機材料・薄膜の弾性率測

 陽電子ビームを物質中に入射し、陽電子寿命を計測することで、試料(特に薄膜や表面近傍)の原子~ナノレベルの欠陥・空隙を測定します。

 液体、固体、薄膜等について、過渡吸収と蛍光減衰挙動から、光照射によって生じた電荷キャリアや短寿命励起状態の特性を測定します。可視から近赤外域で、サブピコ秒からナノ秒までの過渡吸収と蛍光寿命測定が4台の装置により可能です。

 高感度、高分解能の超伝導検出器を搭載した蛍光X線 収 量X線 吸 収 微 細構造分析装置。高エネルギー加速器研究機構放射光 科 学 研 究 施 設 に お いて、放射光を利用して、主に軽元素の局所構造、電子状態を測定します。

 形状、機械物性、電位等の測定について、溶液中、ガス置換雰囲気、湿度制御環境、高真空、超高真空雰囲気での計測が可能です。大面積ウエハー等を大型試料をクローズトループ走査系を利用して精密測定可能です。

水素脆化評価のための高強度鋼試験片

欠陥分布測定結果

SiCに含まれる窒素ドーパント(300ppm)の吸収スペクトル分析例

有機薄膜太陽電池材料の過渡吸収の減衰挙動

デバイスの電位・キャリアなどの測定例

Page 7: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

07

5

7

6固体 NMR 装置 SSNMR【ANCF009 ~ ANCF011】

極端紫外光光電子分光装置 EUPS【ANCF014】

超伝導蛍光 X 線検出器付走査型電子顕微鏡 SC-SEM【ANCF015】

▼仕様・特徴●固体NMR装置(600MHz):ワイドボア、固体高分解能測定、二

次元スペクトル測定、多核種対応、拡散係数測定、半固体高分解能測定

●固体NMR装置(200MHz):ワイドボア、固体高分解能測定、 二次元スペクトル測定、多核種対応、静止試料測定

●固体NMR装置(20MHz):卓上型、プロトン専用、緩和時間測定、拡散係数測定

▼測定例●油脂の結晶度測定●プローブ分子を用いた

固体表面特性の評価

▼仕様・特徴●試料最表面原子層(0.5 nm程度)の電子状態を分析●絶縁薄膜、有機薄膜を帯電させること無く測定●半導体のバンド曲がり●二次電子スペクトルのカット オフにより真空準位を評価。

▼測定例●プラズマディスプレイの

電極保護絶縁膜の極微量汚染評価

●触媒、金属ナノ粒子表面の汚染度、低効率評価

●触媒表面の活性金属の価電子帯スペクトル評価

●材料表面のπ、σ電子の識別など電子雲の傾斜角評価

▼仕様・特徴●蛍光X線エネルギー範囲: 100 eV- 2 keV●エネルギー分解能: ~ 5 eV@ 500 eV X-ray●計数率: ~ 1Mcps●走査型電子顕微鏡:HITACHI S-4500●加速電圧範囲:200 V- 30 kV●電子ビームサイズ(最適値): 1.5 nm at 15 kV, 4.0 nm at 1 kV●最大サンプルサイズ: 20mmΦ●省エネ半導体、酸化物、構造材などに含まれる軽元素の定性

分析、簡易的な定量分析、マッピング分析が可能。●機械式ヘリウム3冷凍機を用いて簡単に冷却でき、長時間の

測定可能。

 固体試料を対象とし、局所構造およびダイナミクスを原子・分子レベルで測定します。

 レーザー生成プラズマ(255.17 eV、パルス幅3 nsec)を光源とし飛行時間法で電子分光する、世界で唯一の光電子分光装置です。

 高感度、高分解能の超伝導検出器を搭載した蛍光X線検出器付走査型電子顕微鏡。電子線で試料上を走査する際に放出される蛍光X線を測定する事により、主に軽元素の分布状態を評価します。

固体高分解能31P NMRスペクトル

二次電子スペクトル

ANCF001 陽電子プローブマイクロアナライザー (PPMA)ANCF002 超伝導蛍光収量X線吸収微細構造分析装置

(SC-XAFS)ANCF003 ナノ秒可視・近赤外蛍光寿命計測装置 (VITA)ANCF004 ナノ秒可視・近赤外過渡吸収分光装置 (VITA)ANCF005 ピコ秒可視蛍光寿命計測装置 (VITA)ANCF006 ピコ秒可視・近赤外過渡吸収分光装置 (VITA)ANCF007 リアル表面プローブ顕微鏡群1

(RSPM) (JSPM5400 他、改造)ANCF008 リアル表面プローブ顕微鏡群2

(RSPM) (SII、RIBM 他、改造、付帯装置)ANCF009 固体 NMR 装置(600MHz) (SSNMR)ANCF010 固体 NMR 装置(200MHz) (SSNMR)ANCF011 固体 NMR 装置(20MHz) (SSNMR)ANCF014 極端紫外光光電子分光装置 (EUPS)ANCF015 超伝導蛍光 X 線検出器付走査型電子顕微鏡(SC-

SEM)

装置一覧

Page 8: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

08

CR

AVITY

超電導アナログ・デジタル開発施設

 先端的な超電導アナログ計測デバイスとデジタルデバイス開発のためのプロセス機器と微細加工ノウハウを集約しています。実用化段階の超電導デバイス製作に求められる、大規模化、プロセスの再現性、素子の高い歩留まりを実現しています。産学官の研究者やエンジニアが、施設内の微細加工プロセス装置を利用することで、超電導エレクトロニクスビジネス創出にチャレンジすることを可能にします。

 CRAVITYは、大学、研究開発法人、企業への公開と、超電導アナログ-デジタルデバイスの安定供給をモットーとしています。デバイス作製に専従のテクニカルスタッフが常駐し、各装置の運転、整備を継続的に行うことで、超電導デバイス開発を支援します。施設内のデバイス作製用装置はほぼすべて自動化されており、従来10日間以上掛かっていたプロセスが、本施設では3日で完了します。最新の超電導プロセス技術では、9層の多層配線超電導集積回路の作製が可能となっています。検出器・標準といったアナログ応用と、単一磁束量子(SFQ)を使ったデジタル応用に対応します。 超電導デバイス作製以外の、様々な微細加工プロセスの利用も可能ですので、是非CRAVITY事務局にご相談下さい。機器群を見学いただくことも可能です。

● 低温超電導アナログ-デジタルデバイス開発を主目的とするクリーンルーム● 超電導検出器、標準、SFQデジタル集積回路の微細加工プロセス● 世界的ハブ拠点、プロセス装置を超電導以外にも公開、商用利用可能

超電導アナログ・デジタル開発施設(CRAVITY)

概 要

特 徴

i線ステッパー: i12

代表的な装置写真

Nb/Alジョセフソン接合作製装置[In-situ分析器&オゾン酸化器付]

Page 9: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

09

 公開機器や機器利用申請の詳細は、TIAまたはCRAVITYホームページをご覧ください。ご要望等はお気軽にCRAVITY事務局までご相談ください。ご利用を検討されている方は、下記のイノベーション創出機器共用プラットフォームのホームページにアクセスいただき、 会員登録とユーザー登録の後、 事前相談申請をお願い致します。

■お問い合わせ先産業技術総合研究所 超電導アナログ・デジタル開発施設(CRAVITY事務局)[email protected]://unit.aist.go.jp/neri/cravity/ja/index.html

■お申込み先産業技術総合研究所 イノベーション創出機器共用プラットフォーム(IBEC)[email protected]://ssl.open-innovation.jp/ibec/

クリーンルーム仕様及び主要な装置写真

お問い合わせ先など

i線ステッパー

有機洗浄装置A

有機洗浄装置B

オートコーターデベロッパー

セミオートコーターデベロッパー

ウェハー洗浄装置

光学顕微鏡

ルーム2ルーム3

TEOS-CVD

CMPプロセス装置群

Nb配線用スパッタ

絶縁膜用スパッタ

RTA

段差計

ナノサーチ

シート抵抗測定装置

レーザー顕微鏡

オートプローバー

Nb/Alジョセフソン接合作製装置

[In-situ分析器&オゾン酸化器付]

Nb/Alジョセフソン接合作製装置

[標準型]

NbNジョセフソン素子作製装置

RIE装置群D-RIE:1台

CCP:4台, ICP:1台

応力評価装置

エリプソ

マルチターゲット(6元)スパッタ

レーザー直描装置

イオンミリング装置

ルーム1

ルーム4

ALD/CVD

斜め蒸着装置

プロセス装置

計測装置

i線ステッパー

有機洗浄装置A有機洗浄装置B

ウェハー洗浄装置

光学顕微鏡

オートコーターデベロッパー

セミオートコーターデベロッパー

応力評価装置有機洗浄装置 x 2ウェハー洗浄装置

● ルーム1 (クラス100) : リソグラフィー室(90m2)・ウェハー洗浄装置、 ・i線ステッパー、・セミ/オートコーターデベロッパー・有機洗浄装置、・計測装置(ゴミ検、顕微鏡等)

・計測装置(プロバー、段差計、応力評価装置等)

ICP-RIE装置(ロードロック)CCP-RIE装置(ロードロック)

TEOS-CVD装置

ナノサーチ顕微鏡

● ユーティリティースペース(30m2)・真空排気ユニット・ガス関係設備・純水製造装置・廃液タンク

● ルーム2 (クラス10000): 成膜室(70m2)・ジョセフソン接合作製装置(Nb/Al,NbN/TiN)、・

ドライエッチング装置(RIE、アッシャー)

● ルーム3 (クラス1000): 成膜室(100m2)

・TEOS-CVD装置(SiO2他)、・CMPプロセス装置群

● ルーム4 (クラス1000): 成膜室(100m2)

・斜め蒸着装置、・マルチターゲットスパッタ装置

ダイシング装置群

Page 10: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

10

CR

AVITY

超電導アナログ・デジタル開発施設

1

3

2

4

CRAVITY 装置群 AIST CRAVITY Facilityi線ステッパー

【CRAVITY001】

有機洗浄装置 A、B【CRAVITY005 ~ CRAVITY006】

ウェハー洗浄装置【CRAVITY004】

Nb-Al ジョセフソン接合作製装置[In-situ 分析器 & オゾン酸化器付 ]【CRAVITY007】

 レチクル上に描画されたパターンを超高圧水銀灯で照明し、縮小投影レンズを通してウェハ上に結像させ、焼き付け ま す。 ま た、ウ ェ ハステージをステップ・アンド・リピートさせな が ら 露 光 す る の で、

ウェハ全面への露光が可能になります。

▼仕様・特徴●メーカー:株式会社会社ニコンテック●型式:NSR-2205i12D●露光光源:i線(波長365nm) ●解像度:350nm L&S●開口数N.A:最大0.63、0.63 ~ 0.5で可変●縮小倍率:1/5倍●1ショットの露光範囲:22mm角 ,17.96(横)×25.2(縦)mm、 但し、φ31.11mm以内●露光マスク:6インチレチクル●アライメント精度:55nm以下 ●露光可能なウェハサイズ:3インチ、4インチ、6インチ●標準的な露光時間:10分程度/ウェハ 但し、上記はアライメントがオートでなされ、エラーが発生

しない場合。

 本装置は、洗浄処理部のウェハステージに人手でウェハをセットすることで、薬液(レジスト剥離液)にて自動的にウェハの表面洗浄処理を行う枚葉式のスピン洗浄装置です。主な用途として反応性イオン

エッチング(RIE)後のレジスト剥離、洗浄、メタル膜、絶縁膜のリフトオフに用います。下記の各機能をプログラム(レシピ)で設定して、設定レシピに応じた自動洗浄を行うことができます。

▼仕様・特徴●メーカー:株式会社高田工業所●型式:TWPS-03M,TWP-031S●ウェハ保持:ウェハ裏面のバキュームチャック●レジスト剥離液:NMP、ノズル吐出又は高圧ジェット吐出●薬液温度:通常80℃に設定●乾燥:スピン乾燥(3000rpm)+N2ブロー●バックブロー:N2ブローによりウェハ裏面への洗浄液の回り

込み防止機能有り。●洗浄可能なウェハサイズ:3インチのみ、変換ホルダの使用厳

禁。●洗浄時間:レシピ設定に依存、標準的には15分~ 30分程度/

ウェハ

  希 フ ッ 酸 に よ るSiの自然酸化膜のエッチングとオゾン水によるSi酸化プロセスの繰り返し に よ り、Siウ ェ ハ ー表面・裏面を同時に洗浄する、スピンタイプのウェハー洗浄装置です。回転保持機構部に

フィンタイプチャックを採用、通常のウエハーのほか、反りのある薄物ウエハーも安定した回転力を保持。更にハンギング方式でのハンドリングにより高い安定性を実現しています。洗浄中のウエハー裏面への処理液の回り込みが極めて少なく、裏面へのリンス処理も可能です。

▼仕様・特徴●メーカー:三益半導体株式会社●型式:MSC-2000●対応可能ウェハーサイズ:3,4inch(ロボットアーム、ウェハー

キャリアー設置部の段取り替えにより対応の為、排他的使用となる)。

●洗浄能力:洗浄後の4inchのSiウェハー上の0.1μmの粒子の数が<10個

●使用溶液:DHF(0.5-20wt%)、オゾン水(20ppm)。●超純水ノズル種類:2流体ノズル、メガソニック。●乾燥:窒素ブロー。

 直流高電圧によりArプラズマを発生させて成膜材料へ衝突させることにより、基板へ均質緻密な膜をスパッタします。ロードロック室付きなので基板交換を効率よく迅速に行えます。2種類の材料を逐次多層成膜することができます(全

自動)。真空システムと基板搬送を含め全自動動作が可能です。 ▼仕様・特徴●メーカー:サイエンスプラス●型式:M93-0012●装置形態:スパッタチャンバー x2 + 酸化室+ロードロック室●スパッタ源:6inchDCマグネトロン x2式(各スパッタ室に1つ)●スパッタ電源:DC電源 最大出力1.5kW(各スパッタ源と排他的に接続)●電力コントロール:アーキング防止機能付き●最大基板サイズ:Φ4inchウェハ(水冷機構付)●逆スパッタ可能。●ターゲット-基板間距離:50 ~ 200mm●到達真空度:5 x 10-6Pa以下●使用ガス:Ar, O2,O3

●レシピによる全自動成膜可能。(外部PC制御可能)●成膜可能材料:Nb,Al●各チャンバーに分圧真空計付き。●O3を使った表面酸化が可能。

Page 11: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

11

5

7

6

8

NbN ジョセフソン素子作製装置【CRAVITY009】

反応性イオンエッチング装置【CRAVITY013 ~ CRAVITY017】

TEOS-CVD 装置【CRAVITY012】

ナノサーチ顕微鏡【CRAVITY024】

 交流高電圧によりN2

( ま た はAr)プ ラ ズ マ を発生させて成膜材料へ衝突させることにより、基板へNbN(またはNb)の超伝導薄膜をスパッタします。TiN(またはTi)の常伝導膜との組み合 わ せ でSNS型 の ジ ョ

セフソン接合を作製できます。PCにより自動で逐次多層成膜することができます。真空システムと基板搬送は手動操作です。

▼仕様・特徴●メーカー:キヤノンアネルバ●型式:EVP-30751●装置形態:スパッタチャンバー x1 + ロードロック室●スパッタ源:6inchRFマグネトロン x 3式●スパッタ電源:RF電源 最大出力600W●基板サイズ:Φ3inchウェハ、Φ2inchウェハ●基板加熱、冷却機構:なし●イオンビームで基板クリーニング可能。●ターゲット-基板間距離:40 ~ 80mm (ユーザー変更不可)●到達真空度:1.4 x 10-4Pa以下●使用ガス:Ar, N2

●PCによる自動成膜(Webによる遠隔モニタ機能有)●成膜可能材料:NbN, Nb, Ti, TiN, Al (Alは暫定のため予告なく

変更の可能性あり)

 プラズマリアクティブイオンエッチング法により高密度エッチング が 可 能 で す。 プ ラズ マ の 発 生 方 法 に より、低パワーでも安定・均一なプラズマが得られ、ダメージの少ないエ ッ チ ン グ が 可 能 な

ICP(Inductively Coupled Plasma)型、平行平板型などが有り、ロードロック付き装置では、ウェハは自動搬送。枚葉式処理。タッチパネル制御。エッチングレシピ登録可能。エッチングログ保存機能。またエッチングストップ検出用にプラズマ発光モニター付の機種もある。各装置毎にエッチング材料を固定することにより、エッチング特性を安定化させている。

▼仕様・特徴●メーカー:株式会社アルバック、サムコ株式会社●型式:CE-300I,-300R, RIE-200L x 2, -10NR●RF電源:最大出力300W(ICP部:1000W)●最大基板サイズ:Φ3 ~ 8inchウェハ(異形基板可)●基板保持機構:静電チャックおよびHeガス冷却機構、直置き水冷●到達真空度: 10-4 Pa台●使用ガス:SF6, O2, CHF3, CF4,C4F8

●エッチング終点検出可能。●主にエッチングしている材料:Nb, Mo, Ta, W, TiN, NbN, Si, SiO2

 液体ソースTEOS を原料としてSiO2 膜を基板上に形成するプラズマCVD 装置。低温(80度)での成膜も可能。内部応力を膜組成を換えることで、制御可能。屈折率を各種元素をドープする事により変更可能。高アスペクト比

(50)構造への成膜も可能。将来的にはSiNx膜の成膜も可能である。

▼仕様・特徴●メーカー:サムコ株式会社●型式:PD-270STL-AI●成膜可能な基板サイズ:8インチ●ウェハー間膜厚均一性:±3%以下●基板加熱温度:60 ~ 200度●RF周波数:13.56MHz●RF出力:1kW●ガス導入系:マスフローコントローラー 4系統●ガス供給方式:恒温槽による気化ガス直接流量制御方式●成膜可能材料:SiO2,SiNx(予定)●成膜可能膜厚:10μm以上●成膜速度:最大100nm/min以上●膜応力:-300-200 MPa●手動/自動運転モード切替可能●登録可能レシピ数:100

 光学顕微鏡、走査型レーザー顕微鏡(LSM)、走査型プ ロ ー ブ 顕 微 鏡(SPM)を一台に集約。多様な試料に対応の観察・測定装置。数十倍から百万倍の超ワイド領域で、ミリからナノの観察・測定を本装置のみで実現。各種顕微鏡の切り替えは電動で実施のため、観察対象物を見失

うことなく、素早く正確にプローブ顕微鏡で観察が可能。また、微分干渉スライダ、偏光板も搭載され、明視野、微分干渉、レーザーコンフォーカル、レーザーコンフォーカル微分干渉での測定が可能。 ▼仕様・特徴●メメーカー:株式会社島津製作所●型式:ST-45001.レーザー顕微鏡(LSM)部性能

●レーザー波長:405nmの半導体レーザー●カラー観察用光源:白色LED●カラー観察用CCD:200万画素●対物レンズ種類:5×、20×、50×、100×●XYステージ:100×100mm以上の電動ステージ。ステー

ジ台回転機能付き(±40°以上の回転可能)●最大サンプル高さ:70 mm●高さ分解能:1 nm

2.走査型プローブ顕微鏡(SPM)性能●スキャン範囲:最大30μm×30μm×4μm(X-Y-Z)●選択可能動作モード:コンタクトモード,ダイナミック

モード,位相モード,電流モード,表面電位(KFM)モード●画像スティッチング枚数:最大625枚

Page 12: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

12

● 独自の平坦化技術により超電導(ニオブ)多層デバイス実現● 徹底した工程管理により、数万JJ規模のデジタル回路が完全動作する信頼性● デジタル回路だけでなく様々な超電導デバイスに展開、国内外研究機関に供給

これまでの活動内容 超電導デジタル回路(単一磁束量子(SFQ)回路)のために開発した高品質多層Nbデバイス作製技術をベースに、様々な超電導回路の作製を行っています。SFQ回路のさらなる低消費電力化、大規模化を目指した研究だけでなく、超電導検出器読み出し用のSQUIDアレイなどのアナログ回路にも応用範囲を広げています。多層積層技術を応用した3次元回路など、ユーザーのアイデアを実現するために、デジタル回路で培ったプロセス技術を高めます。“実用的な実際に動作する回路”をより短い期間で作製することに注力しています。

これまでに作製した超電導デジタルデバイス写真

● 低温超電導体の超高感度、高速応答を生かした様々な検出器デバイスを開発● X線やイオン用の大規模アレイ検出器、世界最速の光子数識別検出器を実現● 先端計測分析機器へ搭載し、既存機器の限界を越える計測性能を実現

研究背景 超伝導現象には、量子限界に迫る低ノイズ(高エネルギー分解能)に加えて、高速応答という2つの側面があります。これらの2つの特徴を活かした超電導検出器は、先端計測分析機器や量子情報通信といった分野において、赤外線からγ線といった幅広いエネルギーの光子やイオンといった粒子に対して、既存技術の限界を上回る検出性能を示しています。1K以下の極低温環境を、液体ヘリウムを使用することなく得られるようになり、超電導を使った極限検出性能を身近で活用可能になってきました。

これまでの活動内容1.軟X線用Nb/Al 超電導トンネル接合(STJ)アレイ検出器  有感面積1-4mm2と高分解能10eV(@O-Ka:525eV)を達成しました(図4)。 さらに、500kcpsの高光子計数率を活かして、放射光(KEK-PF)ビームラインにて、従来不可能だった微量軽元素の蛍光収量X線吸収微細構造(XAFS)測定を実現しました。超電導XAFS装置(SC-XAFS) による分析例(SiC中の微量Nドーパント)を示します(図5)。

図4.軟X線用Nb/Al-STJアレイ検出器(< 2 keV対応)

図1.Nb 9層SFQデバイスの断面構造

図2.浮動小数点乗算器(横国大設計)クロック周波数= 62 GHz

図3.4×4 Reconfigurable Data Path(名大設計)4行4列のALU(演算回路)を再構成可能な配線で結んだ回路

 超電導デジタル回路と関連デバイス

 超電導検出器

CR

AVITY

超電導アナログ・デジタル開発施設

Page 13: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

13

 超電導検出器

● 半導体等、他の電子デバイスでは実現できない高精度性と低雑音性を実現● 次世代国家標準用のキーデバイス開発● 国家標準並みの標準電圧精度を公共施設や産業現場に導入可能

研究背景 科学技術から産業技術に関わるあらゆる分野で、計測と、その信頼性を保証する計量標準の重要性が認識されています。私達は、超電導体を用いることにより、半導体や磁性体等の素材では実現不可能な高精度と低雑音を実現しています。超電導デバイスに加えて周辺システムを開発し、液体ヘリウムの供給を必要としない小型の計測機器として仕上げています。電圧標準等の産業発展に不可欠な計量標準の整備に貢献します。

これまでの活動内容 窒化ニオブ(NbN)を超電導電極材料とする基準電圧発生チップを開発し、小型冷凍機で実現可能な絶対温度12 K以上での動作を可能にしました。冷凍機と周辺回路を19インチ計測器ラックに収納することにより、既存のツェナーダイオードより高精度で経時変化が少ない二次直流電圧標準器を実現します。(図8)さらに、世界数箇所の機関でのみ作製可能な直流10 V チップの安定供給を目指しています。直流電圧以外に、量子交流電圧標準や熱雑音精密温度計へ応用可能な任意波形発生器(図9)を研究しています。また、 102-103個規模の高性能超電導検出器の信号を、S/N比を低下させず2本の同軸線で読出せるマイクロ波帯の周波数多重回路を研究しています。(図10)

2.質量分析用超電導ストリップイオン検出器(SSID) 超電導ナノストリップ構造を使うと、1 nsを上回る応答時間で、イオンを100%の効率で検出できます。有感面積1cm2を実現し(図6)、マトリックス支援レーザー脱離イオン化(MALDI)やエレクトロスプレーイオン化(ESI)質量分析装置に搭載し、二原子分子の価数弁別から、免疫グロブリンといった巨大生体分子の高感度分析に対応します。

3.量子暗号通信用超電導転移端(TES)検出器 光閉じ込め構造を持つTES検出器にて、通信波長帯(1550nm)の光子に対して、システム検出効率(98.4%)を実現しました(図7)。量子暗号通信システムのための光子数識別検出器として使用されています。

図7.反射防止膜と反射膜でTESをサンドイッチした量子暗号通信用の光子数識別超電導検出器

図5.超電導蛍光収量X線吸収微細構造分析装置(SC-XAFS)とSiC中の微量N ドーパントのXAFSスペクトル

図6.質量分析用Nb SSID検出器とそれを搭載した質量分析装置

図9. (a) 一次直流電圧標準チップ (b) 任意波形発生器(量子交流電圧標準)

図8. 産業現場に導入可能なラックマウント型二次直流電圧標準

図10. 多重読出回路 (a) 構成 (b) チップ (c) マイクロ波透過特性

 ジョセフソン電圧標準及び検出器の多重読出回路

Page 14: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

14

 産総研つくば東事業所内に、200/300mm(8/12インチ)ウェハによるMEMSプロセスラインおよび集積化・評価設備(TKB812)を整備し、MEMSに関連する企業や大学が集結して共同研究や実証開発によるオープンイノベーションを推進する場を提供しています。先端MEMSデバイスによる小型化、高機能化、産業競争力強化などに加えて、アプリケーション指向で集積化およびシステム化の研究開発を推進することにより、生活環境、インフラ、省エネルギーなどの分野で、社会に貢献する技術開発を目指します。また、MEMSに関連する技術開発の将来を見据えて、プロセス実習を含む講習会などの人材育成活動を推進しています。 これらのMEMS製造設備は、産総研の共用施設利用サービスにより広く大学や企業の皆様に利用いただけます。また、Micro-

Nano Open Innovation Center(MNOIC)などと連携して、大口径

ウェハMEMS製造ラインによるデバイス試作、各種加工分析装置による研究開発サポートなどのファンドリーサービスを、民間企業や大学等の学術研究機関に対して提供しています。

● Si深掘エッチャによる高アスペクト比加工。X線レンズへの適用例。

● 犠牲層ドライエッチャによるMEMS構造体のリリース。

● ウェハtoウェハ、チップtoウェハ接合による低温接合。センサ/TSV基板実装への適用。

MEM

S

MEMS研究開発拠点

MEMS研究開発拠点

MEMS研究開発施設による加工事例

Si深掘りエッチャ

深溝加工(幅:5μm, 深さ:200μm)

SOIウェハを用いたセンサ可動構造体リリース

SOIウェハの酸化膜層を犠牲層として利用

8”TSVウェハへのチップ接合実装例 接合実装チップ拡大写真

キャノン・マーケティング・ジャパン㈱殿ご提供

深溝加工(幅:20μm, 深さ:400μm) 12”Siウェハによる

X線望遠鏡レンズ

犠牲層ドライエッチャ (8”)

ウェハto ウェハ接合(8”) チップto ウェハ接合(8/12”)

Page 15: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

15

更衣室

更衣室

搬入室

マスクレス露光装置

コータデベロッパ

レーザ顕微鏡

赤外顕微鏡

X線CT

分析SEM

測長SEM

薄膜応力評価装置

レーザ顕微鏡

表面形状測定器

ウエハ検査装置

ウエハto

ウエハ接合装置

チップto

ウエハ接合装置

単分子膜処理装置

EB蒸着

熱処理炉

段差計

膜厚計

アッシャ

アニール炉

酸化炉

スパッタ

超音波顕微鏡

DUV照射装置

テスタブローバ

表面観察装置

減圧CVD(D-polySi)

減圧CVD(Si3N4)

低温Si酸化膜TEOSプラズマCVD

12インチSi深堀リエッチャ

8インチSi深堀りエッチャ

SiO2エッチャ

金属エッチャ

犠牲層ドライエッチャ

シート抵抗測定器

エリプソメータ

マスク露光機

IPA乾燥機

有機ドラフト

i-線ステッパ

コータ

デベロッパ

シリンダキャビネット

シリンダキャビネット

リソ室:イエローWet室:イエロー

ウェハスピン洗浄装置

ウェハディップ

洗浄装置

超純水精製装置

異方性ウエットエッチャ

顕微鏡エア

シャワー

エアシャワー 顕微鏡

施設紹介● 前工程設備:TKB812-F

● 後工程・検査設備:TKB812-B

※これら二つのメインクリーンルームに加え、ダイシング関連を中心とした後工程設備(TKB812-B2)や、圧電薄膜加工評価設備、電子ビーム描画装置などをつくば東事業所内のクリーンルームに設置し、MEMS加工設備として一体運用しています。

Page 16: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

16

8 金属膜ドライエッチング装置Si 酸化膜ドライエッチング装置

【MEMS017】【MEMS018】

i- 線ステッパ【MEMS006】

マスク露光装置【MEMS007】

マスクレス露光装置【MEMS008】

Si 酸化膜プラズマ CVD 装置【MEMS011】

スパッタ【MEMS012】

酸化炉【MEMS013】

アニール炉【MEMS014】

Si窒化膜減圧CVD装置【MEMS015】ポリSi減圧CVD装置

【MEMS016】

試料サイズ: 8インチウェハ25枚バッチのカセット・ツー ・カセットウェハ搬送処理、縦型チューブ仕様

酸化炉:Siウェハへの熱酸化膜形成、水素ガス燃焼による水蒸気利用ウェット酸化とドライ酸化、酸化温度最高1150℃

アニール炉:Siウェハの雰囲気中アニール処理、最高温度1150℃

Si窒化膜減圧CVD装置:減圧CVDによるSiウェハへのSi窒化膜形成、内部応力制御成膜可能

ポリSi減圧CVD装置:減圧CVDによるSiウェハへのリンドープ・ポリシリコン膜形成

MEM

S

MEMS研究開発拠点

装置紹介 Lab Equipment

1

3 4 5

6 7

ウェハスピン洗浄装置【MEMS002】 2

試料サイズ: 8,12インチウェハウェットエッチング液による枚葉処理スピン洗浄・乾燥、洗浄プロセス:アンモニア/過酸化水素水、塩酸/過酸化水素水、希釈フッ酸による洗浄と超純水リンス洗浄。

試料サイズ: 8インチウェハi-線(紫外線)によるレチクルパターンの1/5縮小投影露光最小解像線幅:0.35μm使用レチクル:6インチ角標準レジスト厚さ:1μm

試料サイズ: 8,12インチウェハTEOS液体ソースのプラズマCVDによるSi酸化膜低温形成、成膜温度:200℃

試料サイズ: 6,8インチウェハ1:1転写露光、ラージギャップ、高段差露光、裏面アライメント対応露光モード:バキューム/ハードコンタクト /プロキシミティ露光アライメント精度:±0.5μm以内標準レジスト厚さ:1μm、10μm

試料サイズ: 8インチウェハ3チャンバ構成マグネトロンスパッタによるウェハへの各種金属膜、絶縁膜形成、ターゲットへのDC/RF電圧選択印加可能

試料サイズ: 最大500mm角、12,8インチウェハ、その他任意形状レーザ光源とDLP(MEMSミラー)によるパターン直描露光最小線幅:1μm

試料サイズ: 8インチウェハハロゲン系ガス(金属膜)及びフッ素系ガス(Si酸化膜)のICP高密度プラズマによるドライエッチング加工カセット・ツー ・カセット搬送処理エッチング終点判定機能

Page 17: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

17

8”Si 深掘りドライエッチング装置【MEMS019】

チップtoウェハ接合装置【MEMS038】

ブレードダイサー【MEMS032】

犠牲層ドライエッチング装置【MEMS021】

X線CT評価装置【MEMS048】

ウェハtoウェハ接合装置【MEMS039】

大面積ナノインプリント装置【MEMS035】

12”Si 深掘りドライエッチング装置【MEMS020】

レーザステルスダイサー【MEMS033】

9

15

12

11

1716

14

10

13

試料サイズ: 8インチウェハフッ素系ガスのICP高密度プラズマによるドライエッチング加工、ボッシュプロセス深堀り加工、カセット・ツー ・カセット搬送処理プラズマ発光分光検出ウェハエッジ保護機能付属

試料サイズ: 12インチウェハ以下チップサイズ: 1-20 mm 角ウェハ及び基板上へのフリップチップ接合。接合温度:60-450℃アライメント精度:±0.5μm

試料サイズ: 最大12インチウェハダイヤモンドブレードによるウェハ切断、ステージ分解能:0.1μm送り速度:0.1−600mm/s

試料サイズ: 4,6,8インチウェハフッ酸ベーパーによるSi酸化膜犠牲層のドライエッチング終点判定機能付属

試料サイズ: 8,12インチウェハ,チップ形状X線CTスキャン顕微鏡観察チップホルダ付属、取込立体画像/CAD図形変換、観察エリア:最大8インチ、最高分解能:1μm

試料サイズ: 4,6,8インチウェハウェハtoウェハの低温接合プラズマ活性化チャンバ接合チャンバ内アライメント機能アライメント精度:±0.5μm接合温度:60-250℃、最大加圧力:20000N

試料サイズ: 200mm角以下熱および光ナノインプリントによる微細パターン形成加工温度:200℃最大圧力:5MPa

試料サイズ: 12インチウェハボッシュプロセス深堀り加工、カセット・ツー ・カセット搬送処理、プラズマ発光分光検出機能付属

試料サイズ: 最大8インチウェハレーザによる低ダメージ・ドライ切断、カセット・ツー・カセット搬送処理、ステージ分解能:0.1μm

Page 18: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

18

 MEMS開発拠点では、Micro-Nano Open Innovation Center(MNOIC)と協力して、研究開発サポートからデバイスの試作評価まで、広範囲なMEMSファンドリ-サービスを提供しています。 MEMSの研究開発には高額な研究・製造施設が必要であり、ベンチャーや中小企業が参入するには、共用のMEMS研 究 拠 点 と フ ァ ン ド リ ーサービスが大きな役割を果たします。世界最先端の8/12インチM E M S ラ イ ン [ T K B 8 1 2 ]がTIA-nanoに整備されたことに対応して、2011年4月に(一財)マイクロマシンセンターによりMNOICが設立されました。これまでに、産業界を中心としたユーザに対し、個別工程の代行に始まり、商品化に向けたデバイス試作評価まで、多様なサービスを実施しています。

MEM

S

MEMS研究開発拠点

MNOICと連携したファンドリーサービスの提供

利用可能な装置の一覧装置番号 施設名称 試料寸法 設置場所 装置分類

MEMS001 ウェハディップ洗浄装置 200 mm/300 mm TKB812-F 処理

MEMS002 ウェハスピン洗浄装置 200 mm/300 mm TKB812-F 処理

MEMS003 有機ドラフト 300 mm以下 TKB812-F 処理

MEMS004 異方性ウェットエッチング装置 200 mm TKB812-F 加工

MEMS005 IPAベーパー乾燥機 200 mm TKB812-F 処理

MEMS006 i-線ステッパ 200 mm TKB812-F 加工

MEMS007 マスク露光装置 150 mm/200 mm TKB812-F 加工

MEMS008 マスクレス露光装置 500 mm角以下 TKB812-F 加工

MEMS009 コーターディベロッパ 200 mm/300 mm TKB812-F 加工

MEMS010 光学顕微鏡 300 mm以下 TKB812-F 分析・評価

MEMS011 Si酸化膜プラズマCVD装置 200 mm/300 mm TKB812-F 加工

MEMS012 スパッタ 200 mm TKB812-B 加工

MEMS013 酸化炉 200 mm TKB812-F 加工

MEMS014 アニール炉 200 mm TKB812-F 加工

MEMS015 Si窒化膜減圧CVD装置 200 mm TKB812-F 加工

MEMS016 ポリSi減圧CVD装置 200 mm TKB812-F 加工

MEMS017 金属膜ドライエッチング装置 200 mm TKB812-F 加工

MEMS018 Si酸化膜ドライエッチング装置 200 mm TKB812-F 加工

MEMS019 8"Si深掘ドライエッチング装置 200 mm TKB812-F 加工

MEMS020 12"Si深掘ドライエッチング装置 300 mm TKB812-F 加工

TIA-NANO MEMS 研究開発拠点MicroNano Open Innovation Center

MNOIC @TIA(産学連携によるイノベーション実現の場)

●NMEMS

NIMS KEK筑波大学

国内大学

海外研究機関

運営協力

MyラボMyファブ産学共同研究人材養成

研究支援

国プロ

研究委託

人材育成

企業ユーザー

AIST集積マイクロシステム研究センター(UMEMSME)

つくばR&Dプラットホーム(8/12インチMEMS関連設備、研究リソース、知財)

技術研究組合

MEMS協議会

Page 19: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

19

装置番号 施設名称 試料寸法 設置場所 装置分類

MEMS021 犠牲層ドライエッチング装置 100 mm/150 mm/200 mm TKB812-F 加工

MEMS022 アッシャー 200 mm/300 mm TKB812-F 加工

MEMS023 光学検査顕微鏡 200 mm以下 TKB812-F 分析・評価

MEMS024 段差測定器 200 mm以下 TKB812-F 分析・評価

MEMS025 エリプソメーター 200 mm以下 TKB812-F 分析・評価

MEMS026 膜厚測定器 200 mm以下 TKB812-F 分析・評価

MEMS027 ウェハ塵埃検査装置 200 mm以下 TKB812-F 分析・評価

MEMS028 干渉型表面形状評価装置 200 mm以下 TKB812-F 分析・評価

MEMS029 シート抵抗プローバー 200 mm以下 TKB812-F 分析・評価

MEMS030 赤外線レーザ顕微鏡 200 mm以下 TKB812-F 分析・評価

MEMS031 レーザ顕微鏡 200 mm以下 TKB812-F 分析・評価

MEMS032 ブレードダイサー 300 mm以下 TKB812-B2 加工

MEMS033 レーザステルスダイサー 200 mm以下 TKB812-B2 加工

MEMS034 光学顕微鏡 300 mm以下 TKB812-B2 分析・評価

MEMS035 大面積ナノインプリント装置 200 mm角以下 TKB812-B2 加工

MEMS036 電子ビーム/抵抗蒸着装置 200 mm/300 mm TKB812-B 加工

MEMS037 熱処理装置 200 mm TKB812-B 加工

MEMS038 チップtoウェハ接合装置 100 mm/150 mm/200 mm/300 mm TKB812-B 加工

MEMS039 ウェハtoウェハ接合装置 100 mm/150 mm/200 mm TKB812-B 加工

MEMS040 単分子膜表面処理装置 200 mm TKB812-B 処理

MEMS041 光表面処理装置 200 mm TKB812-B 処理

MEMS042 12"ウェハ常温接合装置 100 mm/150 mm/200 mm/300 mm 3F-CR 加工

MEMS043 測長SEM 200 mm TKB812-B 分析・評価

MEMS044 分析SEM 100 mm/150 mm/200 mm/300 mm TKB812-B 分析・評価

MEMS045 超音波顕微鏡 300 mm以下 TKB812-B 分析・評価

MEMS046 赤外線顕微鏡 200 mm以下 TKB812-B 分析・評価

MEMS047 薄膜応力評価装置 200 mm TKB812-B 分析・評価

MEMS048 X線CT評価装置 200 mm/300 mm TKB812-B 分析・評価

MEMS049 テスタープローバー 200 mm以下 TKB812-B 分析・評価

MEMS050 光学顕微鏡 300 mm以下 TKB812-B 分析・評価

MEMS051 圧電定数評価装置 100 mm-200 mm 3H-CR 分析・評価

MEMS052 高温熱ナノインプリント装置 70 mm以下 2A実験室 加工

MEMS053 電子ビーム描画装置 100 mm/150 mm 2G-CR 加工

MEMS054 大面積コーターディベロッパ 500 mm角以下 TKB812-F 加工

MEMS055 レジストスプレーコータ 200mm 角以下 2G-CR 加工

MEMS056 反応性イオンエッチング装置 200mm 2G-CR 加工

Page 20: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

20

NPF

ナノプロセシング施設

ナノプロセシング施設(NPF)

施設概要 進歩の速いナノテクノロジーでは、如何に迅速に新材料・デバイスの試作品を作製、動作を解析し、その結果を次の研究開発に結び付けるかが研究開発の成否を分けます。ナノプロセシング施設

(Nano-Processing Facility:NPF)では、装置の提供及び技術指導等を通じて皆様のアイディアを迅速に結果に結びつけることを目的に様々な支援活動を実施しております。 特に、ナノエレクトロニクス、ナノマテリアル、N&MEMS、フォトニクス、バイオ、環境エネルギー等の研究開発の推進に必要不可欠な、超微細加工、実装、計測・評価、デバイス試作のための先端機器を、産学官の研究者及び技術者に提供しております。 専門家による技術相談、技術支援等のサービス(下記参照)を提供するとともに、若手研究者や高度技術者の育成も実施しております。また、定形外の小片から定形の3 ~ 6インチ基板(一部装置は8インチにも対応)までの各種材料の微細加工を行う装置群も整備しており、トレーニングをはじめとする手厚いサービスを提供しております。

提供サービス1. 技術相談  利用者の目的を達成するために、どのようにNPFの装置を利用し問題を解決するのが最適か研究者及び

スタッフが共に考えます。2. 機器利用  先端機器を利用者ご自身にご利用頂くサービスです。ネット上の予約システム(右ページ参照)により、

ご都合の良い時間を選んでご予約頂くことが可能です。機器の操作方法についてはトレーニングを行っておりますので、初めての方も安心してご利用いただくことができます。

3. 技術指導(ナノテクノロジープラットフォームの技術補助に相当)  装置の使用方法等について専門家が技術指導を行います。

4. 技術代行  技術的に高度で習熟に時間がかかる機器を利用する場合等は、NPFのスタッフが装置の操作を代行致

します。

人材育成● H29年度開催セミナー NPFでは人材育成を目的に、各分野の専門家を講師としたセミナーや実習コースを開催しております。H29年度に行ったセミナー・実習の例を下に記します。(平成30年3月現在) 1.光ナノ計測実践セミナーⅡ (H29/5/30) 2.酸化物トランジスタ製作・評価実習 (H29/7/31-8/4) 3.エッチング実践セミナー (H29/9/13) 4.薄膜実践セミナーⅢ (H29/11/30) 5.薄膜実践セミナー実習コース(H30/2/7-9) 6.電子ビームリソグラフィ実践セミナーⅢ (H30/2/15) 7.IoTセミナー (H30/3/15) 他

Page 21: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

21

クリーンルーム装置レイアウト

クリーンルーム(全体):600 ㎡清浄度:CR1(分析計測室):クラス10000CR2(イエロールーム):クラス1000CR3(i線ステッパー作業室):クラス100CR4(研磨・ダイシング室):クラス10000CR5(成膜・エッチング室):クラス10000

予約システム(NPFシステム)https://ssl.open-innovation.jp/npf/

  ナノプロセシング施設(NPF)では、インターネットから支援申請、装置予約等を行えるNPFシステムを公開しております。

  NPFシステムに登録することにより、オペレーターを介することなく、迅速に装置の空き時間を調べ、予約を行うことが可能です。また、NPFシステムからいつでも過去の利用実績等を調べることが出来るため、随時利用料金等を見積もることが可能となっております。

  また、システムを通じて、セミナー開催等のお知らせを発信しております。

ドラフトチャンバー(左)

ドラフトチャンバー(右)

UVクリーナー

自動塗布現像装置

マスクアライナー

マスクアライナー(MJB4)

電子ビーム真空蒸着装置

多目的熱処理装置

プラズマCVD(TEOS-SiO2)

 高速EB描画装置(エリオニクス)

プラズマアッシャー

ウェハー酸化炉

多目的RTA炉

RF/DCスパッタ装置

スパッタ装置

RTA拡散炉

マッフル炉マスクレス露光装置

SPM

SPMFT-IR

RAMAN

電子ビーム描画装置

スクライバー

レーザー加工機

イオンコーター

ダイシングソー

イオンスパッタ

ワイヤーボンダー

ウイルスチェック用 PC触針式

段差計

レーザー顕微鏡(OLS-4100)

デバイスパラメータ評価装置

デバイス容量評価装置

分光エリプソメーター

ナノサーチ顕微鏡

真空パック

有機ドラフト酸ドラフト

デジタルマイクロスコープ

光学顕微鏡

i線ステッパー

スピンコーター

光学顕微鏡

CMP

FIB-SEM

FE-SEM

低真空SEM

FIB

多目的エッチング装置(ICP-RIE)

クリーンオーブン

CR2クラス 1000

CR3クラス 100

CR4クラス 10000

CR5クラス 10000

CR1クラス 10000

原子層堆積装置

抵抗加熱型真空蒸着装置

アルゴンミリング

RF・DCスパッタ堆積装置(芝浦)

有機薬品庫酸アルカリ薬品庫

レーザー顕微鏡(VK-9700)

スピンコーター

高速ジェットリフトオフ

ドラフト

超純水製造装置

スターラーウォーターバス

マスクレス露光装置(プロトタイプ)

ICP-RIE(化合物半導体用)

プラズマCVD(SiN)

RIE

四探針プローブ

XRF

ウエハー圧着器

メッキ装置研磨機

クロスセクションポリッシャ

Page 22: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

22

NPF

ナノプロセシング施設

3

2

装置紹介 Lab Equipment

マスクレス露光装置【NPF006】

i 線露光装置【NPF011】

▼仕様  露 光 パ タ ー ン 発 生 用 の 空 間 光 変 調 器 にDMD

(DigitalMicromirror Device)を用いたマスクレス方式の露光装置です。フォトマスクやレチクルを使用せずに、CADデータ

(GDSII形式)で設計した任意の形状を基板上のフォトレジストに直接パターニングすることができます。フォトマスクを作製する必要がないため、思い付いたパターンをすぐに試作することが可能で、近年急速に需要が伸びています。● 光源:波長405nm(LED)● 露光最小画素:□1μm ● 最大露光領域:□100mm● 重ね合わせ精度:±1μm

▼仕様 この装置は、最大開口数(N.A.)0.63の投影レンズを搭載し、解像度350nm以下に対応したi線縮小投影型露光装置です。投影レンズはN.A.可変システムを採用しており、プロセス条件に応じて0.50 ~ 0.63の間で最適な選択することが可能です。特殊ステージを備えておりφ2 ~φ8インチウェハおよび□20mm、□18mm、□15mm、□10mmの切り出しチップの露光が可能です。● 露光範囲:□22mm(ウェハー上) ● レチクル:6インチ石英ガラス● 総合アライメント精度:55nm以下

メーカー:ナノシステムソリューションズ

メーカー:二コンテック

● 型番:NSR-2205i12D● 露光光源: i線(波長365 nm)

1 高速電子ビーム描画装置(エリオニクス)【NPF093】

▼仕様 本装置は細く絞った電子ビームをレジストを塗布した基板に照射し、微細な加工(描画)を行うための装置です。加速電圧が130kVであるため非常に微細なパターン(5 nm)を描画することができます。クロック周波数が100 MHzであるため、高速描画が可能です。描画エリアは8インチサイズですが、12インチウエハーをセットすることが可能です。● 加速電圧 :130kV, 100kV, 50kV, 25kV● 最小ビーム径:1.7nm (@ 130kV)● 最小描画線幅:5nm (@ 130kV)● 最大スキャンクロック:100MHz

● ビーム電流強度:5pA ~ 100nA● フィールドサイズ:□100μm、□250μm、□500μm   □1000μm (100kV以下)   □1500μm (50kV以下)   □3000μm (25kV以下)● ビームポジション:1,000,000×1,000,000 (20bit DAC)● 位置決め分解能:0.1nm ● つなぎ精度:±10nm● 重ねあわせ精度:±15nm● 描画可能エリア:210mm×210mm● 最大試料サイズ:12インチΦウェハー又は9インチ□マスク

メーカー:エリオニクス

● 型番:ELS-F130AN● 電子銃: ZrO/W熱電界放射型

4 高圧ジェットリフトオフ装置【NPF092】

▼仕様 加温したN-メチル-2-ピロリドン溶液(NMP)による膨潤工程、常温NMPによる高圧ジェット剥離工程、2-プロパノール溶液(IPA)および純水によるリンス工程、窒素ブローおよび高速スピンによる乾燥工程等の一連の工程が自動処理できる自動リフトオフ装置である。● 回転数:0 ~ 2000rpm ● NMP処理温度:80℃

メーカー:カナメックス

● 型番:KLO-150CBU● 試料サイズ:  小片20mm□~  最大φ150mm  ウエハ

Page 23: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

23

8 スパッタ成膜装置 ( 芝浦 )【NPF025】

▼仕様 高周波励起によりArプラズマを発生させて薄膜材料へ衝突させることにより、基板へ均質緻密な膜をスパッタします。ロードロック室付なので基板交換を効率良く迅速に行えます。3種までの材料を逐次多重成膜することが出来ます(手動)。強磁場を発生させる特別な磁石を組み込んだカソードも1台組み込んであり、強磁性材料に対しても高レートの成膜を可能としています。真空システムと基板搬送は自動化してあり、操作パネル上で手軽に操作出来ます。● 加熱温度:最大300℃  ● 到達真空度:2×10-4 Pa● 反応ガス:Ar, O2, N2

メーカー:芝浦メカトロニクス

● 型番:CFS-4EP-LL● スパッタ源: 3インチマグネトロン ×3式

5

6

9

7

原子層堆積装置(FlexAL)【NPF031】

プラズマCVD薄膜堆積装置【NPF030】

電子ビーム真空蒸着装置【NPF023】

プラズマCVD薄膜堆積装置(SiN)【NPF081】

▼仕様 原子層堆積(ALD)装置は、原料分子ガスと反応物質ガスの供給を別々のステップで行い、一層ずつ成膜することにより、原子層レベルで膜厚を制御して平坦で緻密な薄膜を形成することが可能な装置です。原料分子をガス状にして供給するため、上面だけでなく試料の側面等にも均一に成膜することが可能で、近年急速に需要が伸びています。これまでに報告されているALD装置の応用例としては、(1)銅配線の拡散防止膜、銅めっきシード層、(2)高効率太陽電池の作製、(3)有機EL、EL、有機トランジスタデバイスのH2O、O2の拡散を止める封止膜、(4)DRAMキャパシタの作製(5)高誘電率ゲート絶縁膜の成膜等、多数あります。

● 成膜可能材料:Al2O3, SiO2, HfO2, ZnO, TiO2, Ta2O5, ZrO, NbO, GaO, AlN, SiN, HfN, TiN, TaN, ZrN, NbN, GaN● 技術代行のみ

▼仕様 半本装置は液体ソースを用いたプラズマ化学気相成長装置であり、テトラエトキシシラン(TEOS)を原料として酸化シリコン膜を成膜することができます。抵抗加熱方式のステージ加熱機構を備えており最大400℃まで昇温可能です。● RF電源: 13.56[MHz]水晶発振(MAX300[W])● 導入ガス: C2F6(MAX:100sccm)      O2(MAX:1000sccm)      TEOS(MAX:30sccm)● ガス噴出口: 上部電極一体式シャワー状マニホールド● 有効成膜範囲: φ220mm

▼仕様 高真空中で金属や化合物などの成膜材料を電子ビーム照射により溶融させ蒸着します。成膜シーケンスを組むことにより8種類までの材料の多層膜を作製することができます。● 最大試料サイズ:100mm×100mm×20mm● 蒸発源ー基板間:300mm● 真空排気システム:到達圧力3×10-6 Pa以下● オイルフリー● 成膜可能材料:Ag, Al, Au, Co, Cr, Cu, Mo, Ni, Pt, Ti, Al2O3, SiO2

  等30種以上

▼仕様 サンプルにレーザーを照射し、得られるラマンスペクトルを測定す本装置は液体ソースを用いたプラズマ化学気相成長装置であり、TEOSからSiO2を、SN-2(液体有機ケイ素化合物)からSi3N4を成膜することができます。RF電源はオートマッチングシステムで制御され、投入パワーは最大300[W]となっています。ステージ加熱機構は抵抗加熱方式となっており、最大350[℃ ]まで昇温が可能です。

メーカー:オックスフォード・インストゥルメンツ株式会社

● 型番:FlexAL● 最大試料サイズ:8インチウェハ

メーカー:サムコ株式会社

● 電極間隔:25mm (上部電極:Al、 下部電極:SUS)

メーカー:エイコー・エンジニアリング

● 蒸着方式: 電子ビーム加熱蒸発● 膜厚分布: 10%以内@φ75mm

メーカー:サムコ株式会社

● 型番: PD-220NS● 成膜種:SiO2, Si3N4● 電極間隔:25mm  (上部電極 : Al製、下部電極:SUS製)● ステージ加熱機構: 抗加熱方式 (MAX350[℃ ])

Page 24: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

24

NPF

ナノプロセシング施設

1514

装置紹介 Lab Equipment

ナノプローバ[N-6000SS]【NPF049】

顕微レーザーラマン分光装置(RAMAN)【NPF065】

▼仕様 半導体デバイスの配線・電極やナノカーボン材料等の新材料に直接微小プローブを接触させ、材料の電気特性を評価するプローバです。冷陰極電界放出型走査電子顕微鏡(FE-SEM)搭載のため、高倍率観察下でのプロービングが可能です。またプローブユニットは6本対応となっており、様々な測定ニーズに合わせて使用出ます。● 微動範囲:5μm、粗動範囲:5mm● 試料サイズ:15mm×15mm、厚さ1mm以下● 加熱・冷却機能付(ステージ温度:-40 ~ 150℃)● 電気特性測定:B1500(Agilent製)

▼仕様 サンプルにレーザーを照射し、得られるラマンスペクトルを測定することで、試料の分子構造や未知物質の同定を非破壊で行う装置です。コンフォーカル機能を搭載した光学顕微鏡システムとの組み合わせにより、高分解能な微小領域解析が可能となっています。また、励起レーザー、フィルター、グレーティングがスマートコンポーネントになっており、2種類の励起レーザーの変更が容易に行えます。● 測定波長範囲:50-3300cm-1

● スペクトル分解能:フルレンジグレーティング 5cm-1

          高分解能グレーティング 3cm-1

メーカー:日立ハイテクノロジーズ● 型番: N-6000SS● プローブユニット:6本

四端子測定例

メーカー:サーモフィッシャーサイエンティフィック

● 型番: DXR Raman  Microscope● レーザー: 532nm (高輝度DPSS)、780nm (高輝度ダイオード)

13 ナノサーチ顕微鏡SPM3[SFT-3500]【NPF048】

▼仕様  こ の 装 置 は、光 学 顕 微 鏡 と、レ ー ザ 顕 微 鏡(LSM: Laser Scanning Microscope)、走査型プローブ顕微鏡(SPM:Scanning Probe Microscope)の3機能が1台の顕微鏡に搭載された複合型顕微鏡です。数十倍~百万倍以上の超ワイドレンジでの観察を行うことが可能です。搭載の機能を組み合わせることで、SPM単体では困難であった視野探しを試料を載せかえずに正確かつスピーディに行うことができます。● LSM部 対物レンズ:5×, 20×, 100×( 光学ズーム 1×~ 6×)● SPM部 測定モード:ダイナミックモード(タッピングモード)、コンタクトモード、位相モード、表面電位モード[KFM]、電流モード

メーカー:島津製作所

11 化合物半導体エッチング装置(ICP-RIE)【NPF082】

▼仕様 トルネード型コイル電極を採用した誘導結合方式(ICP)で発生させた高密度プラズマにより、各種材料の超微細加工を高速で行うことを可能とした反応性イオンエッチング装置です。主に化合物半導体などの高精度の異方性エッチングを目的とした装置です。

メーカー:株式会社サムコ

● 型番:RIE-400iPS● 試料サイズ: 4インチウェハまで対応● ICP高周波電源:最大1kW● バイアス高周波電源:最大300W● 使用ガス:Cl2, BCl3, HBr, Ar,  O2, CF4

10 多目的エッチング装置(ICP-RIE)【NPF019】

▼仕様 放電形式に誘導結合(Inductively Coupled Plasma:ICP)方式を採用し、各種材料の超微細加工を高速で行うことを目的とした研究開発用のロードロック式エッチング装置です。本装置では、独自のトルネード型コイル電極の採用により、安定した高密度プラズマを効率よく発生させ、主にシリコンおよび酸化シリコン膜などの高精度の異方性エッチングが可能です。シリコンの深堀りも可能です。

メーカー:株式会社サムコ

● 型番:RIE-101 PHS-L● 試料サイズ: 4インチウェハまで対応● 試料温度制御:-18℃~常温● ICP高周波電源:最大1kW● バイアス高周波電源:最大300W● 使用ガス: SF6, CHF3, CF4, Ar, O

12 電界放出形走査電子顕微鏡 [S4800_FE-SEM]【NPF004】

▼仕様 この装置は、細く絞った電子線で試料表面を2次元的に走査し、その際に出てくる2次電子信号から、表面の凹凸や材質の違いなどを像として表示します。微細加工された部分の形状などを観察評価するために用います。電子線を試料表面で細く収束し、非常に微細な構造を観察するために特殊な電子銃を用いています。試料を傾けながら像を観察することにより、3次元的な形状を把握することもできます。● 分解能:1.0nm(加速電圧15kV, WD = 4mm)● 試料サイズ:15 ~ 150mmφ ● 検出器:2次電子検出器、エネルギー分散型X線検出器

メーカー:日立ハイテクノロジーズ

● 型番:S-4800● 電子銃: 冷陰極電界放出型電子銃 ● 加速電圧:0.5 ~ 30kV

Page 25: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

25

17

18

薄膜エックス線回折装置【NPF071】

二次イオン質量分析装置(D-SIMS)【NPF038】

▼仕様 平板結晶・薄膜の結晶性や膜厚・表面粗さ等を総合評価するための多軸独立駆動ゴニオメーターを搭載した専用測定装置です。入射側は、多層膜により平行化されたX線を4結晶モノクロメーターにより更に単色・平行化する光学系を有しており、エピタキシャル成長した単結晶薄膜や超格子膜或いは多結晶薄膜試料の結晶性(格子定数、配向、組成、歪)、膜厚、超格子の周期或いは逆格子マップ等の評価測定を行うことができます。また、高強力ロータ型X線発生装置を採用しておりX線輝度・強度が高いため、迅速な測定が行えます。

▼仕様 試料にイオンを照射し、試料表面からスパッタリング放出される二次イオンを質量分析することによって深さ方向の元素分布情報を得る分析手法です。固体表面の検出感度が高く、1H ~ 92Uまでの全元素および同位体に対してppm ~ ppbの範囲で定量が可能です。● 導入可能最大試料サイズ:φ50mm ● 二次イオン質量分析計:四重極型● 分析モード:質量スペクトル測定、ライン分析、 深さプロファイル、二次イオンイメージ像

メーカー:リガク

● 型番:ATX-G● 入射X線光学系: 放物面型人工多層膜ミ

ラー、4結晶モノクロメーター

メーカー:アルバック・ファイ

● 型番:ADEPT-1010● 一次イオン: ①O2:加速電圧 0.25-8.0kV ②Cs:加速電圧 0.25-11.0

kV

16 エックス線光電子分光分析装置(XPS)【NPF074】

▼仕様 試料表面にX線を照射した際に試料表面から生じる光電子のエネルギーを測定することで、試料の構成元素とその電子状態を分析することができます。ほぼあらゆる元素の種類、およびその電子状態が測定可能です。アルゴンエッチングと併用することにより、深さ方向の元素分布を測定することも可能です。● エネルギ分解能:0.48eV(Ag 3d5/2)● 最大試料サイズ:φ110mm×高さ20mm● 主な付加機能:UPS光源(He I, II), PAHクラスタイオン銃, サンプルトランス

メーカー:KRATOS ANALYTICAL島津製作所● 型番:AXIS Nova● X線源: Rowland 円直径 500mm

モノクロメータ付Al Ka (1486.6 eV)

21 クロスセクションポリッシャー (ALD付帯)【NPF032】

▼仕様 走査型電子顕微鏡(SEM)や走査型プローブ顕微鏡の断面試料作製に利用できます。予め鏡面研磨したサンプルにフラットミリングをかけることで、浅く残った研磨痕やダレの除去を行うことができます。● 最大ミリングレート:約2 μm/hr (フラットミリング)● 最大試料サイズ :Φ50 X 25(H) mm

メーカー:日立ハイテクノロジーズ

● 型番:IM4000● 加速電圧:0 ~ 6 kV

19 物理特性測定装置(PPMS)【NPF085】

▼仕様 高感度物理特性評価装置。直流抵抗測定(DC)、Hall抵抗測定等が可能です。ヘリウム再凝縮装置が付設されているため、液体ヘリウムの充填が不要です。● 温度可変速度:0.01K/分~ 6K/分● 超伝導マグネット:±14 T (通常は7 Tまででご利用ください。)● 測定機能:直流抵抗測定(DC)、Hall抵抗測定

メーカー:日本カンタム・デザイン

● 型番:MODEL6000● 測定可能温度範囲: 1.9 ~ 400 K

20 集束イオンビーム加工観察装置(FIB)【NPF034】

▼仕様  ガ リ ウ ム イ オ ン ビ ー ム を 集 束/走 査 す る こ と で、S I M

(Scanning Ion Microscopy) によるイオン励起二次電子像の観察を行いながら、任意の箇所でのナノサイズ・マイクロサイズのミリング加工や、イオンビームアシストによるタングステンの化学気相成長を行うことができます。その他、同一真空チャンバー内にあるマニュピレータプローブと、上記のSIM観察、ミリング加工、タングステン成長を組み合わせることで様々な微細加工プロセスにも応用可能です。

メーカー:日立ハイテクノロジーズ

● 型番:FB-2100● イオン源:ガリウム液体 金属イオン源

Page 26: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

26

NPF

ナノプロセシング施設

NPF001 電子ビーム描画装置(CRESTEC)NPF003 イオンコーター

NPF004 電界放出形走査電子顕微鏡[S4800_FE-SEM]

NPF005 低真空走査電子顕微鏡NPF006 マスクレス露光装置NPF008 スピンコーターNPF009 コンタクトマスクアライナー [MJB4]NPF010 反転露光用全面UV照射装置NPF011 i線露光装置NPF012 ドラフトチャンバー (右)NPF013 ドラフトチャンバー (左)NPF014 有機ドラフトチャンバーNPF015 酸アルカリドラフトチャンバーNPF016 スターラーウォーターバス[SWB-10L-1]NPF017 スマートウォーターバス[TB-1N]NPF018 反応性イオンエッチング装置 (RIE)NPF019 多目的エッチング装置(ICP-RIE)NPF021 プラズマアッシャーNPF022 UVオゾンクリーナーNPF023 電子ビーム真空蒸着装置NPF024 抵抗加熱型真空蒸着装置NPF025 スパッタ成膜装置(芝浦)NPF026 RF・DCスパッタ成膜装置(ULVAC)NPF029 メッキ装置NPF030 プラズマCVD薄膜堆積装置NPF031 原子層堆積装置[FlexAL]NPF032 クロスセクションポリッシャー (ALD付帯) NPF033 アルゴンミリング装置NPF034 集束イオンビーム加工観察装置(FIB)NPF035 イオンコーター (FIB付帯)NPF038 二次イオン質量分析装置(D-SIMS)NPF039 オゾンクリーナー (SIMS付属)NPF040 多目的高速加熱ランプ炉(RTA)NPF041 ウェハー酸化炉NPF042 クリーンオーブン(右)NPF043 クリーンオーブン(左)NPF044 マッフル炉NPF045 触針式段差計

NPF046 走査プローブ顕微鏡SPM1[NanoscopeⅣ_Dimension3100]

NPF047 走査プローブ顕微鏡SPM2[SPM-9600_9700]

NPF048 ナノサーチ顕微鏡SPM3[SFT-3500]NPF049 ナノプローバ[N-6000SS]NPF050 四探針プローブ抵抗測定装置NPF051 デバイスパラメータ評価装置

NPF052 デバイス容量評価装置NPF053 ワイヤーボンダーNPF054 ダイシングソーNPF055 スクライバーNPF056 研磨機NPF057 ラッピングマシン(CMP)NPF058 ウェハー圧着機NPF059 レーザー顕微鏡[VK-8510]NPF060 短波長レーザー顕微鏡[VK-9700]NPF061 短波長レーザー顕微鏡[OLS-4100]NPF062 全焦点顕微鏡NPF063 分光エリプソメータNPF064 解析用PC(分光エリプソメータ用)NPF065 顕微レーザーラマン分光装置(RAMAN)NPF066 顕微フーリエ変換赤外分光装置(FT-IR)

NPF067 解析用PC(CADおよびSPM, FT-IR, RAMAN用)

NPF068 磁気特性測定システム(MPMS)NPF070 エックス線回折装置(XRD)NPF071 薄膜エックス線回折装置NPF072 微小部蛍光エックス線分析装置NPF073 解析用PC(CADおよびXRD解析用)NPF074 エックス線光電子分光分析装置(XPS)NPF075 解析用PC(XPS用)NPF076 解析用PC1(一般解析用)NPF077 解析用PC2(一般解析用)NPF078 解析用PC3(一般解析用)NPF079 解析用PC4(一般解析用)NPF080 ヘリウムイオン顕微鏡NPF081 プラズマCVD薄膜堆積装置(SiN)NPF082 化合物半導体エッチング装置(ICP-RIE)NPF084 デジタルマイクロスコープNPF085 物理特性測定装置(PPMS)NPF086 マニュアルウェハープローバー (2F)NPF087 ワイヤーボンダー (2F)

NPF088 電界放出型走査電子顕微鏡[S4500_FE-SEM](2F)

NPF089 赤外線ランプ拡散炉(RTA)NPF090 レーザー加工装置NPF091 自動塗布現像装置NPF092 高圧ジェットリフトオフ装置NPF093 高速電子ビーム描画装置(エリオニクス)NPF094 解析用PC(CAD及び近接効果補正用)NPF095 RF・DCスパッタ堆積装置(芝浦)NPF096 単波長エリプソメーターNPF097 両面アライナー

装置一覧

Page 27: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

27

高速電子ビーム描画装置の描画例

微細加工技術を用いたデバイス作製例

ALD装置による成膜例

i線露光装置を用いてパターンを露光した集積量子化ホール抵抗素子。(産業技術総合研究所 大江主任研究員作製)

マスクレス露光装置、アルゴンミリング装置、真空蒸着装置を用いて作製した酸化物チャンネルトランジスタの試作例。チャンネルに四端子測定及びホール抵抗測定用の電極も接続されている。

コンフォーマルな成膜

事例紹介

4nm

4nm 細線 (ピッチ50nm)

2um150nm

300nm

SAWデバイスパターン(レジスト膜厚2μm)

- 線幅150nm ピッチ300nm -4nm細線(ピッチ50nm)

SAWデバイスパターン(レジスト膜厚2μm)- 線幅150nm ピッチ300nm -

Page 28: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

28

SCR

スーパークリーンルーム産学官連携研究棟

スーパークリーンルーム産学官連携研究棟(SCR) スーパークリーンルーム産学官連携研究棟 (SCR)は、スーパークリーンルーム(空気清浄度 JIS/ISOクラス3、広さ3,000 ㎡)に、直径300mmシリコンウェハ試作ラインを備えた施設です。 現在、100台以上の半導体製造装置/評価・分析装置を有し、

 ◆ CMOSデバイスをはじめとする電子デバイスの研究開発 ◆ シリコンフォトニクスデバイスの研究開発 ◆ Cu配線、3次元実装技術の研究開発 ◆ 装置開発用のテストウェハの試作

などの様々な目的でご利用いただいています。

■お問い合わせ先産業技術総合研究所 TIA推進センター スーパークリーンルームステーションURL: https://unit.aist.go.jp/tia-co/orp/scr/index.html(約款、単価表等掲載)E-mail: [email protected] (TEL: 029-849-1530)※ お問い合わせは、できるだけメールにてお願いいたします。

SCRの利用形態 SCRの産総研外からの利用方法としては主として以下の2形態がございます。いずれも利用内容は非開示で、打合せ前のNDA締結も可能です。また、利用検討のための見学も承りますので、お申し出ください。

(1) 共用施設等利用制度 共用施設等利用約款に基づく、簡便な利用申込手続と単価表課金による利用制度です。単工程試作や、標準プロセスによる小規模なデバイス試作に向いています。300mmウェハ対応装置は操作が複雑なため、基本的にSCR技術者が試作を行う「技術代行」となります。約款に、秘密情報の保持、成果の帰属、製造物責任等の項目が含まれておりますので、ホームページ掲載の約款をご参照ください。

(2) 共同研究 長い試作工程を持つデバイスの開発や、複雑な条件出しが必要な開発については、共同研究契約に基づく利用も可能です。詳細はお問い合わせください。

ご留意をお願いしたい点 SCR利用のご検討にあたっては、以下の点をご留意ください。◆対応基板:

 プロセス/評価装置の多くがφ300mm FOUP対応のロボット搬送となるため、SEMI M1規格準拠のφ300mmシリコンウェハ以外は装置側の対応が困難です。小径(小片~φ8インチ)、非シリコン基板については、弊所NPF施設、MEMS施設等の利用をご検討ください。

◆汚染管理: SCRはCMOS製造に準拠した汚染管理を行っております。ウェハの持込みに際しては、汚染評価等が必要になります。また、ベアウェハは少量であれば実費提供が可能です。詳細はお問い合わせください。

◆マスク利用: ライン&スペース、ホール等のパターン、CMOS、Cu配線については、弊所保有の標準TEGマスクをご利用いただけます。それ以外のマスクについては、製作仕様を提示しますので、利用者側で作製してお持ち込みいただきます。マスク設計の技術代行は行っておりません。

◆数量、納期: 研究施設のため、大量の試作依頼は受入れが難しい場合がございます。また、試作納期のお約束は行っておりませんのでご了解ください。

Page 29: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

29

SCRの試作例● ArF液浸露光装置を用いた試作 標準CMOSマスクおよびスループロセスフロー(STI、ポリSiゲート、シャロージャンクション、Niサリサイド、Wプラグコンタクト、Al配線)をご利用いただけます。また、ライン&スペース、ホールの標準TEGマスクを使用した微細パターンの作製も可能です。

 

左からMOSFET(ゲート長 60 nm) のSTEM像、ドライエッチによるライン&スペース、ホールアレイ

● 3次元実装装置による試作 2018年度より、NEDO「IoT技術開発加速のためのオープンイノベーション推進事業」により整備した3次元実装装置を利用公開しています。IoTデバイス試作に必要なTSV試作などにご利用いただけます。

深掘りエッチング装置によるSi基板のトレンチ加工(左)、ホール加工(中)、Cu埋め込み(右)

● ヘリウムイオン顕微鏡を用いた高解像度観察 国内に数台しかないヘリウムイオン顕微鏡が利用できます。従来の電子顕微鏡では得ることができない、高い解像度と深い焦点深度による試料観察(レジスト、半導体、生体材料等)が行えます。また、超微細加工も可能です。

ヒト染色体の観察像(左)と架橋グラフェンの超微細加工(右)

大阪大学福井研・産総研共同研究 北陸先端大学水田研・産総研共同研究

Page 30: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

30

SCR

スーパークリーンルーム産学官連携研究棟

1 ArF液浸露光装置【SCR001:L01-103】 

型番: Nikon NSR-S610C用途: 超高解像度リソグラフィ特徴: ArF レーザ光(波長193nm)の液浸露光と3 層レジストにより、最小線幅45nm のリソグラフィが可能な、SCR を代表する装置

装置紹介 Lab Equipment

3 i線露光装置【SCR003:U01-101】 

型番: Canon FPA-5510iZs用途: 裏面アラインメント露光、厚膜レジスト露光特徴: 3次元実装に必要となる、厚膜レジストの露光や、IRを使用した両面の位置合わせが可能

2 KrF露光装置【SCR002:M01-10】 

型番: Canon FPA-5000ES3用途: 高解像度リソグラフィ特徴: KrFレーザ光(波長248nm)の露光により最小線幅200nm程度までのリソグラフィに使用

4 Doped-Si LP-CVD装置【SCR015:P03-103】 

型番: 日立国際電気 DJ-1226V-DF用途: a-Si, poly-Si, doped poly-Si成膜特徴: プロセスガスの切替えにより、Bドープ、Pドープ、ノンドープの成膜が可能

6 酸化膜エッチング装置【SCR027:M02-01】 

型番: TEL Telius SCCM-Ox/DRM-Ox用途: 前工程コンタクトホール形成等特徴: 酸化膜/窒化膜に強い異方性エッチングを行うことができ、コンタクトホールやサイドウォール形成に利用される

5 バリアシードスパッタ装置【SCR017:B06-101】 

型番: Applied Materials, Endura2 EnCoReII Ta/Cu用途: バリア層、シード層形成特徴: Cuめっき配線層のためのバリア層(Ta, TaN)、シード層(Cu)成膜に利用される

7 イオン注入装置【SCR035:F05-101】 

型番: 住友重機械 SHX用途: 低エネルギー高電流イオン注入特徴: SCRでは注入エネルギーにより2台 の 装 置 を 使 い 分 け て お り、本 機 は50keV以下の低エネルギー条件で使用

9 Cuめっき装置【SCR021:B06-102】 

型番: Novellus SABRE NexT用途: Cuダマシンプロセス特徴: Cu配線のため、バリア/シード層形成後に電解めっきを行う

8 縦型酸化炉【SCR039:M04-101】 

型番: 東京エレクトロン ALPHA-303i-K用途: 熱酸化膜成膜特徴: 一般的な熱酸化膜成膜用で、他にゲート酸化、活性化アニール用にはRTA/RTP装置も利用可能

Page 31: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

31

10 Cu CMP装置【SCR052:B07-101】 

型番: 東京精密 ChaMP-332M用途: Cuダマシンプロセス特徴: Cu配線形成の中心となる装置で、光学式終点検出を備える

12 Si裏面研削研磨装置【SCR060:U07-103】 

型番: DISCO DGP8761HC用途: 接合ウェハ薄層化特徴: 2枚のウェハを接合後、別装置でエッジトリミングを行ってから、本装置で片側を薄く加工する。

11 Si深掘エッチング装置【SCR054:B02-101D】 

型番: Applied Materials, Centura SilVia用途: TSVエッチング特徴: Bosch法により極めてアスペクト比の高い深掘りが可能で、貫通配線

(TSV)の形成等に使用される

13 パーティクル検査装置【SCR076:P08-105】 

型番: TOPCON WM-10用途: ウェハ表面異物測定特徴: ウェハ表面に付着した最小42 nmまでの異物を検出し、分布・座標を出力することが可能

15 枚葉式洗浄装置【SCR046:M07-102】 

型番: SCREEN AQUASPIN SU-3000用途: 表面/裏面スピン洗浄特徴: 枚 葉 式 で ウ エ ッ ト ス ピ ン 洗 浄

(DHF, EKC, 裏面FPMなど)を行う

14 測長SEM【SCR084:I08-110】 

型番: 日立ハイテクノロジーズ CG5000用途: リソグラフィ後パターン検査特徴: リソグラフィ後は光学顕微鏡によるマクロ検査と、測長SEMによるミクロ検査が実施される

16 ヘリウムイオン顕微鏡【SCR092:J04-106】 

型番: Carl Zeiss, ORION Plus用途: 高解像度観察、超微細加工特徴: He+イオンによる観察で、電子顕微鏡と比べて深い焦点深度や、e-ビームを併用した電荷中和が可能

18 フルオートプローバ【SCR106:M10-05】 

型 番: 東 京 エ レ ク ト ロ ン P-12XL / Agilent 4076用途: 電気特性評価特徴: ウェハの状態で電気特性の自動計測・マッピングが可能

17 走査透過電子顕微鏡【SCR094:AF08-402】 

型番: 日立ハイテクノロジーズ HD-2700用途: デバイス断面評価、元素分析等特徴: FIBと併用して、試作したデバイスの断面観察や不良解析に利用される

Page 32: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

32

SCR

スーパークリーンルーム産学官連携研究棟

装置リストプロセス装置

分類 No. 装置番号 装置名称 メーカー名 型番

露光装置

1L01-104 ArF液浸レジスト塗布現像装置 東京エレクトロン CLEAN TRACK LITHIUS i+L01-103 ArF液浸露光装置 Nikon NSR-S610C

2M01-08 KrFレジスト塗布現像装置 東京エレクトロン CLEAN TRACK ACT 12M01-10 KrF露光装置 Canon FPA-5000ES3

3U01-102 i線レジスト塗布現像装置 東京エレクトロン CLEAN TRACK ACT 12U01-101 IRアラインメント付i線露光装置 Canon FPA-5510iZs

成膜装置

4 B03-07 プラズマCVD装置 ASM Eagle-125 M03-06 プラズマCVD装置 ASM Eagle-12 Rapidfire6 B03-06 プラズマCVD装置 Novellus systems VECTOR7 F03-103 プラズマCVD装置 Novellus systems VECTOR8 M03-01 高密度プラズマCVD装置 Novellus systems Concept 3 Speed9 P03-101 高密度プラズマCVD装置 三菱重工 MAPLE

10 M03-14 High-k ALD装置 東京エレクトロン Trias-ALD11 M06-04 メタルCVD装置 東京エレクトロン Trias-W12 F03-07 窒化膜LP-CVD装置 東京エレクトロン TELFORMULA13 M03-03 酸化膜LP-CVD(TEOS)装置 東京エレクトロン TELFORMULA14 M03-09 Poly-Si/a-Si LP-CVD装置 東京エレクトロン TELFORMULA15 P03-103 Doped-Si LP-CVD装置 日立国際電気 DJ-1226V-DF16 U03-101B プラズマCVD装置 Applied Materials PRODUCER GT Staircase17 B06-101 バリアシードスパッタ装置 Applied Materials Endura2 EnCoReII Ta/Cu18 F06-101 新材料スパッタ装置 キャノンアネルバ iarim C-7100GT19 M06-03 メタルスパッタ装置 キャノンアネルバ COSMOS I-120120 M06-07 メタルスパッタ装置 ULVAC ENTRON W-30021 B06-102 Cuメッキ装置 Novellus systems SABRE NExT22 P03-105 Geエピ装置 キャノンアネルバ FC720023 AP03-101 SiGe/Ge成膜装置 ASM Epsilon

エッチング装置

24 M02-04 Poly-Siエッチング装置 Applied Materials Centura DPSII/Axiom25 M02-05 メタルエッチング装置 Applied Materials Centura DPSII/ASPII26 B02-101 Low-k/メタルエッチング装置 Applied Materials Centura Enabler/DPS23227 M02-01 酸化膜エッチング装置 東京エレクトロン Telius SCCM-Ox/DRM-Ox28 M02-10 酸化膜エッチング装置 東京エレクトロン Telius DRM-Ox/SCCM-Poly29 B02-01 Low-kエッチング装置 東京エレクトロン Telius SCCM-Ox30 F02-101 新材料エッチング装置 日立ハイテクノロジーズ U-8150

アッシング装置31 B02-03 アッシング装置 芝浦メカトロニクス ICE300/RPA30032 M02-07 アッシング装置 芝浦メカトロニクス μASH30033 P02-105 アッシング装置 芝浦メカトロニクス ICE300/μASH300

イオン注入装置34 M05-03 高エネルギー中電流イオン注入装置 日新イオン機器 EXCEED2300V35 F05-101 低エネルギー高電流イオン注入装置 住友重機械 SHX

熱処理装置

36 M03-101 RTA/RTP装置 Applied Materials Radiance37 M04-02 ゲート酸窒化RTO/RTP装置 東京エレクトロン Trias SPA30038 B04-01 縦型アニール装置 光洋サーモシステム VF-5700B39 M04-101 縦型酸化炉 東京エレクトロン ALPHA-303i-K

洗浄装置

40 M07-15 バッチ式洗浄装置 東京エレクトロン UW300Z41 M07-07 酸化膜ウェットエッチング装置 SES VENUS42 P07-104 窒化膜ウェットエッチング装置 S-TEC SFAW-1201-00843 M07-05 バッチ式スプレー洗浄装置 東京エレクトロン ZETA 300 BE44 M07-02 枚葉式洗浄装置 SCREEN AQUASPIN MP-300045 M07-101 枚葉式洗浄装置 SCREEN AQUASPIN SU-300046 M07-102 枚葉式洗浄装置 SCREEN AQUASPIN SU-300047 M07-13 枚葉式新材料洗浄装置 SEZ SEZ32348 P07-103 小口径対応枚葉式洗浄装置 ジャパンクリエイト SRWC-12801-8P-2C49 M07-09 スクラブ洗浄装置 SCREEN AQUASPIN SS-300050 M07-12 スクラブ洗浄装置 SCREEN AQUASPIN SS-3000

CMP装置51 N07-101 STI、W CMP装置 東京精密 ChaMP-332M A-FP-3000M52 B07-101 Cu CMP装置 東京精密 ChaMP-332M A-FP-3000M53 P07-101 CMP装置 荏原製作所 F-REX300E

3D実装装置

(中間工程)

54 B02-101D Si深掘りエッチング装置 Applied Materials Centura Silvia55 U03-101A プラズマCVD装置 Applied Materials PRODUCER GT InViaII56 U06-101 Cuめっき装置 東京エレクトロン NEXX Cu ECD57 U07-101 Oxide CMP装置 Applied Materials Reflection LK Oxide58 U12-102 ウェハ接合装置 タツモ WS300059 U07-102 ウェハエッジトリミング装置 ディスコ DFD686060 U07-103 Si裏面研削研磨装置 ディスコ DGP8761HC

その他61 AF03-16 真空蒸着装置 エイコーエンジニアリング VX-30-S62 E12-101 べベル研磨装置 Mipox SFF-20063 M04-08 評価用小片アニール装置 ULVAC VHC-P616CP-S

Page 33: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

33

装置リスト分析装置・EUV関連装置

分類 No. 装置番号 装置名称 メーカー名 型番重ね測定 64 M08-55 重ね合わせ精度測定装置 KLA-Tencor Archer10-AIM

薄膜解析

65 M08-07 分光エリプソ膜厚測定装置 KLA-Tencor ASET-F566 M08-101 分光エリプソ膜厚測定装置 KLA-Tencor ASET-F5X67 P08-108 分光エリプソ膜厚測定装置 日本セミラボ μSE-2500-A68 AF08-12 単色エリプソ膜厚測定装置 ファイブラボ MARY-102SM69 P08-104 反射分光膜厚測定装置 Filmetrics F50-EXR70 B08-04 蛍光X線膜厚測定装置 Philips PW282071 M12-08 蛍光X線膜厚測定装置 リガク System 3272E72 F08-04 X線回折装置 リガク TTR In-plane XRD

反り・応力測定73 B12-101 反り/膜応力自動測定装置 FSM 128LC2C74 M08-12 反り/膜応力測定装置 FSM 128L

パーティクル検査75 AF08-01 パーティクル検査装置 日立ハイテクノロジーズ LS660076 P08-105 パーティクル検査装置 TOPCON WM-1077 P08-106 パーティクル検査装置 TOPCON WM-10

金属汚染検査78

P08-107 全反射蛍光X線分析装置 リガク TXRF 310FabP08-109 自動濃縮装置 イアス Expert

79 AF08-18 全反射蛍光X線分析装置 リガク TXRF 300

抵抗測定

80 M08-10 シート抵抗測定装置 KLA-Tencor RS-10081 M08-25 シート抵抗測定装置 日立国際電気 VR-120/0882 M08-32 シート抵抗測定装置 日立国際電気 VR-120/08S83 P08-102 シート抵抗測定装置 日立国際電気 VR300DSE

SEM観察

84 I08-110 測長SEM装置 日立ハイテクノロジーズ CG500085 P08-101 測長SEM装置 日立ハイテクノロジーズ S-9380II86 P08-103 測長SEM装置 日立ハイテクノロジーズ S-9380II87 M08-41 レビュー SEM Applied Materials SEMVision G2

光学顕微鏡

88 M08-40 光学顕微鏡 オリンパス AL3110F89 M08-50 光学顕微鏡 オリンパス AL3110F90 M12-101 光学顕微鏡 オリンパス AL11091 M12-102 光学顕微鏡 オリンパス AL120

高分解観察 92 J04-106 ヘリウムイオン顕微鏡 Carl Zeiss ORION Plus

FIB加工STEM

93 B08-02-01 FIB装置 日立ハイテクノロジーズ FB210094 AF08-402 走査透過電子顕微鏡 日立ハイテクノロジーズ HD-270095 B08-02 走査透過電子顕微鏡 日立ハイテクノロジーズ HD-2000

断面SEM96 J03-117 走査電子顕微鏡 日立ハイテクノロジーズ S-470097 M08-04 走査電子顕微鏡 日立ハイテクノロジーズ S-500098 B08-12 走査電子顕微鏡 日立ハイテクノロジーズ S-5200

分析

99 AF08-14 X線光電子分光分析装置 アルバック・ファイ ESCA-1800100 B10-06 IR-OBIRCH解析装置 浜松ホトニクス μAMOS101 J03-116 昇温脱離ガス分析装置 電子科学 WA1000S/W102 J03-114 赤外分光分析装置 Digilab Excalibur FTS-3000

電気特性評価

103 B10-03B10-01

フルオートプローバテスター

東京エレクトロンAgilent

P-12XL4073A

104 J03-118 フルオートプローバテスター

東京エレクトロンAgilent

P-12XL4073B/N9201A

105 M08-42M10-01

フルオートプローバテスター

東京エレクトロンAgilent

P-12XL4073A

106 M10-05 フルオートプローバテスター

東京エレクトロンAgilent

P-12XL4076

107 F10-01 セミオートプローバテスター

CascadeAgilent

S300-8614156C/4284A/4294A

108 M10-04M08-47

セミオートプローバテスター

CascadeAgilent

S300-5614156C/4284A/4294A

109 B10-04B10-05

マニュアルプローバテスター

MicromanipulatorAgilent

Model 9920A4156B/4284A

110 J03-115 水銀プローバ Solid State Measurements SSM 5130111 B08-06 水銀プローバ Four Dimensions CVmap 3092A

シミュレータ 112 SIM-01 露光シミュレータ KLA-Tencor PROLITH

EUV露光装置113

L01-101 高NA微小領域EUV露光装置 Canon HSFETM01-04 EUVレジスト塗布現像装置 東京エレクトロン CLEAN TRACK ACT 12L09-103 EUV光源装置 ウシオ電機 UXE-500-IFI03-101 EUVマニュアル有機現像装置 リソテックジャパン Litho Spin Cup 300DI08-101 分光エリプソ膜厚測定装置 J. A. Woollam M-2000X

114 AH08-107 EUVフレーム露光装置 リソテックジャパン EUVES-7000GCSEM観察 115 L08-103 測長SEM装置 日立ハイテクノロジーズ CG4000

Page 34: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

34

BIO

先端バイオ計測施設

 バイオ分野では、いかに最先端の技術を取り入れて新たな生命のメカニズムを理解し、それを医薬品開発等に結びつけるかが、新たな製品開発において大変重要となります。先端バイオ計測施設では、先端バイオ分析機器等を使用して各種生体試料を解析するための支援を実施いたします。特に、糖鎖、植物転写因子を解析するための先端機器を、産学官の研究者及び技術者に提供いたします。また各種マイクロアレイの作製支援を行います。

 BIOは、大学、研究開発法人、企業への公開と、最先端技術の活用推進をモットーとしています。糖鎖プロファイル解析システムでは、レクチンアレイを用いた各種生体試料の糖鎖プロファイリング、及び糖鎖アレイを用いた糖結合特異性の解析を支援します。また、ご要望に応じて、抗体アレイ等の各種プロテインアレイ作製支援を行います。 植物転写因子相互作用解析システムでは約1900個のシロイヌナズナ転写因子または約1100個のイネ転写因子を対象に、特定のDNAまたはタンパク質と相互作用する転写因子を酵母を使った実験(酵母ワン/ツーハイブリッドスクリーニング)により迅速に同定します。転写因子ライブラリーは96穴プレート4枚に相同性が高いもの同士を1穴あたり数個ずつ混ぜ合わせて実験に供します。これによりすべての転写因子について結合するかどうかの白黒をはっきりつけることが可能です。 工夫次第では様々な用途への応用も可能かもしれませんので、お気軽にBIO事務局にご相談下さい。機器を見学いただくことも可能です。

● 低各種生体試料中の糖鎖をプロファイリングするシステム(レクチンアレイ)● 糖鎖結合特異性を解析するシステム(糖鎖アレイ)● プロテインアレイ等、各種マイクロアレイ作製支援● 植物転写因子を見つけ出すシステム(植物転写因子相互作用解析システム)

先端バイオ計測施設(BIO)

概 要

特 徴

代表的な装置写真と利用イメージ

マイクロアレイ作製装置 各種マイクロアレイ(レクチンアレイ、糖鎖アレイなど)

エバネッセメント波励起蛍光型スキャナー

Page 35: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

35

 公開機器や機器利用申請の詳細は、TIAホームページをご覧ください。ご要望等はお気軽にBIO事務局までご相談ください。ご利用を検討されている方は、下記のイノベーション創出機器共用プラットフォームのホームページにアクセスいただき、会員登録とユーザー登録の後、事前相談申請をお願い致します。

■お問い合わせ先産業技術総合研究所 先端バイオ計測施設(BIO事務局)[email protected]

■お申込み先産業技術総合研究所 イノベーション創出機器共用プラットフォーム(IBEC)[email protected]

お問い合わせ先など

1 2糖鎖プロファイル解析システム【BIO001】

植物転写因子相互作用解析システム【BIO002】

▼仕様・特徴●メーカー:株式会社レクザム●型式:Bio-REX Scan200●測定原理:エバネッセント波励起蛍光型●提供可能なマイクロアレイ:レクチンアレイ、糖鎖アレイ●用途:糖鎖プロファイリング、糖鎖結合特異性解析●その他:各種マイクロアレイの作製、解析支援●解析実績<レクチンアレイ>糖鎖、糖タンパク質、多糖、細胞、組織、細菌、真菌、生細胞<糖鎖アレイ>精製タンパク質、疎精製物、組織抽出液、培養液など

▼仕様・特徴●メーカー:テカンジャパン株式会社●型式:Freedom Evo 100●スポッティング側取り扱い可能枚数 (スポッティング可能な96穴プレートの数):16枚●ライブラリー側取り扱い可能枚数:4枚●標準処理時間: 約2時間半。

BIO 装置群 AIST BIO Facility

エバネッセメント波励起蛍光型スキャナー

各種マイクロアレイ(レクチンアレイ、糖鎖アレイなど)

←Freedom Evo100全景

↓動作風景(96穴ピペット、フィンガーアーム、遠心機が自動で制御できる)

Page 36: 国立研究開発法人 産業技術総合研究所 共用研究開発施設の ...02 Open Research Platform 共用施設等利用制度 制度概要 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

つくばエクスプレス 秋葉原より快速で45分

MEMS 研究開発拠点先端ナノ計測施設超電導アナログデジタルデバイス開発施設ナノプロセシング施設

1. 先端ナノ計測施設(AIST Nanocharacterization Facility:ANCF)  E-mail:[email protected]

2. 超電導アナログ・デジタルデバイス開発施設(Clean Room for Analog ‒ Digital superconductiVITY:CRAVITY)  E-mail:[email protected]

3. MEMS 研究開発拠点(MEMS Foundry:MEMS)  E-mail:[email protected]

4. ナノプロセシング施設(Nano-Processing Facility:NPF)  E-mail:[email protected]

5. スーパークリーンルーム(Super Clean Room:SCR)  E-mail:[email protected]

6. 先端バイオ計測施設(Advanced Bio-measurement Facility : BIO)  E-mail:[email protected]

TIA推進センター 共用施設運営ユニット 共用施設ステーション〒305-8568 茨城県つくば市梅園 1-1-1    TEL: 029-861-3210  E-mail: [email protected]

茨城空港

つくば

並木一丁目

並木二丁目

産総研つくば中央

気象研究所

産総研つくば西

並木大橋

荒川沖上野秋葉原

秋葉原

東京

羽田空港120分

羽田~つくばセンター 高速バス

60分

茨城~つくばセンター高速バス

45分つくばエクスプレス

120分成田~つくばセンター 高速バス

関東鉄道バス

65分

3分

神田 御徒町

環境研究所

洞峰公園

物質研究所

牛久

3分 3分

20分15分

20分

20分

徒歩5分

徒歩1分

徒歩3分

徒歩3分

徒歩5分

常磐高速バス

山手線60分常磐線

成田空港

ひたち野うしく

産総研つくば東

産総研つくば東

スーパークリーンルーム

アクセス

つくば駅下車、産総研連絡便、または、関鉄バス④番のりばより、荒川沖駅行、学園南循環、ひたち野うしく駅行、などURL: http://www.aist.go.jp/aist_j/guidemap/tsukuba/tsukuba_map_main.html

各施設問い合わせ先

国立研究開発法人 産業技術総合研究所

354 354

408

408

6

6

至上野

至阿見

土浦駅

荒川沖駅

ひたち野うしく駅

万博記念公園駅

研究学園駅

つくば駅

至東京

至水戸

至水戸

谷田部 IC

つくば中央 IC

つくばエクスプレス

つくば西

つくば苅間サイト

つくば東つくば中央

つくば牛久 IC

桜土浦 IC

つくばセンター(バスターミナル)

大角豆稲荷前

土浦学園線

筑波大学

筑波大学附属病院

土浦北 IC

つくば JCT

サイエンス大通り

東大通り

西大通り

常磐自動車道

JR 常磐線

産業技術総合研究所

354

408

つくば西 つくば東つくば中央

桜土浦 IC大角豆稲荷前

常磐自動車道

産業技術総合研究所

2020年1月版第1刷

圏央道

平塚線

ご利用の案内

https://unit.aist.go.jp/tia-co/orp/index.html

国立研究開発法人 産業技術総合研究所 共用研究開発施設のご紹介 産総研があなたの研究開発をサポートします 先端ナノ計測施設超電導アナログ・デジタルデバイス開発施設MEMS研究開発拠点ナノプロセシング施設スーパークリーンルーム先端バイオ計測施設