Ber Performance Simulation of Rake Receiver for Wcdma System

77
BER PERFORMANCE SIMULATION OF RAKE RECEIVER FOR WCDMA SYSTEM LEE LI YING UNIVERSITI TEKNOLOGI MALAYSIA

Transcript of Ber Performance Simulation of Rake Receiver for Wcdma System

Page 1: Ber Performance Simulation of Rake Receiver for Wcdma System

BER PERFORMANCE SIMULATION OF RAKE

RECEIVER FOR WCDMA SYSTEM

LEE LI YING

UNIVERSITI TEKNOLOGI MALAYSIA

id5410315 pdfMachine by Broadgun Software - a great PDF writer! - a great PDF creator! - http://www.pdfmachine.com http://www.broadgun.com

Page 2: Ber Performance Simulation of Rake Receiver for Wcdma System

2

³,�KHUHE\�GHFODUH�WKDW�,�KDYH�UHDG�WKLV�WKHVLV�DQG�LQ

my opinion this thesis is sufficient in terms of scope and

quality for the award of the degree of

Master of Electrical-(OHFWURQLF��7HOHFRPPXQLFDWLRQ�(QJLQHHULQJ´

Signature : ____________________

Name of Supervisor : Prof. Dr. Tharek Abdul Rahman

Date : 11 May 2007

Page 3: Ber Performance Simulation of Rake Receiver for Wcdma System

3

BER PERFORMANCE SIMULATION OF

RAKE RECEIVER FOR WCDMA SYSTEM

LEE LI YING

A project report is submitted in partial fulfillment of

the requirements for the award of the degree of

Master of Electrical-Electronic & Telecommunication Engineering

Faculty of Electrical Engineering

Universiti Teknologi Malaysia

11 MAY, 2007

Page 4: Ber Performance Simulation of Rake Receiver for Wcdma System

4

DECLARATION

,�GHFODUH�WKDW�WKLV�WKHVLV�HQWLWOHG�³�BER Performance Simulation of Rake Receiver for

WCDMA System³�LV�WKH�UHVXOW�RI�P\�RZQ�UHVHDUFK�H[FHSW�DV�FLWHG�LQ�WKH�UHIHUHQFHV��

The thesis has not been accepted for any degree and is not concurrently submitted in

candidature of any other degree.

Signature : _____________

Name of Author : Lee Li Ying

Date : 11 May 2007

Page 5: Ber Performance Simulation of Rake Receiver for Wcdma System

5

Specially dedicated to my dearest and beloved

father, mother and siblings

Page 6: Ber Performance Simulation of Rake Receiver for Wcdma System

6

ACKNOWLEDGEMENTS

First of all, the author would like to express her gratitude to her supervisor,

Professor Dr. Tharek Abdul Rahman who has supervised the overall project, gave

support and sharing some of his thorough knowledge in communication systems, and

for his guidance and valuable experience in system simulations. Without his

invaluable support, insightful suggestions, and continual encouragement to now the

author would have never written this project report.

Besides that, the author would like to deeply thank her course mates, for their

suggestions, comments, and great support during the project.

Finally, the author sincerely thanks her parents and family for their

encouragement, guidance and inspiration throughout her journey of education.

Page 7: Ber Performance Simulation of Rake Receiver for Wcdma System

7

ABSTRACT

The goal for the next generation of mobile communications system is to

seamlessly integrate a wide variety of communication services such as high speed

data, video and multimedia traffic as well as voice signals. The technology needed to

tackle the challenges to make these services available is popularly known as the

Third Generation (3G) Cellular Systems. The received signal at the WCDMA

Receiver Antenna subsystem is the sum of attenuated and delayed versions of the

transmitted signals due to the so-called multi path propagation introduced by the

channel. At the receiver side, a RAKE receiver is implemented to resolve and

compensate for such effect. This project is mainly focus on the WCDMA concept

and Rake receiver. In this project, bit error rate simulation was carried out to study

different modulation techniques and the contribution of rake receiver in WCDMA

system for the overall system performance. Next, evaluation on the bit error rate for

rake receiver at different conditions such as varying number of rake fingers,

spreading factor, type of channel (Additive White Gaussian Noise (AWGN) and

Rayleigh Fading Channel) are carried out. Important parameters are selected and

their influences on rake receiver performance are investigated by means of

simulations. Performance improvement due to rake receiver important parameters are

studied. This project was implemented by using Matlab Simulink 7.0. Bit-error-rate

(BER) performance tradeoffs between spreading factor, type of channel, and the

number of Rake fingers that can be exploited for other application other than mobile

phones or wireless LAN are presented at the end of the project. The simulator

developed is an invaluable tool for investigating the design and implementation of

rake receiver in WCDMA systems and other possible applications.

Page 8: Ber Performance Simulation of Rake Receiver for Wcdma System

8

ABSTRAK

Matlamat generasi seterusnya untuk sistem telekommunikasi bergerak ialah

berupaya menginterasikan pelbagai komunikasi servis seperti data berkelajuan tinggi,

video dan traffik multimedia dan isyarat suara. Teknologi yang diperlukan untuk

mengatasi cabaran memastikan kemudahan ini disediakan dikenali sebagai Sistem

Selular Generasi Ketiga. Isyarat yang diterima di sub sistem WCDMA UE Rx

Antena ialah jumlah attenuated and versi tertangguh bagi isyarat yang dihantar

disebabkan oleh propagation pelbagai arah oleh saluran penghantar. Di bahagian

penerima, Rake Receiver dibina untuk menyelesai dan mengatasi kesan sebegitu. Ini

sesuai untuk sistem WCDMA kerana resolusi tinggi mampu mengesan pelbagai arah.

Peratus kesalahan bit simulasi dijalankan untuk mengenalpasti sumbangan rake

receiver dalam sistem WCDMA terhadap.pencapaian keseluruhan sistem.

Seterusnya, peratus kesalahan bit untuk rake receiver dalam keadaan pelbagai jejari

rake, factor selerak, dan jenis saluran (Additive White Gaussian Noise (AWGN) dan

Rayleigh Fading Channel) dikaji. Kemajuan disebabkan rake receiver parameter

penting dikaji. Projek ini dilaksanakan dengan menggunakan Matlab Simulink 7.0

Peratus kesalahan bit antara factor selerak, jenis saluran dan bilangan jejari Rake

boleh dieksploitasikan untuk applikasi lain selain telefon bergerak atau LAN tanpa

wayar dan dilaporkan pada penghujung projek. Simulator yang dibina menjadi alat

untuk menyiasat rekaan dan implementasi bagi Rake receiver dalam sistem

WCMDA dan aplikasi lain jika bersesuaian.

Page 9: Ber Performance Simulation of Rake Receiver for Wcdma System

9

CONTENTS

CHAPTER TITLE PAGE

TITLE i

DECLARATION ii

DEDICATION iii

ACKNOWLEDGEMENT iv

ABSTRACT v

ABSTRAK vi

CONTENTS vii

LIST OF TABLES xi

LIST OF FIGURES xii

CHAPTER I INTRODUCTION

1.1 Introduction 1

1.2 Objectives 2

1.3 Scopes of The Project 3

1.4 Problems Statement 4

1.5 Application of The Project 5

1.6 Overview of The Project 5

Page 10: Ber Performance Simulation of Rake Receiver for Wcdma System

10

CHAPTER II BASIC CONCEPTS & THEORIES

2.0 Introduction 7

2.1 WCDMA Concept 8

2.1.1 3G System 12

2.1.2 W-CDMA vs. TD-CDMA 13

2.2 Overview of Transmitter Structure 14

2.2.1 Channel Coding 14

2.2.2 Channels and Frame Structure 15

2.2.3 Spreading and Modulation 16

2.2.3.1 Channelization 17

2.2.3.2 Scrambling 17

2.2.3.3 QPSK Modulation 18

2.2.4 Multi path Channel Model 20

2.2.4.1 Channels 21

2.2.4.2 AWGN Channel 22

2.2.3.1 Fading Channel 22

2.2.5 Rake Receiver in WCDMA System 23

2.2.5.1 Maximal-Ratio Combining (MRC) 26

2.2.5.2 RAKE Receiver Block

Diagram 27

2.2.5.3 Channel Estimation 28

2.2.5.4 RAKE Receiver Reference

Design 29

2.2.5.5 Rake Receiver Parameter 31

2.2.6 Transport and physical channels 32

2.2.7 Variable-length spreading 33

2.2.8 Power control 33

2.2.9 Multi-user detection 34

Page 11: Ber Performance Simulation of Rake Receiver for Wcdma System

11

CHAPTER III PROJECT DEVELOPMENT

3.0 Introduction 36

3.1 Development Tool 36

3.2 Simulation Set up 38

3.2.1 For BPSK and QPSK modulation 39

3.2.2 Setup Model For WCDMA system 41

3.2.3 Description of parameters 42

3.2.4 Setup Model For Rake Receiver 43

CHAPTER IV SIMULATION RESULTS

4.1 Introduction 49

4.2 Testing Setup 49

4.3 BER Result For BPSK and QPSK Modulation 50

4.4 BER Result For Different Channel Type 51

4.5 BER Result With and Without Rake Receiver 52

4.6 BER Result For Varying Spreading Factor 53

4.7 BER Result For Varying Number of Fingers 55

4.8 Graphical User Interface (GUI) Display 57

CHAPTER V CONCLUSION

5.1 Conclusion 58

5.2 Problems Encountered And Solutions 59

5.2.1 Broken characters and Selection Of 59

Page 12: Ber Performance Simulation of Rake Receiver for Wcdma System

12

5.2.2 Find the critical parameters 59

5.2.3 High data rate simulation 59

5.3 Future Outlook 60

REFERENCE 62

Page 13: Ber Performance Simulation of Rake Receiver for Wcdma System

13

LIST OF TABLES

TABLE NUMBER TITLE PAGE

2.1 Standardized Parameters of WCDMA 10

2.2 IMT 2000 Spec 11

2.3 Summary of the different coding schemes 14

2.4 Mapping on Phase Angle 19

3.1 Simulation parameters and descriptions 42

3.2 Simulation testing parameters 48

4.1 Rake Receiver Optimum Parameter 56

Page 14: Ber Performance Simulation of Rake Receiver for Wcdma System

14

LIST OF FIGURES

FIGURE TITLE PAGE

2.1 Different Multiple Access Scheme, 13

2.2 Overview of spreading and modulation in the down link 16

2.3 Constellation diagram of QPSK 19

2.4 Propagation mechanisms 20

2.5 Basic principle of a RAKE receiver 25

2.6 Maximal Ratio Combining in RAKE 26

2.7 RAKE Receiver block diagram 27

3.1 Simulink 7.0 Library Browser Environment 37

3.2 WCDMA UE Receiver Library 38

3.3 Simulation block with BPSK modulation 39

3.4 Simulation block with QPSK modulation 40

3.5 Complete model of WCDMA model 41

3.6 WCDMA Physical Layer Block Model 41

3.7 WCDMA User Equipment Receiver Antenna with Rake Receiver 43

3.8 Simulink Rake Receiver Block Diagram 44

3.9 Simulink Rake Receiver Model 45

3.10 Model of Multiple Access Interference scenario 46

3.11 BER plot 47

Page 15: Ber Performance Simulation of Rake Receiver for Wcdma System

15

4.1 WCDMA system Under BPSK and QPSK modulation 50

4.2 BER versus Eb/N0 plot for three physical channel configurations 51

4.3 Present and Absence of Rake Receiver in WCDMA system 52

4.4 Bit Error rate when varying spreading factors 53

4.5 BER versus Eb/No under different number of fingers 55

4.6 GUI for Rake Receiver Simulator 57

Page 16: Ber Performance Simulation of Rake Receiver for Wcdma System

16

CHAPTER I

INTRODUCTION

1.1 Introduction

A rake receiver, which resolves multipath signals corrupted by a fading

channel, is the most complex and power consuming block of a modem chip.

Investigation of a design of a rake receiver for the WCDMA (Wideband Code

Division Multiple Access) system, which is a third generation wireless

communication system was done. The rake receiver design is targeted for mobile

units, in which lower bit error rate is highly important.

As the first step in the design of a rake receiver, generated a software

prototype in MATLAB. The prototype included a transmitter and a multi path

Rayleigh fading channel, as well as a rake receiver with up to four fingers. Using the

software prototype, verified the functionality of all blocks of the rake receiver,

estimated the performance in terms of bit error rate, and investigated trade-offs

between important parameter deciding the rake receiver performance.

Page 17: Ber Performance Simulation of Rake Receiver for Wcdma System

17

As the final step, the simulation result can be shown through GUI interface.

Estimation of the performance of the rake receiver in WCDM system in term

spreading factors, numbers of enable fingers, and different channel condition. The

simulation result for rake receiver is quite satisfactory. Through the simulation, able

to evaluate the performace of the rake receiver in term of bit error rate.

1.2 Objectives

This project main target to study WCDMA concept and performance study of

rake receiver in WCDMA system in terms of bit error rate through simulation by

using MATLAB Simulink version 7.0 and to prove that rake receiver is an important

parameter for WCDMA performance.

The aim of the project is to study the influence of the number of Rake fingers,

type of channel, and spreading factors on the rake receiver bit-error-rate

performance.

From simulation result, selected parameter is targeted for new application

besides mobile phone and wireless LAN.

Page 18: Ber Performance Simulation of Rake Receiver for Wcdma System

18

1.3 Scopes Of The Project

To ensure that the project can be implemented successfully, the following

scopes are listed. The final result of this project is fully based on the listed scope.

The first scope of this project is performance study of WCDMA concept from

the transmitter front to the receiver end, how signal is propagated in a wireless

channel and how it may affect the WCDMA system.

Then, to estimate the performance of rake receiver in terms of bit error rate in

WCDMA network through simulation program. Consider rake receiver important

design parameters such as number of users, number of interferers, spreading factor,

and number of fingers.

Besides that, the scope of this project is limited to develop a simulator that

can simulate multipath Additive White Gaussian Noise (AWGN) channels, as well as

multipath Rayleigh fading channels.

Page 19: Ber Performance Simulation of Rake Receiver for Wcdma System

19

1.4 Problems Statement

There are few important design parameters that can decide the performance

of the rake receiver in WCDMA system. In this project, main concentration on

number of fingers, number of spreading factors and channel type.

In order to simulate the rake receiver performance under different design

parameter, few assumptions had been made such as:

1. All users randomly access the channel

2. User transmit at equal power / perfect power control is achieved.

3. Received signals at the base station same power level

4. The receiver has perfect channel estimation and perfect carrier and timing

synchronization.

To carried out this project, the knowledge requirements are basic knowledge of

mobile communications and WCDMA system and usage of Matlab Simulink

software. In this project, a comparison between channel model and WCDMA rake

receiver important parameters which determine the system performance is presented

and considered.

Page 20: Ber Performance Simulation of Rake Receiver for Wcdma System

20

1.5 Applications Of The Project

The main application area for this project would be cellular phone system. By

carrying out this project, it is intended that to find the best parameter controlling the

rake receiver in order to get the best performance through simulation method.

1.6 Overview Of The Project

This thesis has been written in five main chapters. The five chapters in this

thesis cover what is typically considered to be the core material for study the

performance of rake receiver from simulation.

Chapter one is an introductory chapter of the whole project. The topics

covered in this chapter include the objectives of the project and lists of project

scopes. In addition, the first chapter also includes the project problems statement.

The possible applications of the project are covered in this chapter as well.

Chapter two of this thesis consists of detailed discussion on background

studies, literature review and the basic concept of the project. Moreover, this chapter

discusses few basic concepts regarding WCDMA system and provides more in depth

coverage of rake receiver performance parameter. All the mathematical expressions

are presented in this chapter along with thorough explanations.

Chapter three focuses on the software design and implementation of the

simulation model and the important parameter were identified. System overview and

Page 21: Ber Performance Simulation of Rake Receiver for Wcdma System

21

project setup, which includes the development tools used are covered at the

beginning of the chapter.

Chapter four is dedicated to simulation results. This includes the setup for the

undertaken experiments. The results of the simulation and findings are tabulated and

shown in this chapter. Selected tests and their results are also presented.

Finally, the final chapter summarizes the material presented in this thesis and

draws the significant findings together in a series of conclusions. Besides that, this

chapter also gives a full discussion on the problems encountered and solutions taken.

The chapter also concludes with realistic extensions to the project where more

challenging problems that require some creativity in their solution for future

development. Hence, solutions are suggested in the end of the chapter.

Page 22: Ber Performance Simulation of Rake Receiver for Wcdma System

22

CHAPTER II

BASIC CONCEPTS AND THEORIES

2.0 Introduction

The demand for wireless services is growing at a tremendous pace. This

demand means that, in addition to the increasing number of users wanting ubiquitous

wireless access, extended capabilities will also have to be provided. These

capabilities include Internet access, video conferencing, and multimedia applications.

However, the current second generation (2G) systems have some major

shortcomings. These 2G systems will not provide the data rates necessary for new

multimedia services. As a result, third generation (3G) systems are being

standardized under the umbrella of the International Telecommunication Union

(ITU). The three main contributors to the IMT-2000 project are the ETSI with

UMTS Terrestrial Radio Access (UTRA), the Association of Radio Industries and

Businesses (ARIB) in Japan with W-CDMA, and the Telecommunication Industry

Association (TIA) in the US with Cdma2000. These 3G systems must provide the

necessary quality for multimedia communications. Hence, the ITU requirements

have been defined as follows: 384 kbps for full-area coverage (144 kbps for fast-

moving vehicles between 120 km/h and 500 km/h), and 2 Mbps for local coverage.

An efficient switching technique for Internet access must be packet-oriented.

Page 23: Ber Performance Simulation of Rake Receiver for Wcdma System

23

WCDMA is used for the frequency division duplex (FDD) mode, while TD-

CDMA is used for the time division duplex (TDD) mode of UMTS. This article deals

with the W-CDMA proposals from ARIB and ETSI, which are very similar. It does

not address the TD-CDMA component of the ETSI and ARIB proposals or the TIA

proposals, although some elements, like the propagation channels, are definitely

applicable to all systems. Moreover, most general issues in the receiver apply to the

TIA W-CDMA proposal as well.

2.1 Wideband Code-Division Multiple-Access (WCDMA) Concept

Wideband Code-Division Multiple-Access (WCDMA) is one of the main

technologies for the implementation of third-generation (3G) cellular systems. It is

base on radio access technique proposed by ETSI Alpha group and the specifications

was finalized 1999.

The implementation of WCDMA will be a technical challenge because of it's

complexity and versatility. The complexity of WCDMA systems can be viewed from

different angles: the complexity of each single algorithm, the complexity of the

overall system and the computational complexity of a receiver. WCDMA link-level

simulations are over 10 times more compute-intensive than current second-

generation simulations. In WCDMA interface different users can simultaneously

transmit at different data rates and data rates can even vary in time. UMTS networks

need to support all current second generations services and numerous new

applications and services. The WCDMA has the flexibility of the physical layer for

accommodating different service types simultaneously especially with respect to low

and medium bit rates.

Page 24: Ber Performance Simulation of Rake Receiver for Wcdma System

24

FDD Technical summary

Frequency band:1920 MHz -1980 MHz and 2110 MHz - 2170 MHz (Frequency

Division Duplex) UL and DL

Minimum frequency band required: ~ 2x5MHz

Carrier Spacing: 4.4MHz - 5.2 MHz

Maximum number of (voice) channels on 2x5MHz: ~196 (spreading factor 256

UL, AMR 7.95kbps) / ~98 (spreading factor 128 UL, AMR 12.2kbps)

Voice coding: AMR codecs (4.75 kHz - 12.2 kHz, GSM EFR=12.2 kHz) and SID

(1.8 kHz)

Channel coding: Convolutional coding, Turbo code for high rate data

Duplexer needed (190MHz separation), Asymmetric connection supported

Tx/Rx isolation: MS: 55dB, BS: 80dB

Receiver: Rake

Receiver sensitivity: Node B: -121dBm, Mobile -117dBm at BER of 10-3

Data type: Packet and circuit switch

Modulation: QPSK

Pulse shaping: Root raised cosine, roll-off = 0.22

Chip rate: 3.84 Mcps

Maximum user data rate (Physical channel): ~ 2.3Mbps (spreading factor 4,

parallel codes (3 DL / 6 UL), 1/2 rate coding), but interference limited.

Channel bit rate: 5.76Mbps

Frame length: 10ms (38400 chips)

Number of chips / slot: 2560 chips

Power control period: Time slot = 1500 Hz rate

Power control step size: 0.5, 1, 1.5 and 2 dB (Variable)

Power control range: Uplink 80dB, Downlink 30dB

Mobile peak power: Power class 1: +33 dBm (+1dB/-3dB) = 2W; class 2 +27 dBm,

class 3 +24 dBm, class 4 +21 dBm

Number of unique base station identification codes: 512 / frequency

Physical layer spreading factors: 4 ... 256 UL, 4 ... 512 DL

Page 25: Ber Performance Simulation of Rake Receiver for Wcdma System

25

Table 2.1: Standardized Parameters of WCDMA

Page 26: Ber Performance Simulation of Rake Receiver for Wcdma System

26

From table 1, can be concluded that the faster chip rate of 3,84 Mchips/s

implies that WCDMA receiver can provide greater multipath resolution. 5MHz

bandwidth provides wider bandwidth implies greater frequency diversity Rake

Receiver. In WCDMA, data rates Up to 384 kbps for circuit switched data and Up to

2 Mbps for packet switched data. Spreading factor for Downlink is from 4 to 512 and

for Uplink is from 4 to 256. Coherent detection is available on both uplink and

downlink direction by using pilot bits in transmission. HSDPA 3GPP R5 using new

modulation (QPSK+16QAM) and coding schemes to give higher data rates for

packet switched data in WCDMA.

Table 2.2: IMT 2000 Spec

Page 27: Ber Performance Simulation of Rake Receiver for Wcdma System

27

2.1.1 3G system

A simple example of a 3G W-CDMA system can be seen in where data is

transmitted on the dedicated physical channel (DPCH). In each slot of 0.625 ms,

pilot, transmit power control (TPC), and transport format indicator (TFI) bits are

inserted before QPSK modulation and spreading with OVSF codes. The resulting

signal is combined with the primary CCPCH, which carries information such as

BCCH. Data on the CCPCH are also spread using OVSF codes, before being added

to DPCH data. The resulting signal is then scrambled using a long PN sequence of 10

ms (40,960 bits), which is characteristic of the cell. The synchronization channel

(SCH), which is used for fast cell search is added after scrambling. This activity has

two consequences.

First, the channel is not orthogonal to the other signals on the downlink and

LQFUHDVHV�WKH�OHYHO�RI�LQWHUIHUHQFH��6HFRQG��WKH�PRELOH�GRHVQ¶W�QHHG�WR�NQRZ�ZKLFK�

scrambling code is used in a particular cell to listen to that channel. Therefore, this

channel is used while looking for a cell (for example, when turning on the UMTS

device). The information on this channel quickly identifies which scrambling code is

used, allowing it to gain access to BCCH information (from the primary CCPCH).

The data is shaped for transmission, using a root-raised cosine with 22% excess

bandwidth.

The propagation channel is modeled as a sum of six independently (Rayleigh)

fading taps followed by white Gaussian noise. And the receiver here includes a

matched filter (UTRAF_PulseShapeRx) followed by a channel estimator, which uses

the knowledge of pilot symbols located in each slot of the DPCH. The signal is

passed to the rake receiver, along with the estimation of the taps of each selected

echo for descrambling, despreading, and pilot-aided coherent combining.

The performance of the system can be studied under various conditions (including

SNR, velocity, and channel type) in terms of BER.

Page 28: Ber Performance Simulation of Rake Receiver for Wcdma System

28

2.1.2 W-CDMA vs. TD-CDMA

W-CDMA is a promising technology for wireless transmission, because it is

IOH[LEOH��GRHVQ¶W�UHTXLUH�IUHTXHQF\�SODQQLQJ��DQG�VXSSRUWV�KLJK�GDWD�UDWHV��

A major weakness of W-CDMA, however, is its relative inefficiency in dealing with

asymmetric traffic, which is envisioned to become more important as users move

from speech (such as phone calls) to data (like Internet browsing). The same

bandwidth is indeed devoted to the uplink and the downlink. The other component of

UMTS, mixed TD-CDMA is, on the other hand, better equipped to deal with that

issue, because the ratio between uplink and downlink is not fixed in terms of

resources. TD-CDMA seems to be a good complement to W-CDMA for 3G systems.

Figure 2.1: Different Multiple Access Scheme,

from the left: TDMA,FDMA and CDMA

Different multiple access scheme as shown in Figure 2.1.

Page 29: Ber Performance Simulation of Rake Receiver for Wcdma System

29

2.2. Overview of Transmitter Structure

Brief explanation on each of the communication block of WCDMA

transmitter structure as discussed below.

2.2.1 Channel Coding

The transport channels undergo considerable processing before mapping onto

a physical channel is performed: parity bits are added to be able to perform cyclic

redundancy check (CRC), channel coding is performed in some cases, the bits are

interleaved twice, the channel is multiplexed with other transport channels.

There are two types of channel coding specified in WCDMA:

� Convolutional coding with constraint length L = 9

� Turbo coding

The use of channel coding is specified for each transport channel type, see table 2.3

Table 2.3: Summary of the different coding schemes.

Page 30: Ber Performance Simulation of Rake Receiver for Wcdma System

30

2.2.2 Channels and Frame Structure

There are numerous features in WCDMA to support different transmission

conditions. A set of channels are designed to match the demands depending on type

of information, transmission rate, destination and other factors. Generally, a channel

transferring user specific information is referred to as a dedicated channel.

Information directed to all users within a cell is sent on a common channel. The

description of the format is made on transport channel level and physical channel

level. Transport channels are defined by how and with what characteristics data are

transferred. WCDMA has one dedicated transport channel and six common transport

channels. An example of a common transport channel is the Broadcast Channel

(BCH), which is characterized by a fixed low bit rate and transmission over the entire

cell.

The transport channels are mapped on the physical channels. Physical

channels are defined by the allocation of the physical resource, i.e. carrier

frequency,code and, on the up-link, relative phase. A distinction is made between up-

linkand down-link channels. WCDMA has two dedicated physical channels and two

common physical channels in the up-link. In the down-link only one dedicated

physical channel is defined, however there are seven common physical channels.An

example is the down-link Dedicated Physical Channel (down-link DPCH) (see figure

4.4). Every slot specifically consists of a number of control bits carrying information

about the transport format, power directives and pilot bits (used forchannel

estimation), which is referred to as the Dedicated Physical Control Channel

(DPCCH). The DPCCH is multiplexed with data bits from the Dedicated Physical

Data CHannel (DPDCH) to form the DPCH.

Page 31: Ber Performance Simulation of Rake Receiver for Wcdma System

31

The structure of a physical channel is typically three-layered: there are

superframes,radio frames and time slots:

� A superframe is 720 ms long and consists of 72 radio frames

� A radio frame is 10 ms long and consists of 15 time slots

� A time slot consists of bits, arranged in fields

2.2.3 Spreading and Modulation

When mapping of the transport channels onto physical channels is performed,

the bits are spread according to the DS-CDMA. In WCDMA the spreading is

performed in two steps (see figure 2.2), channelization and scrambling, resulting in a

final chip rate of 3.84 Mcps. The spread bits are QPSK modulated and applied on a

carrier within the allocated frequency band before transmission.

Figure 2.2: Overview of spreading and modulation in the down link

Page 32: Ber Performance Simulation of Rake Receiver for Wcdma System

32

2.2.3.1 Channelization

Channelization codes are applied in order to separate different users within

the same cell from each other. The number of codes equals the length of the code.

For example, code length 256 has 256 different codes and will thus be capable of

handling 256 users. The channelization process is also the spreading process in

WCDMA.

The length of the channelization code is adjusted so that the final chip rate is

3.84 Mcps/sec. The codes are Orthogonal Walsh Codes, generated from a Walsh-

Hadamard transform. The cross-correlation is low for zero delay, but not for other

delays. This also accounts for the auto-correlation properties, which decreases the

performance of a Rake receiver in a multi-path environment.

2.2.3.2 Scrambling

The function of the scrambling codes is to separate different cells from each

other. The codes are constructed from so called Gold codes, and are applied after the

channelization code. Besides the cell-separating properties the scrambling codes also

have the effect of randomizing the multi-path interference, caused by the poor

autocorrelation and cross correlation properties of the channelization codes.

Page 33: Ber Performance Simulation of Rake Receiver for Wcdma System

33

2.2.3.3 Quadrature Phase Shift Keying (QPSK) Modulation

In carrier phase modulation the information is applied on the phase of the

carrier. The signal is generally expressed as

where gT (t) is the function that determines the spectral characteristics of the

transmitted signal. When M = 4 and gT (t) is rectangular the modulation method is

referred to as quadrature phase shift keing (QPSK). In baseband representation, the

four signal alternatives are represented as complex symbols chosen from the set.

For a PSK modulation scheme the number of bits to represent one symbol is

shown as follows:

N = log2 M (3)

N: number of bits per Symbol

M: number of levels

Quadrature Phase Shift Keying (QPSK) has M=4 phase symbols, Thus from

the above equation it can be worked, that QPSK uses two bits to represent one

symbol Table 2.4 shows how each of the possible bit pairs is mapped to a phase

angle. "I" is the "inphase" component of the waveform, and "Q" represents the

quadrature component, the constellation diagram is shown in Figure 2.3

Page 34: Ber Performance Simulation of Rake Receiver for Wcdma System

34

Table 2.4: Mapping on Phase Angle

Figure 2.3: Constellation diagram of QPSK

Page 35: Ber Performance Simulation of Rake Receiver for Wcdma System

35

2.2.4 . Multi path Channel Model

Multi path can occur in radio channel in various ways such as, reflection and

diffraction from buildings, and scattering from trees presented in Figure 2.4.

Figure 2.4: Propagation mechanisms

There are two effects resulting from multipath propagation that affect the

transmitted signal:

1. There may be paths from transmitter to receiver with a relatively large

difference in length. In that case the signal energy of, for example, a single chip of a

UMTS waveform arrives at the receiver at clearly distinguishable time instants. The

DUULYLQJ�HQHUJ\�LV�µVPHDUHG¶�LQWR�D�FHUWDLQ�PXOWLSDWK�GHOD\�SURILOH��,I�WKH�WLPH�

difference of the multi path components is at least one chip duration a CDMA

receiver can separate those multi path components and combine them coherently to

obtain multi path diversity.

Page 36: Ber Performance Simulation of Rake Receiver for Wcdma System

36

2. For a certain time delay in the multi path delay profile there are usually many

paths nearly equal in length along which the radio signal travels. For example, paths

with a length difference of half a wavelength (at 2 GHz this is approximately 7 cm)

arrive at virtually the same instant when compared to the duration of a single chip

(which is 78 m at 3.84 Mcps). As a result, signal cancellation, called fast-fading,

takes place as the receiver moves across even short distances. The statistics of a fast-

fading radio signal are usually well described by the Rayleigh distribution that model

real-world mobile communication effects

2.2.4.1 Channels

Communication channels introduce noise, fading, interference, and other distortions

into the signals that they transmit. Simulating a communication system involves

modeling a channel based on mathematical descriptions of the channel. Different

transmission media have different properties and are modeled differently. In a

simulation, the channel model usually fits directly between the transmitter and

receiver, as shown below.

Transmitter Channel Receiver

Page 37: Ber Performance Simulation of Rake Receiver for Wcdma System

37

2.2.4.2 AWGN Channel

An The Additive White Gaussian Noise (AWGN) channel adds white

Gaussian noise to the signal that passes through it. Gaussian noise is discussed on the

reference page for the Gaussian Noise Generator block. The AWGN Channel block

can process either sample-based or frame-based data, and it lets you specify the

variance of the noise in one of four ways:

�Directly as a mask parameter

�Directly as an input signal

�Indirectly via a signal-to-noise ratio parameter

�Indirectly via an Es/No parameter

2.2.4.3 Fading Channels

The Channels library includes Rayleigh and Rician fading blocks that can

simulate real-world phenomena in mobile communications. These phenomena

include multipath scattering effects in the Rayleigh case, as well as Doppler

shifts that arise from relative motion between the transmitter and receiver.

If a signal can use more than one reflected path, then a single instance of the

Multipath Rayleigh Fading Channel block can model all of them

simultaneously. The number of paths that the block uses is the length of either

the Delay vector or the Gain vector parameter, whichever length is larger. (If

both of these parameters are vectors, then they must have the same length; if

exactly one of these parameters is a scalar, then the block expands it into a

vector whose size matches that of the other vector parameter. The relative motion

EHWZHHQ�WKH�WUDQVPLWWHU�DQG�UHFHLYHU�LQIOXHQFHV�WKH�YDOXHV�RI�WKH�EORFNV¶�SDUDPHWHUV

Page 38: Ber Performance Simulation of Rake Receiver for Wcdma System

38

2.2.5 Rake Receiver in WCDMA System

Wideband-code division multiple access (W-CDMA) is a broadband

technology with a high potential for future third-generation (3G) mobile systems.

The properties of the W-CDMA 3G system are discussed here, along with

considerations for the design of the receiver.

In a TDMA system, the receiver tries to compensate for the echoes in the

propagation channel with equalization techniques when the delay spread is large

enough. The receiver effectively removes the energy contained in echoes. In a

&'0$�V\VWHP��LW¶V�SRVVLEOH�WR�XVH�the energy contained in each echo to improve the

signal-to-noise ratio (SNR) in the receiver. This improvement is due to the fact that

the spreading codes (on the downlink) yield a reasonably good orthogonality

between the signal and a delayed version of itself. On the uplink, the orthogonality is

achieved through the use of different scrambling codes for each mobile. Each mobile

can then use any OVSF code from the tree. A special receiver, called a rake receiver,

has been devised for this purpose, which combines the energy contained in each of

the echoes. In the W-CDMA system, pilot bits are transmitted on the dedicated

channels, allowing channel estimation and coherent combining (maximum-ratio

combining) of the different paths. A typical rake receiver for W-CDMA can contain

three to six rake fingers.

Due to reflections from obstacles a radio channel can consist of many copies

of originally transmitted signals having different amplitudes, phases, and delays. If

the signal components arrive more than duration of one chip apart from each other, a

RAKE receiver can be used to resolve and combine them. The RAKE receiver uses a

multi path diversity principle. It is like a rake that rakes the energy from the multi

path propagated signal components.

Page 39: Ber Performance Simulation of Rake Receiver for Wcdma System

39

The word "RAKE" is not an acronym and, in fact, is not always capitalized as

it is in this writing. RAKE derives its name from its inventors Price and Green in

1958. When a wideband signal is received over a multi path channel, the multiple

delays appear at the receiver. By attaching a "handle" to the plot of the multi path

returns, a picture of an ordinary garden rake is created. It is from this picture that the

RAKE receiver gets its name

A rake receiver is a radio receiver designed to counter the effects of multi

path fading. It does this by using several "sub-receivers" each delayed slightly in

order to tune in to the individual multi path components. Each component is decoded

independently, but at a later stage combined in order to make the most use of the

different transmission characteristics of each transmission path. This could very well

result in higher SNR (or Eb/No) in a multi path environment than in a "clean"

environment. Rake receivers are common in a wide variety of radio devices,

including mobile phones and wireless LAN equipment.

A WCDMA base station RAKE receiver contains the following functions to

enable the receiving of CDMA type of multipath signals.

1. Channel delay estimation for multipath components.

2. RAKE receiver finger allocation based on the channel delay estimation

3. RAKE receiver fingers to perform the descrambling and despreading operations

4. Adaptive channel estimation

5. Maximal-Ratio Combining (MRC)

A RAKE receiver is used for wideband code division multiple access systems

(WCDMA). In a WCDMA system all the users transmit in the same band

simultaneously. Each transmitted bit is spread by the transmitter by means of a

multiplication with a pseudo random code. The length of this code is called the

spreading factor. Larger spreading factors give a better resistance against interference

(interference of multiple users, multiple channels, multiple paths). The receiver de-

Page 40: Ber Performance Simulation of Rake Receiver for Wcdma System

40

spreads the received signal by multiplication with exactly the same PN-code. The

results of all multiplications are added. This process of multiplication

and addition is called correlation. A RAKE receiver has multiple fingers to correlate

the received signals from different paths with different delays, and combines the

results of the different paths to construct one output signal.

Figure 2.5: Basic principle of a RAKE receiver

This basic principle of a RAKE receiver is shown in Figure 2.5. Bit decisions

based only a single correlation may produce a large bit error rate as the multi path

component processed in that correlator can be corrupted by fading. In a RAKE

receiver, if the output from one correlator is corrupted by fading, the others may not

be, and the corrupted signal may be discounted through the weighting process.

Page 41: Ber Performance Simulation of Rake Receiver for Wcdma System

41

2.2.5.1 Maximal-Ratio Combining (MRC)

Maximal-Ratio Combining, first discussed by Brennan, is the optimal form of

diversity combining because it yields the maximal SNR achievable. It requires the

exact knowledge of SNRs as well as the phases of the diversity signals.

Figure 2.6: Maximal Ratio Combining in RAKE

After de-spreading the received symbol from transmitter via radio channel the

symbols from allocated fingers are maximal-ratio-combined to construct the

³FRPELQHG´�V\PERO��7KH�RXWSXW�V\PEROV�IURP�GLIIHUHQW�ILQJHUV�DUH multiplied with

complex conjugate of the channel estimate and the result of multiplication is summed

WRJHWKHU�LQWR�WKH�³FRPELQHG´�V\PERO��

Page 42: Ber Performance Simulation of Rake Receiver for Wcdma System

42

2.2.5.2 RAKE Receiver Block Diagram

The block diagram of a RAKE receiver is shown in Figure 2.5

Figure 2.7: RAKE Receiver block diagram

Impulse response measurements of the multi path channel profile are

executed through a matched filter to make a successful de-spreading. It reveals multi

path channel peaks and gives timing and RAKE finger allocations to different

receiver blocks. Later it tracks and monitors these peaks with a measurement rate

depending on speeds of mobile station and on propagation environment. The number

of available RAKE fingers depends on the channel profile and the chip rate. The

higher the chip rate, the more resolvable paths there are, but higher chip rate will

cause wider bandwidth. To catch all the energy from the channel more RAKE fingers

are needed. A very large number of fingers lead to combining losses and practical

implementation problems.

Page 43: Ber Performance Simulation of Rake Receiver for Wcdma System

43

Mobile receivers had 3 RAKE-receiver fingers and base station receivers had

4 or 5 depending on the equipment manufacturer. There are two primary methods

used to combine the RAKE-receiver finger outputs. One method weights each output

equally and is, therefore, called equal-gain combining. The second method uses the

data to estimate weights, which maximize the Signal-to-Noise Ratio (SNR) of the

combined output. This technique is known as maximal-ratio combining as shown in

Figure 3.

2.2.5.3 Channel estimation

It is crucial to have as accurate a channel estimation as possible when

optimizing the use of the rake receiver. The main issue that affects the channel

estimation is the time-varying nature of the channel. At low speed, the channel

GRHVQ¶W�FKDQJH�VLJQLILFDQWO\�IURP�VORW�WR�VORW��/RQJ-term averaging of the channel

estimation is one possible way to obtain a reliable channel profile, which can then be

used to select the best echoes.

At high speed, however, some more elaborate techniques (such as

interpolation) are required to deal with the rapidly changing channel. Doppler shifts

as high as 1,000 Hz are expected, which means that the channel varies drastically

within one slot. Other issues affecting channel estimation are multi-user interference

and noise. These two effects create a degraded SIR (which is controlled through the

power control loop), and make each channel estimate noisier.

Page 44: Ber Performance Simulation of Rake Receiver for Wcdma System

44

2.2.5.4 Rake Receiver Reference Design

A rake receiver is a fundamental building block in many Code Division

Multiple Access (CDMA) systems. Such systems include the third generation (3G)

wireless mobile telephony systems WCDMA and CDMA2000, which are are

currently experiencing strong growth. A rake receiver takes data from the digital

radio front-end after conversion down to base band sample rate, which is typically 2

times the data (chip) rate, and de-spreads the signal to symbol rate. As the signal

from the user can take multiple paths to the base station, the receiver has a number of

fingers each of which operate such that the resulting output can be combined to form

one signal at symbol rate. Thus the system takes advantage of the multiple paths to

achieve a higher performance than a single de-spreader. This reference design has

been developed to support WCDMA in FDD mode in the uplink direction for use in

base station applications.

The reference design has the following features (one instance of the rake engine):

- 61.44Mhz operating frequency

- Interpolation of 2 times over-sampled input data to 1/16 of a chip resolution

- Handles 16 control channels and 16 data fingers

- Simultaneous generation of 16 scrambling codes at chip rate

- Simultaneous generation of 16 Orthogonal Variable Spreading Factor (OVSF)

codes

- De-scrambling and despreading of input signals with 16 different delays

- Channel correction (de-rotation and scaling) of de-scrambled and de-spread signals

- Combining of multiple de-scrambled, de-spread and channel-corrected signals from

a variable number of fingers

-Independent early-late gate based symbol timing tracking based on each of 16

control channel signals

-Time slicing hardware to support 16 rake fingers per "engine"

-Time slicing exploits distributed RAM capability (16x1 bits per LUT) for the

context switching between 16 fingers.

Page 45: Ber Performance Simulation of Rake Receiver for Wcdma System

45

Rake receiver is optimum if

1) no interference (AWGN)

2) no ISI

3) for any waveform (even if not orthogonal)

The difference between an Equalizer and a Rake is in "then way you set the taps".

The mobile propagation channel is characterized by long-term (slow) fading,

fast fading, and multi-path propagation. In addition, all its characteristics are time-

variant. Channel models have been defined in W-CDMA for various environments,

including indoor, indoor-outdoor, and outdoor, with mobile velocities ranging from

stationary to 500 kmph.The wide signal bandwidth (5 MHz) provides robustness

against fading (via frequency diversity), as the channel is unlikely to fade as a whole

at any time instant. The high chip rate (4.096 MHz) provides a high temporal

definition, which enables better echo isolation.

The drawback of the larger bandwidth, however, is that the energy contained

LQ�DQ\�JLYHQ�HFKR�LV�VPDOOHU��PDNLQJ�WKH�UDNH�UHFHLYHU¶V�WDVN�PRUH�GLIILFXOW��

The outer receiver performs channel decoding. For low-quality services, Viterbi

decoder (soft-decision input) is used. For high-quality services, a more complex

decoder is required to perform turbo-decoding. A turbo decoder might consist of two

concatenated decoders, each providing soft-information and so-called intrinsic

information. Two main classes of algorithms are available for these purposes: soft-

output Viterbi algorithms (SOVA), and the maximum a posteriori (MAP) algorithm,

which is more complex but yields better performance. The choice of the algorithm is,

of course, not specified in the standard and is left to the manufacturer.

7KH�LQQHU�UHFHLYHU¶V�WDVN�LV�WR�SURYLGH�WKH�EHVW�HVWLPDWH�IRU�WKH�RXWHU�UHFHLYHU��

It has to deal with the following signal impairments:

The presence of multi-path components

The presence of multi-user interference (both inter- and intracell)

The fading of each transmission path

Page 46: Ber Performance Simulation of Rake Receiver for Wcdma System

46

The near-far effect due to the relative position of all the mobiles and the basestation

These issues can be tackled with a combination of the following techniques:

Channel estimation and tracking

1. A maximum ratio combination-based (coherent) rake receiver, to take

advantage of the multi-path characteristics

2. Multi-user detection schemes, such as interference cancellation or de-

correlating receivers

3. Fast power control based on signal-to-interference ratio (SIR) estimation

4. Antenna arrays (in the basestation) to provide another form of diversity

(space-diversity).

2.2.5.5 Rake Receiver Important Parameters

Two important parameters of the rake receiver with regard to energy

consumption and the quality of the output are the number of fingers and the

spreading factor. Another important parameter that has a considerable influence on

the quality is the number of users. These parameters are changed in the simulation to

investigate the effect on the quality.

All the possible combinations with the following parameters will be

simulated: number of users = {6,12,24,48}, spreading factor = {8,16,32,64} and

number of fingers = {1,2,3,4}. The ranges have been limited to create an

understandable plot. For each frame, the number of errors in the received frame is

counted. This is converted to a BER for each individual frame. Besides that, rake

receiver costs are linear proportional with the number of fingers and the spreading

factor. In the simulation, use the rough estimation that the costs per bit are

proportional to 2�VI��FR. Average energy of the transmitted signal is spread over a

Page 47: Ber Performance Simulation of Rake Receiver for Wcdma System

47

bandwidth, which is wider than the information bandwidth. Spread factor is defined

as:

With this kind of plots, it is easy to identify the most suitable set of

parameters. Depending on the requirements of the given application(s) the most

suitable set of parameters can be chosen.

2.2.6 Transport and physical channels

Transport channels, such as the broadcast control channel (BCCH), the

forward access channel (FACH), the paging channel (PCH), the random access

channel (RACH), and dedicated channels (DCH), are uni-directional. They are

mapped to a physical channel for transmission.

There are two types of physical channels. One type is common physical channels,

which are broadcast over the whole cell. These channels incorporate the physical

random access channel (PRACH) on the uplink, the primary and secondary common

control physical channels (CCPCHs), and the synchronization channel (SCH) on the

downlink. Another type of physical channel is the dedicated physical channel

(DPCH), which carries information to and from a particular mobile station, and may

be broadcast over part of the cell using, for example, adaptive antenna arrays.

DPCHs include the dedicated physical data channel (DPDCH) and the dedicated

physical control channel (DPCCH).

Page 48: Ber Performance Simulation of Rake Receiver for Wcdma System

48

2.2.7 Variable-length spreading

One of the key factors in dealing with variable-rate users is the ability to

spread data with different spreading factors. Orthogonal variable spreading factor

(OVSF) codes are used in W-CDMA. 4 These codes maintain mutual orthogonality

regardless of which codes are used, provided they are chosen according to certain

rules in the code generation tree. Spreading factors between 4 and 256 (and up to 512

in the ARIB proposal) are being considered. The chip rate is 4.096 Mchip/s. Since

the data rate (before spreading) is equal to the chip rate divided by the spreading

factor, spreading factors of 4 to 256 imply data rates from 1.024 Mbps down to 16

kbps. On the downlink, the data is split onto the I and Q channels, so the bit rate

transmitted for a given spreading factor is twice as high (2.048 Mbps down to 32

kbps). Higher bit rates can be achieved by using multi-code transmission, which is

the allocation of two or more codes to the same user.

2.2.8 Power control

CDMA-based systems are interference-limited and subject to the near-far

problem. This problem stems from the fact that users experience different

propagation losses to the basestation (one user may be farther away than another). If

two users were to transmit with the same power, the basestation would experience an

unacceptably high level of interference from the nearest user. Therefore, constantly

adjusting the transmission level of each user to balance the interference level across

the cell is crucial. This adjustment is carried out using a fast power control loop.

The W-CDMA standard controls the power level of each mobile and basestation with

each new slot (using the TPC bits), thereby yielding a control rate of 1.6 kHz. The

power control scheme is based on a closed loop. On the downlink, for example, the

mobile may measure the level of interference it is subjected to through the pilot bits

on the dedicated channel. The mobile then sends a command back to the basestation,

Page 49: Ber Performance Simulation of Rake Receiver for Wcdma System

49

depending on the ratio between this estimate and the target SIR, also called signal-to-

noise and interference ratio (SINR).

At high velocities, the channel significantly changes within one slot.

Consequently, a slot-EDVHG�SRZHU�FRQWURO������N+]��FDQ¶W�FRSH�ZLWK�WKH�IDVW�IDGLQJ�

any more, leading to a performance degradation after the rake receiver. Fortunately,

this loss in performance is somewhat compensated for by a higher coding gain, due

to a better efficiency of the interleaving scheme. Interleaved data experiences

radically different channels, and decoding can mitigate the effect of a local loss of

information.

2.2.9 Multi-user detection

The simplest way to despread a CDMA signal is to correlate the received signal

with the known spreading sequence. The results will be good as long as the influence

of other users can be neglected. It is customary to choose the spreading codes so that

the cross-correlation between different codes is low (like the OVSF codes). This is

not a requirement for CDMA systems, but it conveniently allows for simple

receivers.

The signal quality can be improved when the knowledge of the cross-

correlation between the different spreading codes is taken into account (instead of

assuming that the correlations are zero). This leads to a new, more complex class of

DS-CDMA receivers, called multi-user receivers. Numerous types of multi-user

receivers exist. One example is the decorrelating receiver, which, in essence,

multiplies the received despread vector with the inverse of the cross-correlation

matrix of the spreading sequences. 5 However, this approach is computationally

expensive and often only considered for the base station. The most simplistic

Page 50: Ber Performance Simulation of Rake Receiver for Wcdma System

50

approach to multi-user detection is the parallel interference cancellation (PIC)

scheme, where the contribution due to one signal is estimated and then subtracted

from the received signal in order to increase the SINR. 6 More elaborate approaches

are being considered as well.

Page 51: Ber Performance Simulation of Rake Receiver for Wcdma System

51

CHAPTER III

PROJECT DEVELOPMENT

3.0 Introduction

In this chapter, simulation model of WCDMA system and rake receiver and

the development tool utilized are explained in detail. In this project, Matlab Simulink

version 7.0 program were used to build complete model of WCDMA model from

transmitter to receiver end and to investigate WCDMA system performance with and

without rake receiver. Then, to evaluate rake receiver performance under different

type of design parameters by using Bit Error Rate through simulation.

3.1 Development Tool

Simulink is a graphical extension to MATLAB for modeling and simulation

of systems. In Simulink, systems are drawn on screen as block diagrams. Many

elements of block diagrams are available, such as transfer functions, summing

junctions, as well as virtual input and output devices such as function generators and

oscilloscopes. Simulink is integrated with MATLAB and data can be easily transfers

between the programs. Simulink is supported on Unix, Macintosh, and Windows

Page 52: Ber Performance Simulation of Rake Receiver for Wcdma System

52

environments; and is included in the student version of MATLAB for personal

computer. Besides that, Matlab Simulink 7.0 version ships with its own release of the

WCDMA library, an essential reference for this project.

Figure 3.1: Simulink 7.0 Library Browser Environment

Page 53: Ber Performance Simulation of Rake Receiver for Wcdma System

53

Figure 3.2 shows the available block in the Simulink Library to be used for Rake

receiver simulation.

Figure 3.2: WCDMA UE Receiver Library

3.2 Simulation set-up

A personal computer equipped with MATLAB Simulink��

was used as a

platform for simulation. Simulink has many inbuilt models and allows user to play

with a number of parameters conveniently. The WCDMA toolbox complies with the

3GPP standards for all physical layer functions

In this project, the existing WCDMA models have been modified to suit the

requirements. A near real time scenario with multiple users and multiple data rate has

been simulated. These channels are suited for carrying both voice and data traffic.

Below figures describes the basic functional blocks that have been used for the

simulations.

Page 54: Ber Performance Simulation of Rake Receiver for Wcdma System

54

Simulation setup steps as mentioned below:

1) Compute Bit Error Rate (BER) when Eb/No Vary from 0~12dB Using

BPSK and QPSK modulation.

2) Compute Bit Error Rate (BER) when Eb/No Vary from 0~12dB Under

AWGN and Multi path Rayleigh Fading channel.

3) Compute Bit Error Rate (BER) when Eb/No Vary from 0~12dB With

Presence and Absence of Rake Receiver at WCDMA User Equipment

Receiver Side.

4) Compute Bit Error Rate (BER) when Eb/No Vary from 0~12dB with

different spreading factors and number of fingers. ( under AWGN and

Multi path Rayleigh Fading channel and QPSK modulation)

3.2.1 Simulation setup model for BPSK and QPSK modulation

Simulation models for BPSK and QPSK are shown in Figure 3.3 and 3.4

Transmission under AWGN channel being monitored.

Figure 3.3: Simulation block with BPSK modulation

Page 55: Ber Performance Simulation of Rake Receiver for Wcdma System

55

Modulate the input signal using the binary phase shift keying method. Due to

frame based input, the input is a column vector. The width of the input frame equals

the product of the number of symbols and the sample per symbol value.

Figure 3.4: Simulation block with QPSK modulation

Modulate the input signal using the quarter nary phase shift keying method.

The input is in integers and binary mapped into symbols. Due to frame based integer

input, the input is a column vector.

Page 56: Ber Performance Simulation of Rake Receiver for Wcdma System

56

3.2.2. Simulation setup model for WCDMA system

Figure 3.5: Complete model of WCDMA model

Figure 3.6: WCDMA Physical Layer Block Model

Channel coding and Multiplexing

Encoder Interleaving and Rate matching

Spreading and

Modulation

Pilot bits TPC TFCI

Physical Channel Coding

DPCH

3GPP Channel

Rake Finger Decoder BER Block

Page 57: Ber Performance Simulation of Rake Receiver for Wcdma System

57

The AWGN Channel block adds white Gaussian noise to a real or complex

input signal. When the input signal is real, this block adds real Gaussian noise and

produces a real output signal. When the input signal is complex, this block adds

complex Gaussian noise and produces a complex output signal. This block inherits

its sample time from the input signal.

The WCDMA Channel Model subsystem simulates a wireless link channel

containing additive white Gaussian noise (AWGN) and Multi path fading channels.

3.2.3 Description of parameters

Various parameters under constant supervision during the simulations are

described as in Table 3.1.

Table 3.1: Simulation parameters and descriptions

Parameter Description

Propagation conditions environment

Different propagation conditions environments.such as multi path and AWGN channel.

Number of enable fingers Integer from 1 to 4 that sets the number of enable fingers

Eb/No (in dB) Value of the signal to noise ratio in decibels.

Speed of Terminal (in Km/h)

Value of the speed of the UE (User Equipment) in Km/h

Page 58: Ber Performance Simulation of Rake Receiver for Wcdma System

58

Eb/N

o is defined as E

b/N

o = (S/R)/[(I+N)/W]

where S is the received signal power, R is the transmission rate, I is the interference

level, N is the white noise and W is the channel bandwidth.

3.2.4. Simulation setup model for Rake Receiver

Figure 3.7 shows the simulation block of rake receiver at the WCDMA

receiver side. Simulation was done by comparing WCDMA system performance

with presence and absence of rake receiver at the receiver communication block.

Figure 3.7: WCDMA User Equipment Receiver Antenna with Rake Receiver

Page 59: Ber Performance Simulation of Rake Receiver for Wcdma System

59

Figure 3.8: Simulink Rake Receiver Block Diagram

The detailed information of each block in rake receiver Figure 3.8 is described as

follows:

Rake finger consists of:

1) Downsampler

2) Decorrelators for Data and Pilot

Receiver requires knowledge of channelization codes used by Data (Dedicated

Physical Channel) and Pilot.

3) Channel Estimation which compare receiving pilot signal with reference signal.

Low Pass filter is introduced is smooth noise estimates.

4) Data Derrotation or Phase Correction where data is phase corrected.

Page 60: Ber Performance Simulation of Rake Receiver for Wcdma System

60

Figure 3.9 shows the rake receiver block used for the simulation. Number of

fingers and spreading factor were adjusted in the Rake Receiver WCDMA PCPICH

Correlator.

Figure 3.9: Simulink Rake Receiver Model

Each fingers down samples and de-correlates pilot bits and data using the

corresponding channelization code and Gold Code Sequence. Pilot bits are then send

to the channel estimator whose output is then used to de-rotate the received data

signal. The demodulated data processed by each rake fingers finally coherently

combined before sending it to the physical channel de-mapping

Page 61: Ber Performance Simulation of Rake Receiver for Wcdma System

61

To simulate the system, need to simulate the receiver. In Figure 3.10 shows

an overview of the rake receiver block. Below are the short explanations for each

different component.

Figure 3.10: Model of Multiple Access Interference scenario.

Compare ideal RAKE receiver with changing of below variables:

1) Number of users

2) Spreading factor

3) Type of channel

4) Numbers of fingers

5) Number of Interferers

Interferer 1

Interferer 2

CSF

2

Interferer N

Desired

User

Gaussian Noise

CSF1

CSF

3

CSF

4

CSF1

Bit Error Rate

MAI Receiver

Page 62: Ber Performance Simulation of Rake Receiver for Wcdma System

62

Few assumptions were made in this project such as:

1) All users randomly access the channel

2) User transmits at equal power or perfect power control is achieved.

3) Received signals at the base station same power level

4) The receiver has perfect channel estimation/perfect carrier and timing

synchronization

In this project, only focus on spreading factor, number of fingers and type of

channels. Two important parameters of the rake receiver with regard to the quality of

the output are the number of fingers and the spreading factor. These parameters are

changed in the simulation to investigate the effect on the quality. All the possible

combinations with the following parameters will be simulated: spreading factor =

{8,16,32,64} and number of fingers = {1,2,3,4} with Eb/No varies from 0 to 15dB.

The ranges have been limited to create an understandable plot. For each frame, the

number of errors in the received frame is counted. This is converted to a BER for

each individual frame. The result is plotted in Figure 3.11.

Figure 3.11: BER plot

Page 63: Ber Performance Simulation of Rake Receiver for Wcdma System

63

With this kind of plots, it is easy to identify the most suitable set of

parameters. Depending on the requirements of the given application(s) the most

suitable set of parameters can be chosen.

For the whole system, a lot of different parameters values have to be chosen.

In most cases, the values that are suggested by the UMTS standard. can simulate a

realistic wireless environment, including multiple fingers, multiple spreading factors,

and different type of channel.

Table 3.2: Simulation testing parameters

Eb/N0 (dB) 0~12

Spreading Factor 4,8,32,64,128, 256

Samples per Chip 1

Channel AWGN, Multi path Fading

Modulation type QPSK, BPSK

Rake Finger 1,2,3,4

Number of Frame 1

Table 3.2 shows the simulation parameters for the setup model. By changing the

parameters, able to find the best combination to get higher performance of the rake

receiver in WCDMA system.

Page 64: Ber Performance Simulation of Rake Receiver for Wcdma System

64

CHAPTER IV

SIMULATION RESULTS

4.1 Introduction

The principal aim of this project was to study the bit error rate performance

simulation for rake receiver of WCDMA system. In this section simulation results for

the rake receiver in WCDMA system at different channel conditions (AWGN and

Rayleigh Fading) are presented. Simulation results include BER versus Eb/N0 and

BER versus Spreading factor, modulation techniques, and number of fingers

4.2 Testing Setup

Experiments were run over the proposed system with condition when

different spreading factor, type of channel and the number of Rake fingers were used.

Moreover, simulations were run under different modulation techniques.

Page 65: Ber Performance Simulation of Rake Receiver for Wcdma System

65

4.3 Bit Error Rate Performance for BPSK and QPSK Modulation

Figure 4.1: WCDMA system Under BPSK and QPSK modulation

The Figure 4.1 results show that using QPSK the transmission can tolerate a

Eb/No of >10-12 dB. The bit error rate BER gets rapidly worse as the Eb/No drops

below 6 dB. However, using BPSK allows the BER to be improved in a noisy

channel, at the expense of transmission data capacity. Using BPSK the WCDMA

transmission can tolerate a SNR of >6-8 dB. As expected, bit error rate for QPSK in

WCDMA transmission is much higher as compare to BPSK. However, processing

time of BPSK is much longer than when QPSK modulation is used.

Page 66: Ber Performance Simulation of Rake Receiver for Wcdma System

66

4.4 Bit Error Rate Performance For Different Channel Environment

Figure 4.2: BER versus Eb/N0 plot for three physical channel configurations

Next, to simulate multi path Additive White Gaussian Noise (AWGN)

channels, as well as multi path Rayleigh fading channels, with a variable number of

paths and a variable multi path delay and power profile. The fading rate of the multi

path Rayleigh fading channel can be controlled by specifying the velocity of the

mobile terminal.

The performance of different type of channels has been evaluated by means

of simulation. Simulation of AWGN and multi path Rayleigh fading channels

environment shows that BER obtained is more acceptable as compare to AWGN

condition (see Figure 4.2). Hence employing AWGN and multi path Rayleigh fading

Page 67: Ber Performance Simulation of Rake Receiver for Wcdma System

67

channels together is more efficient in increasing WCDMA system capacity than

using them separately with increase in bit error rate.

4.5 BER Performance With and Without Rake Receiver

Figure 4.3: Present and Absence of Rake Receiver in WCDMA system

(Spreading factor = 256, AWGN channel, QPSK modulation)

The bit error rate at downlink with presence and absence of a Rake Receiver

in WCDMA system a shown in Figure 4.3. As expected the system is interference

limited when no rake receiver present at receiver side. We observed that without any

rake receiver techniques, the BER approaches to more than 10% even though Eb/No

Page 68: Ber Performance Simulation of Rake Receiver for Wcdma System

68

varied from 0 to 15 dB. This is not an acceptable performance. However the BER

can be pushed back to an acceptable limit with rake receiver techniques.

4.6 BER Performance For Varying Spreading Factor

Figure 4.4: Bit Error rate when varying spreading factors

(The Number of Fingers of the User is 4. The Eb/No Vary from 0 to 12)

The expected outputs are shown for the case when the spreading factor

change ( spreading factor= 4,8,32,64,128,256) .The bit-error-rate performance of the

different spreading factor is evaluated for a range of signal-to-noise ratios by means

of simulations. The simulation results indicate that the spreading factor size should

Page 69: Ber Performance Simulation of Rake Receiver for Wcdma System

69

be chosen based on the required bit-error-rate. From the simulation results, can be

seen that as spreading factor size increase, the BER rate improve.. It is observed that

Eb/N

o remains constant when the spreading factor is only 4. The simulation result

shows that as spreading factor is increased, the calculated BER decreased

correspondingly, as expected. At spreading factor of 256, performance of the rake

receiver is the highest as compare to others.

Page 70: Ber Performance Simulation of Rake Receiver for Wcdma System

70

4.7 BER Performance For Varying Number of Fingers

Figure 4.5: BER versus Eb/No under different number of fingers

(Spreading factor = 256, AWGN channel, QPSK modulation)

Simulation is done to study the influence of the number of Rake fingers on

the bit-error-rate performance by varying the number of fingers enabled in the rake

receiver. Figure 4.5 shows the effect of number of fingers on the BER performance

of the rake receivers. The simulation parameters are the same as Fig. 4.4 except

fingers very from 2 to 4. As it is predicted, the performance gap between the 2

fingers and 4 fingers is high because, as Eb/No increases, the bit error rate become

smaller for 4 fingers as compare to less number of fingers. The simulation results

also show that the number of used Rake fingers can be chosen adaptively to optimize

a tradeoff between bit-error-rate in the low signal-to-noise ratio region.

Page 71: Ber Performance Simulation of Rake Receiver for Wcdma System

71

In the 0 to 12 dB Eb/No region the 3 fingers Rake receiver performs only

slightly better than the 2 fingers Rake receiver. In this Eb/No region using a Rake

receiver with a large number of fingers does pay off. In practice the number of

fingers of the Rake receiver that are used depends on the number of multi paths that

the path searcher can find. The path searcher will probably not be able to find all the

multipaths of the channel in the lower Eb/No region.

Therefore, it can be concluded that the rake receiver in WCDMA system can

performed well with the combination of the parameter as shown in Table 4.1

Table 4.1: Rake Receiver Optimum Parameter

Eb/N0 (dB) 0~12

Spreading Factor 256

Channel AWGN, Multi path Fading

Modulation type QPSK

Rake Finger 4

4.8 Graphical User Interface (GUI) Display

A Graphics User Interface (GUI) is created to aid users in developing an

easy-to-use and friendly environment. The GUI enables user to select the desire

parameter and evaluate the bit error rate computation simulation results for WCDMA

system employing rake reception in different multi path environment, type of

channel in term of bit error rate (BER) as shown in the figure below: Users are able

to enter various design parameters and select different multi path channels to observe

and compare the output results.

Page 72: Ber Performance Simulation of Rake Receiver for Wcdma System

72

Figure 4.6: GUI for Rake Receiver Simulator

Page 73: Ber Performance Simulation of Rake Receiver for Wcdma System

73

CHAPTER V

CONCLUSIONS

5.1 Conclusions

The simulation results showed that RAKE receiver is very important

techniques to improve WCDMA system performance (higher data throughput) and

increase system capacity. Besides that, from the literature study identified important

parameters which provided significant improvement in BER performance, affect the

rake receiver performance in W-CDMA system.

The simulation results showed the performance of a rake receiver with

comparison with theoretical value and selected parameter. Thus, the decision on

which parameter and application to use will mainly depend upon implementation

issues.

This project is successful based on the results obtained from the project

simualtions that had been carried out. The simulation results showed bit error rate

when number of fingers varying as well as type of channel and spreading factor size.

The rake receiver works well for the simulation model with higher spreading factor =

256, 4 enabled fingers and under multipath channel condition.

Page 74: Ber Performance Simulation of Rake Receiver for Wcdma System

74

5.2 Problems Encountered And Solutions

Through out the implementation of this project, few problems were

encountered and the best solutions were taken. Although to solve the problems was

time consuming, the performance of the system has improved.

5.2.1 Communication block parameter

Difficulties arise when need to identify each of the control parameter of each

of the communication block used for the simulation. Suitable sample period need to

be choose correctly to ensure that bit error rate plot is understandable.

5.2.2 Find the critical parameters

Tedious task to find the rake receiver critical parameters as there are many

consideration had to be taken into account such as bandwidth size, available fingers

in the Simulink model and maximum number of spreading factor suitable for the

built rake receiver model. Simulation error came out if varied the critical parameters

wrongly.

Page 75: Ber Performance Simulation of Rake Receiver for Wcdma System

75

5.2.3 High data rate simulation

The simulation works well only for low data rates. When reach higher data

rate, the bit error rate maintain constant higher.

5.3 Future Outlook

The promising results of this project led to expanding the project limits and

widening the scope of the simulation to include the following possible approaches

for future development. In this research, many important issues have not been dealt

with, or have been considered with simplified assumptions. Hence, there are some

areas in which the work of this thesis can be extended. Some topics for future

research following the direction of this thesis are issued here:

For future work, simulation can include Rake Receiver Performance under

conditions when user transmit at different power, received signals at the base station

have different power level and the receiver has perfect channel estimation or perfect

carrier and timing synchronization. It is also valuable to perform system simulations

that can investigate the system performance, also taking into account the fact that the

symbol rate is decreased. The following issues are directly related to the system

model, which can be further developed:

� Investigation of the impact of channel estimation errors.

� Modeling a multi user scenario not only as white additive noise.

Other parameters that are not considered here are also relevant. More

investigations in parameters like the speed of the terminal and higher data rate are

required to achieve a more complete model. Investigates the application of

Orthogonal Frequency Division Multiplexing (OFDM) as a modulation technique for

Page 76: Ber Performance Simulation of Rake Receiver for Wcdma System

76

higher data rates also can take into consideration to improve present result. The

analog part of the receiver should be included in the model because this part is

responsible for a considerable part of the energy consumption of a 3G phone. The

cost of the implementation of decisions should be included in the model. For

example, for a change in the spreading factor a negotiation with the base station is

required. Additional quality constraints like a minimal throughput should also be

taken into account.

Page 77: Ber Performance Simulation of Rake Receiver for Wcdma System

77

REFERENCES

1. Brian R.Hunt,Ronald L.Lipsman and Jonathan M.Rosenberg, A Guide To

Matlab, Cambridge University Press, 2002

2. M. Guenach and L. Vandendorpe, Downlink Performance Analysis of a

BPSK-Based WCDMA Using Conventional Rake Receivers With Channel

Estimation, November 2002.

3. 3G/UMTS Towards mobile broadband and personal Internet A White Paper

from UMTS Forum, October 2005

4. Tommi Heikkila, Rake Receiver, Postgraduate Course in Radio

Communications, Autumn 2004

5. B.Walke,P.Seidenberg and M.P.Althoff, UMTS, John Wiley and Sons, 2003

6. Harri Holma, Antti Toskala, WCDMA For UMTS Radio Access For Third

Generation Mobile Communication, John Wiley & Sons, Ltd, 2004

7. Jordy Potman, Fokke Hoeksema and Kees Slump, Tradeoffs between

Spreading Factor, Symbol Constellation Size and Rake Fingers in UMTS,

2004