Architecture des ordinateurs : Bibliographie · Philippe Darche : Architecture des ordinateurs -...

60
Chapitre 1 Introduction : la fonction de mémorisation [ANSI/IEEE 91] “IEEE Standard for Test Procedures for Magnetic Cores”. IEEE Std 393-1991 (Revision of IEEE Std 393-1977). Approved June 27,1991. [Bardeen and Brattain 48] J. Bardeen and W. H. Brattain : “The Transistor, A Semiconductor Triode”. Physical Review, Vol. 74, N° 2, pp. 230-231. July 15, 1948. Reprinted in [IEEE 98], pp. 29-30. [Bashe et al. 86 89 03] Charles J. Bashe, Lyle R. Johnson, John H. Palmer and Emerson W. Pugh : IBM's Early Computers . Fourth edition. The MIT Press 2003. ISBN-10: 0262523930 and ISBN-13: 978-0262523936. [Beaulieu 02] Norman C. Beaulieu : “Introduction to 'Certain topics in telegraph transmission theory'”. Pro- ceedings of the IEEE, Vol. 90, N° 2, pp. 276-279. February 2002. [Belady et al. 81] L. A. Belady, R. P. Parmelee and C. A. Scalzi : “The IBM History of Memory Management Tech- nology”. IBM Journal of Research and Development, Vol. 25, N° 5, pp. 491-504. September 1981. [Bell et al. 78] “Computer Engineering : A DEC View of Hardware Systems Design”. C. Gordon Bell, J. Craig Mudge and John E. McNamara Editors. 1978. [Boland and Dollas 94] Keith Boland and Apostolos Dollas : “Predicting and Precluding Problems with Memory Latency”. IEEE Micro, Vol. 14, N° 4, pp. 59-67. August 1994. [Bornhauser 64] Hans Bornhauser : “Core Storage Matrix”. United States (US) Patent N° 3154763. Filing Date: July 10, 1957. Publication Date: October 27, 1964. [Burks 47] Arthur W. Burks : “Electronic Computing Circuits of the ENIAC”. Proceedings of IRE, Vol. 35, N° 8, pp. 756-767. August 1947. Reprinted in [Burks 97]. [Burks 97] Arthur W. Burks : “Electronic Computing Circuits of The ENIAC”. Proceedings of the IEEE, Vol. 85, N° 7, pp. 1172-1182. July 1997.

Transcript of Architecture des ordinateurs : Bibliographie · Philippe Darche : Architecture des ordinateurs -...

Chapitre 1

Introduction : la fonction de mémorisation

[ANSI/IEEE 91]“IEEE Standard for Test Procedures for Magnetic Cores”. IEEE Std 393-1991 (Revision of IEEE Std 393-1977). Approved June 27,1991.[Bardeen and Brattain 48]J. Bardeen and W. H. Brattain : “The Transistor, A Semiconductor Triode”. Physical Review, Vol. 74, N° 2, pp. 230-231. July 15, 1948. Reprinted in [IEEE 98], pp. 29-30.[Bashe et al. 86 89 03]Charles J. Bashe, Lyle R. Johnson, John H. Palmer and Emerson W. Pugh : IBM's Early Computers. Fourth edition. The MIT Press 2003. ISBN-10: 0262523930 and ISBN-13: 978-0262523936.[Beaulieu 02]Norman C. Beaulieu : “Introduction to 'Certain topics in telegraph transmission theory'”. Pro-ceedings of the IEEE, Vol. 90, N° 2, pp. 276-279. February 2002.[Belady et al. 81]L. A. Belady, R. P. Parmelee and C. A. Scalzi : “The IBM History of Memory Management Tech-nology”. IBM Journal of Research and Development, Vol. 25, N° 5, pp. 491-504. September 1981.[Bell et al. 78]“Computer Engineering : A DEC View of Hardware Systems Design”. C. Gordon Bell, J. Craig Mudge and John E. McNamara Editors. 1978.[Boland and Dollas 94]Keith Boland and Apostolos Dollas : “Predicting and Precluding Problems with Memory Latency”. IEEE Micro, Vol. 14, N° 4, pp. 59-67. August 1994.[Bornhauser 64]Hans Bornhauser : “Core Storage Matrix”. United States (US) Patent N° 3154763. Filing Date: July 10, 1957. Publication Date: October 27, 1964.[Burks 47]Arthur W. Burks : “Electronic Computing Circuits of the ENIAC”. Proceedings of IRE, Vol. 35, N° 8, pp. 756-767. August 1947. Reprinted in [Burks 97].[Burks 97]Arthur W. Burks : “Electronic Computing Circuits of The ENIAC”. Proceedings of the IEEE, Vol. 85, N° 7, pp. 1172-1182. July 1997.

Introduction : la fonction de mémorisation2

[Chen and Patterson 93]P. M. Chen and D. A. Patterson : “Storage Performance-Metrics and Benchmarks”. Proceedings of the IEEE, Vol. 8, N° 9, pp. 1151-1165. September 1993.[Chisvin and Duckworth 89]L. Chisvin and R. J. Duckworth : “Content-Addressable and Associative Memory: Alternatives to the Ubiquitous RAM”. IEEE Computer, Vol. 22, N° 7, pp. 51-64. July 1989.[Chu and Klein 52]J. C. Chu and R. J. Klein : “Williams Tubes Selection Program”. Proceedings of the 1952 ACM National Meeting, pp. 110-114. 1952. Meeting Location: Toronto, Ontario, Canada.[Ciminiera and Valenzano 87]Luigi Ciminiera and Adriano Valenzano : Advanced Microprocessor Architectures. Electronic Systems Engineering Series. Addison-Wesley Publishing Co. 1987. ISBN 0-201-14550-2.[Cohen et al. 89]E. I. Cohen, G. M. King and J. T. Brady : “Storage Hierarchies”. IBM Systems Journal, Vol. 28, N° 1, pp. 62-76. 1989.[Darche 00]Philippe Darche : Architecture des ordinateurs - Représentation des nombres et codes - Cours avec exercices corrigés. Collection Support IUT. Édition Gaëtan Morin. Novembre 2000. ISBN 2-910749-49-5. 388 pages.[Darche 02]Philippe Darche : Architecture des ordinateurs - Fonctions booléennes, logiques combinatoire et séquentielle - Cours avec exercices et exemples en VHDL. Édition Vuibert. Mars 2002. ISBN 2-7117-8688-9. 360 pages.[Darche 03]Philippe Darche : Architecture des ordinateurs - Interfaces et périphériques - Cours avec exercices corrigés. Éditions Vuibert. Juin 2003. ISBN 2-7117-4814-6. 416 pages.[Darche 04]Philippe Darche : Architecture des ordinateurs - Logique booléenne : implémentations et technologies. Édi-tions Vuibert. Novembre 2004. ISBN 2-7117-4821-9. 284 pages[Dewilde and Vandewalle 92]Computer Systems and Software Engineering: State-Of-The-Art. Edited by Patrick Dewilde and Joos Vandewalle. Kluwer Academic Publishers 1992. ISBN: 0-7923-1718-1.[Eccles and Jordan 19]W. H. Eccles and F. W. Jordan : “A Trigger Relay Utilizing Three-electrode Thermionic Vacuum Tubes”. The Radio Review, Vol. 1, pp. 143-146. December 1919.[Eckert 49]J. Presper Eckert, Jr. : “An Electrostatic Memory System”. Proceedings of a Second Symposium on Large-Scale Digital Calculating Machinery, Vol. XXVI, pp. 32-43. Symposium Date: 13-16 September 1949. The Annals of the Computation Laboratory of Harvard University, Harvard University Press. Cambridge, Massachusetts 1951.[Eckert 53]J. Presper Eckert, Jr. : “A Survey of Digital Computer Memory Systems”. Proceedings of the IRE, Vol. 41, N° 10, pp. 1393-1406. October 1953. Reprinted in Annals of the History of Com-puting, Vol. 20, N° 4, pp. 15-28. April 1998. Also reprinted in Proceedings of the IEEE, Vol. 85, N° 1, pp. 184-197. January 1997. Voir aussi [Schneck 97].[Eveleth 65]J. H. Eveleth : “A Survey of Ultrasonic Delay Lines Operating Below 100 Mc/s”. Proceedings of the IEEE, Vol. 53, N° 10, pp. 1406-1428. October 1965.

Bibliographie 3

[Forrester 51]Jay W. Forrester : “Digital Information Storage in three Dimensions Using Magnetic Cores”. Journal of Applied Physics, Vol. 22, N° 1, pp. 44-48. January 1951.[Forrester 56]Jay W. Forrester: “Multicoordinate Digital Information Storage Device”. United States (US) Pat-ent N° 2736880. Filing Date: May 11, 1951. Publication Date: February 28, 1956.[Godfrey and Hendry 93]M. D. Godfrey and D. F. Hendry : “The Computer as von Neumann Planned it”. Annals of the History of Computing, vol. 15, N° 1, pp. 11-21. January-March 1993. In CD-ROM of [Shriver and Smith 98].[Greifer 69]Aaron P. Greifer : “Ferrite Memory Materials”. IEEE Transactions on Magnetics, Vol. 5, N° 4, pp. 774-811. December 1969.[Grossman 85]C. P. Grossman : “Cache-DASD Storage Design for Improving System Performance”. IBM Sys-tems Journal, Vol. 24, N° 3-4, pp. 316-334. 1985.[Hake and Homberg 90]J.-F. Hake and W. Homberg : “The Impact of Memory Organization on the Performance of Matrix Multiplication”. Proceedings of Supercomputing '90, pp. 34-40. Conference Date: 12-16 November 1990.[Handy 98]Jim Handy : The Cache Memory Book. Second Edition (First Edition in 1993). Academic Press 1998. ISBN 0-12-322980-4. [IEC 00]“Letter symbols to be used in electrical technology - Part 2: Telecommunications and electronics - Symboles littéraux à utiliser en électrotechnique - Partie 2 : Télécommunications et électro-nique”. International Electrotechnical Commission. IEC 60027-2 - Edition 2.0 - Bilingual. November 22, 2000.[IEEE 96a]IEEE Standard for High-Bandwidth Memory Interface Based on Scalable Coherent Interface (SCI) Signaling Technology (RamLink). IEEE Std 1596.4-1996. Approved March 19, 1996. ISBN 1-55937-745-3.[IEEE 98]“Special Issue: 50th Anniversary of the Transistor!”. Proceedings of the IEEE, Vol. 86, N° 1. January 1998.[IEEE 02a]“Draft Standard for Prefixes for Binary Multiples”. IEEE Std P1541/D5. The Institute of Elec-trical and Electronics Engineers. New York, United States of America (USA). 18 April 2002.[IEEE 02b]IEEE Std 1541-2002 : IEEE Standard for Prefixes for Binary Multiples. 2002. ISBN 0-7381-3386-8.[IEEE Spectrum 00]“A Backward Glance. Arriving at the Punched-Card System”. IEEE Spectrum, Vol. 37, N° 2, pp. 58-61. February 2000.[ Julian and Samuel 49]R. S. Julian and A. L. Samuel : “Coördinate Tubes for Use with Electrostatic Storage Tubes”. Proceedings of a Second Symposium on Large-Scale Digital Calculating Machinery, Vol. XXVI, pp. 96-114. Symposium Date: 13-16 September 1949. The Annals of the Computation Labora-tory of Harvard University, Harvard University Press. Cambridge, Massachusetts 1951.

Introduction : la fonction de mémorisation4

[Kaneko 74]T. Kaneko : “Optimal Task Switching Policy for a Multilevel Storage System”. IBM Journal of Research and Development, Vol. 18, N° 4, pp. 310-315. July 1974.[Katayama 97]Yasunao Katayama : “Trends in Semiconductor Memories”. IEEE Micro, Vol. 17, N° 6, pp. 10-17. November/December 1997.[Kistermann 91]F. W. Kistermann : “The Invention and Development of the Hollerith Punched Card: In Com-memoration of the 130th Anniversary of the Birth of Herman Hollerith and for the 100th Anni-versary of Large Scale Data Processing”. IEEE Annals of the History of Computing, Vol. 13, N° 3, pp. 245-259. July-September 1991.[Kistermann 05]F. W. Kistermann : “Hollerith Punched Card System Development (1905-1913)”. IEEE Annals of the History of Computing, Vol. 27, N° 1, pp. 56-66. January-March 2005.[Knoll and Kazan 52]Max Knoll and Benjamin Kazan : “Storage Tubes and Their Basic Principles”. pp. 92-96. John Wiley and Sons, Inc. 1952.[Marguin 94]Jean Marguin : Histoire des instruments et machines à calculer, Trois siècles de mécanique pensante, 1642-1942. Éditions Hermann. 1994. ISBN 2-7056-6166-3.[Meinadier 71 88]Jean-Pierre Meinadier : Structure et Fonctionnement des Ordinateurs. Série Informatique. Librairie Larousse. Paris 1971 et 1988. ISBN 2-03-851065-2.[Nakagomi 93]T. Nakagomi, M. Holzbach, R., III, Van Meter and S. Ranade : “Re-Defining the Storage Hierar-chy: an Ultra-Fast Magneto-Optical Disk Drive”. Proceedings of the Twelfth IEEE Symposium on Mass Storage Systems : “Putting all that Data to Work”, pp. 267-274. Symposium Date: 26-29 April 1993.[von Neumann 45]John von Neumann : “First Draft of a Report on the EDVAC”. Contract N° W-670-ORD-4926Moore School of Electrical Engineering, University of Pennsylvania. June 30, 1945. See also [Godfrey and Hendry 93]. In CD-ROM of [Shriver and Smith 98].[Nyquist 28]Harry Nyquist : “Certain Topics in Telegraph Transmission Theory”. Transactions of the Amer-ican Institute of Electrical Engineers (AIEE), Vol. 47, N° 2, pp. 617-644. April 1928. Reprinted in Proceedings of the IEEE, vol. 90, N° 2, pp. 280-305. February 2002. See also [Beaulieu 02].[O'Brien 10]Frank O'Brien : The Apollo Guidance Computer: Architecture and Operation. Springer Praxis Books Series / Space Exploration. Springer and Praxis Publishing. ISBN-13: 978-1441908766. Septem-ber 2010.[Patterson et al. 97]David Patterson, Thomas Anderson, Neal Cardwell, Richard Fromm, Kimberly Keeton, Christoforos Kozyrakis, Randi Thomas and Katherine Yelick : A case for intelligent RAM: IRAM”. IEEE Micro, Vol. 17, N° 2, pp. 34-44. Mars/April 1997.[Proebster 92]Walter E. Proebster : “The Evolution of Data Memory and Storage: An Overview”. In [Dewilde and Vandewalle 92], pp. 1-23. 1992.[Przybylski 96]

Bibliographie 5

Steven A. Przybylski : “SDRAMs Ready to Enter PC Mainstream”. Microprocessor Report, Vol. 10, N° 6, pp. 17-23. May 6, 1996.[Pugh 71]Emerson W. Pugh : “Storage Hierarchies: Gaps, Cliffs, and Trends”. IEEE Transactions on Magnetics, Vol. 7, N° 4, pp. 810-814. December 1971.[Pugh et al. 81]E. W. Pugh, D. L. Critchlow, R. A. Henle and L. A. Russell : “Solid State Memory Development in IBM”. IBM Journal of Research and Development, Vol. 25, N° 5, pp. 585-602. September 1981.[Pugh 84b]Emerson W. Pugh : Memories That Shaped an Industry. The MIT Press 1984. ISBN-10: 0262160943 and ISBN-13: 978-0262160940.[Puthuff 78]Steven H. Puthuff : “Technical Innovations in Information Storage and Retrieval”. IEEE Trans-actions on Magnetics, Vol. 14, N° 4, pp. 143-148. July 1978.[Rajan 09]Suresh Rajan : “Integrated Memory Core and Memory Interface Circuit”. United States (US) Patent N° 7515453. Application Number: 11/474075. Filing Date: June 23, 2006. Publication Date: April 07, 2009.[Rajchman 48]Jan Rajchman : “The Selectron - a Tube for Selective Electrostatic Storage”. Proceedings of a Symposium on Large-Scale Digital Calculating Machinery, pp. 133-135. January 1948. Harvard University Press. Cambridge, Massachusetts.[Rajchman 49]Jan Rajchman : “The Selectron”. Proceedings of a Second Symposium on Large-Scale Digital Calculating Machinery, Vol. XXVI, pp. 365-373. Symposium Date: 13-16 September 1949. The Annals of the Computation Laboratory of Harvard University, Harvard University Press. Cam-bridge, Massachusetts 1951.[Rajchman 51]Jan Rajchman : “The Selective Electrostatic Storage Tube”. RCA Review, Vol. XII, N° 1, pp. 53-97. March 1951.[Rajchman 57]Jan A. Rajchman : “A Survey of Magnetic and Other Solid-State Devices for the Manipulation of Information”. IRE Transactions on Circuit Theory, Vol. 4, N° 3, pp. 210-225. September 1957.[Rege 76]S. L. Rege : “Cost, Performance and Size Tradeoffs for Different Levels in Memory Hierachy”. Proceedings of the 3rd Annual International Symposium on Computer Architecture (ISCA '76), pp. 64-67, 67A-67D. January 19-21, 1976.[Schmitt 88]William F. Schmitt : “The UNIVAC Short Code”. Annals of the History of Computing, Vol. 10, N° 1, pp. 7-18. January 1988.[Schneck 97]Paul B. Schneck : “Introduction to the Classic Paper : ’A Survey of Digital Computer Memory Systems’ [Eckert 53]”. Proceedings of the IEEE, Vol. 85, N° 1, pp. 181-183. January 1997.[Scrupski 01]Steve Scrupski : “Thin-Film Memory Gives Fast Output”. Electronic Design, Vol. 49, p. 50. August 20, 2001.[Self 99]Kevin Self : “Memory in megabytes and/or mebibytes”. IEEE Spectrum, Vol. 36, N° 8, p. 18.

Introduction : la fonction de mémorisation6

August 1999.[Shannon 48]Claude Elwood Shannon : “A Mathematical Theory of Communication”. The Bell System Tech-nical Journal, vol. 27, pp. 379. 1949. Réimprimé dans [Shannon 93].[Shannon 93]Claude Elwood Shannon, Collected Papers. Edited by N. J. A. Sloane and Aaron D. Wyner. IEEE PRESS 1993. ISBN 0-7803-0434-9.[Shriver and Smith 98]Bruce Shriver and Bennett Smith : The Anatomy of a High-Performance Microprocessor: A Systems Per-spective. IEEE Press 1998. ISBN 0-8186-8400-3.[Wang and Woo 50]An Wang and Way Dong Woo : “Digital Information Storage in three Dimensions Using Mag-netic Cores”. Journal of Applied Physics, Vol. 21, N° 1, pp. 49-54. January 1950.[Wang 55]An Wang : “Pulse Transfer Controlling Device”. United States (US) Patent N° 2708722. Filing Date: October 21, 1949. Publication Date: May 17, 1955.[Williams 73]John G. Williams : “Asymmetric Memory Hierarchies”. Communications of the ACM (CACM), Vol. 16, N° 4, pp. 213-222. April 1973.[Williams 97]Michael Roy Williams : A History of Computing Technology. Second Edition. IEEE Computer Soci-ety Press. ISBN 0-8186-7739-2.[Zilberstein 65]R. M. Zilberstein : “Variable Ultrasonic Delay Line”. Correspondence. Proceedings of the IEEE, Vol. 53, N° 6, pp. 637-637. June 1965.

Chapitre 2

Organisation générale d’une mémoire à semi-conducteurs à accès aléatoire

[Ahn et al. 09a]Jung Ho Ahn, Jacob Leverich, Robert S. Schreiber and Norman P. Jouppi : “Multicore DIMM: an Energy Efficient Memory Module with Independently Controlled DRAMs”. IEEE Com-puter Architecture, Vol. 8, N° 1, pp. 5-8. January-June 2009.[Ahn et al. 09b]Jung Ho Ahn, Norman P. Jouppi and Christos Kozyrakis : “Future Scaling of Processor-Mem-ory Interfaces”. Technical Report N° HPL-2009-180. HP Laboratories 2009.[Amdahl et al. 64]Gene M. Amdahl, Gerrit A. Blaauw and Frederick P. Brooks : “Architecture of the IBM System/360”. IBM Journal of Research and Development, Vol. 8, N° 2, pp. 87-101. April 1964.[Asato et al. 95]Creigton Asato, Robert Montoye, John Gmuender, E. Wade Simmons, Atsushi Ike and John Zasio : “A 14-Port 3.8 ns 116-Word 64b Read-Renaming Register File”. 1995 IEEE Interna-tional Solid-State Circuits Conference (ISSCC 1995). Digest of Technical Papers, pp. 104-105, 345. February 1995.[Ayukawa et al. 98]Kazushige Ayukawa, Takao Watanabe and Susumu Narita : “An Access-Sequence Control Scheme to Enhance Random-Access Performance of Embedded DRAM's”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 33, N° 5, pp. 800-806. May 1998.[Becker et al. 93]Michael K. Becker, Michael S. Allen, Charles R. Moore, John S. Muhich and David P. Tuttle : “The Power PC 601 Microprocessor”. IEEE Micro, Vol. 13, N° 5, pp. 54-68. September/Octo-ber 1993.[Bell et al. 78]“Computer engineering : A DEC View of Hardware Systems Design”. C. Gordon Bell, J. Craig Mudge and John E. McNamara Editors. 1978.[Berlekamp 74]Key Papers in The Development of Coding Theory. Edited by Elwyn R. Berlekamp. IEEE Press 1974. ISBN 0-87942-031-6 and 0-87942-032-4.

Organisation générale d’une mémoire à semi-conducteurs à accès aléatoire8

[Blake 73]Algebraic Coding Theory : History and Development”. Benchmark Papers in Electrical Engineering and Com-puter Science. Edited by Ian F. Blake. Dowden, Hutchinson & Ross, Inc. 1973. ISBN 0-87933-038-4.[Boonstra et al. 73]Loek Boonstra, Cees W. Lambrechtse and Roelof H. W. Salters : “A 4096-b One-Transistor Per Bit Random-Access Memory with Internal Timing and Low Dissipation”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-8, N° 5 (Special Issue on Semiconductor Memory and Logic), pp. 305-310. October 1973.[Brugler and Jespers 69]J. S. Brugler and P. G. A. Jespers : “Charge Pumping in MOS Devices”. IEEE Transactions on Electron Devices, Vol. 16, N° 3, pp. 297-302. March 1969.[Burnett and Coffman 70]G. J. Burnett and E. G. Coffman, Jr : “A Study of Interleaved Memory Systems”. Proceedings of the 1970 Spring Joint Computer Conference, Session: Computer System Modeling and Analysis, pp. 467-474. Conference Date: May 5-7, 1970. Conference Location: Atlantic City, New Jersey, United States of America (USA).[Chan et al. 06]Yuen H. Chan, Uma Srinivasan and Jatinder K. Wadhwa : “High Performance CMOS NOR Pre-decode Circuit”. United States (US) Patent N° 20060176757. Filing Date: February 9, 2005. Publication Date: August 10, 2006.[Chen and Hsiao 84]C. L. Chen and M. Y. Hsiao : “Error-Correcting Codes for Semiconductor Memory Applica-tions : A State-of-the-Art Review”. IBM Journal of Research and Development, Vol. 28, N° 2, pp. 124-134. March 1984.[Cohen 81]Danny Cohen : “On Holy Wars and a Plea for Peace”. IEEE Computer, Vol. 14, N° 10, pp. 48-54. October 1981. Original : IEN (Internet Engineering Note) 137. USC/ISI (University of Southern California /Information Sciences Institute). April 1, 1980.[Collège d’experts 03]Collège d’experts chargé du contrôle des systèmes de vote et de dépouillement automatisés : “Rapport concernant les élections du 18 mai 2003”. 2 juin 2003.[Darche 00]Philippe Darche : Architecture des ordinateurs - Représentation des nombres et codes - Cours avec exercices corrigés. Collection Support IUT. Éditions Gaëtan Morin. Novembre 2000. ISBN 2-910749-49-5. 388 pages.[Darche 02]Philippe Darche : Architecture des ordinateurs - Fonctions booléennes, logiques combinatoire et séquentielle - Cours avec exercices et exemples en VHDL. Éditions Vuibert. Mars 2002. ISBN 2-7117-8688-9. 360 pages.[Darche 03]Philippe Darche : Architecture des ordinateurs - Interfaces et périphériques - Cours avec exercices corrigés. Éditions Vuibert. Juin 2003. ISBN 2-7117-4814-6. 416 pages.[Darche 04]Philippe Darche : Architecture des ordinateurs - Logique booléenne : implémentations et technologies. Édi-tions Vuibert. Novembre 2004. ISBN 2-7117-4821-9. 284 pages.

Bibliographie 9

[Davis 85]H. L. Davis : “A 70ns Word-Wide 1-Mb ROM with On-Chip Error-Correction Circuits”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-20, N° 5, pp. 958-963. October 1985.[Dell 97]Timothy J. Dell : “A White Paper on the Benefits of Chipkill Correct ECC for PC Server Main Memory”. IBM Microelectronics Division. Rev. 11/19/97.[Dickinson et al. 94]Alexander G. Dickinson, Mehdi Hatamian and Sailesh K. Rao : “Synchronous Static Random Access Memory”. United States (US) Patent N° 5309395. Filing Date: October 22, 1992. Publi-cation Date: May 3, 1994.[Diefendorff 94]Keith Diefendorff : “History of the PowerPC architecture”. Communications of the ACM (CACM), Vol. 37, N° 6, pp. 28-33. June 1994.[Dijkstra 65] Edsgar Wybe Dijkstra : “Solution of a Problem in Concurrent Programming Control”. Commu-nications of the ACM (CACM), Vol. 8, N° 9, p. 569. September 1965.[DiMarco et al. 94]David P. DiMarco, James W. Nicholes and Douglas D. Smith : “Sense Amplifier and Latching Circuit for an SRAM”. United States (US) Patent N° 5289415. Filing Date: April 17, 1992. Pub-lication Date: February 22, 1994.[Dubujet 90]Bruno Dubujet : “Circuit for the Detection of Address Transitions”. United States (US) Patent N° 4922122. Filling Date: August 23, 1988. Publication Date: May 1, 1990.[Eto et al. 98]Akira Eto, Mitsumori Hidaka, Yutaka Okuyama, Katsutaka Kimura and Masayuki Hosono : Impact of Neutron flux on Soft Errors in MOS Memories. 1998 International Electron Devices Meet-ing (IEDM '98). Technical Digest, pp. 367-370. San Francisco, CA, United States of America (USA). 12 September 1998. ISBN 0-7803-4774-9.[Foss and Harland 75]Richard C. Foss and Robert Harland : “Peripheral Circuits for One Transistor Cell MOS RAMs”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-10, N° 5 (Special Issue on Semi-conductor Memory and Logic), pp. 255-261. October 1975.[Frederick 85]Bruce A. Frederick : “MOS Depletion Load Circuit”. United States (US) Patent N° 4516225. Filing Date: February 18, 1983. Publication Date: May 7, 1985.[Fujii et al. 89a]Syuso Fujii, Masaki Ogihara, Mitsuru Shimizu, Munehiro Yoshida, Kenji Numata, Takahiko Hara, Shigeyoshi Watanabe, Shizuo Sawada, Tomohisa Mizuno, Junpei Kumagai, Susumu Yoshi-kawa, Seiji Kaki, Yoshikazu Saito, Hideaki Aochi, Takeshi Hamamoto and Ko-ichi Toita : “ A 45ns 16Mb DRAM with Triple-Well Structure”. 36th IEEE International Solid-State Circuits Conference (ISSCC 1989). Digest of Technical Papers, pp. 248-249. February 1989.[Fujii et al. 89b]Syuso Fujii, Masaki Ogihara, Mitsuru Shimizu, Munehiro Yoshida, Kenji Numata, Takahiko Hara, Shigeyoshi Watanabe, Shizuo Sawada, Tomohisa Mizuno, Junpei Kumagai, Susumu Yoshi-kawa, Seiji Kaki, Yoshikazu Saito, Hideaki Aochi, Takeshi Hamamoto and Ko-ichi Toita : “A 45-ns 16-Mbit Dram With Triple-well Structure”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 24, N° 5 (Special Issue on Logic and Memory), pp. 1170-1175. October 1989.

Organisation générale d’une mémoire à semi-conducteurs à accès aléatoire10

[Gao 93]Q. S. Gao : “The Chinese Remainder Theorem and the Prime Memory System”. Proceedings of the 20th Annual International Symposium on Computer Architecture (ISCA ’93), pp. 337-340. 1993. Symposium Location : San Diego, California, United States (USA).[George et al. 90]Johann George, Trevor J. Thompson, David G. Conroy and Frederick H. Tudor : “Method for Canonical Ordering of Binary Data for Portable Operating Systems”. United States (US) Patent N° 4956809. Filing Date: December 29, 1988. Publication Date: 11 September 1990.[Ghosh et al. 04]Shalini Ghosh, Sugato Basu and Nur A. Touba : “Reducing Power Consumption in Memory ECC Checkers”. Proceedings of the IEEE International Test Conference (ITC-2004), pp. 1322-1331. Conference Date: October 26-28, 2004. Conference Location: Charlotte, NC, United States of America (USA).[Gifford and Spector 87]David Gifford and Alfred Spector : “Case Study: IBM’s System/360-370 Architecture”. Com-munications of the ACM (CACM), Vol. 30, N° 4, pp. 291-307. April 1987.[Gillingham et al. 91]Peter Gillingham, Richard C. Foss, Valerie Lines, Gregg Shimokura and Tomasz Wojcicki : “High-Speed, High-Reliability Circuit Design for Megabit DRAM”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 26, N° 8, pp. 1171-1175. August 1991.[Giovannini et al. 09]Tom Giovannini, Scott Best, Ian Shaeffer, Kendra De Berti and Michael Ching : “ Challenges and Solutions for Future Main Memory”. Rambus White Paper. 34 pages. May 26, 2009.[GSI 00] “Using ByteSafe™ SRAMs in Parity and Non-Parity Applications”. Note d'application AN1001. Giga Semiconductor, Inc. (GSI Technology) 2000. URL : http://www.gsitechnology.com/an1001.pdf.[Gubbels et al. 87]Will C. H. Gubbels, Cornelis D. Hartgring, Roelof H. W. Salters, Jos A. M. Lammerts, Michael J. Tooher, Patrick F. P. C. Hens, Joseph J. Bustiaens, Jan M. F. van Dijk and Marc A. Sprokel : “A 40-ns/100-pF Low-Power Full-CMOS 256K (32K 3 8) SRAM”. IEEE Journal of Solid-State Circuits, Vol. SC-22, N° 5 (Special Issue on Logic and Memory), pp. 741-747. October 1987.[Hamming 50]R.W. Hamming : “Error Detecting and Error Correcting Codes”. The Bell System Technical Journal, Vol. 29, pp. 147-160. April 1950. Reprinted in [Blake 73], [Berlekamp 74] and [Swartz-lander 90].[Harper and Jump 86]David T. Harper III and J. Robert Jump : “Performance Evaluation of Vector Accesses in Paral-lel Memories using a Skewed Storage Scheme”. ACM SIGARCH Computer Architecture News, Vol. 14 (Special Issue: Proceedings of the 13th Annual International Symposium on Computer Architecture (ISCA '86)), N° 2, pp. 324-328. May 1986.[Harper and Jump 87]David T. Harper III and J. Robert Jump : “Vector Access Performance in Parallel Memories Using a Skewed Storage Scheme”. IEEE Transactions on Computers, Vol. C-36, N° 12, pp. 1440-1449. December 1987.[Heller et al. 76]Lawrence G. Heller, Dominic P. Spampinato and Ying L. Yao : “High Sensitivity Charge-Trans-fer Sense Amplifier”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-11, N° 5, pp. 596- 601. October 1976.

Bibliographie 11

[Hennessy et Patterson 94]John L. Hennessy et David A. Patterson : Architecture des ordinateurs - une approche quantitative. EDI-SCIENCE International 1994. ISBN 2-84074-079-6. Paru en deuxième édition chez Interna-tional Thomson Publishing (ITP) France. ISBN 2-84140-022-9. English version : Computer Architecture: A Quantitative Approach. Morgan Kaufmann Publishers Inc.. 1990.[Hidaka et al. 90]Hideto Hidaka, Yoshio Matsuda, Mikio Asakura and Kazuyasu Fujishima : “The Cache DRAM Architecture: A DRAM with an On-Chip Cache Memory”. IEEE Micro, Vol. 10, N° 2, pp. 14-25. March 1990.[Hofmann and Von Basse 78]Ruediger Hofmann and Paul-Werner Von Basse : “Arrangement for Addressing a MOS Store”. United States (US) Patent N° 4104735. Filling Date: September 14, 1977. Publication Date: Jan-uary 1, 1978.[Horiguchi 97]Masashi Horiguchi : “Redundancy Techniques for High-Density DRAMs”. Proceedings of the Second Annual IEEE International Conference on Innovative Systems in Silicon, pp. 22-29. Conference Date: 8-10 October 1997. Conference Location: Austin, TX, United States of America (USA).[Hsu 98]Fu-Chieh Hsu : “ Method and Structure for Improving Display Data Bandwidth in a Unified Memory Architecture System ”. United States (US) Patent N° 5790138. Filing Date: January 16, 1996. Publication Date: August 4, 1998.[IBM 00]“PowerPC 405GP Endianness and Bit Naming Conventions”. PowerPC Embedded Processors Application Note. January 5, 2000.[IEEE 84]“IEEE Standard Graphic Symbols for Logic Functions”. ANSI/IEEE Std 91-1984 in [IEEE 96a].[IEEE 91]“Supplement to IEEE Standard Graphic Symbols for Logic Functions”. ANSI/IEEE Std 91a-1991 in [IEEE 96a].[IEEE 96a]Electrical and Electronics Graphic & Letter Symbols and Reference Designations. IEEE Standards collec-tion. New York, USA 1996. ISBN 1-55937-836-0.[IEEE 96b]IEEE Standard for High-Bandwidth Memory Interface Based on Scalable Coherent Interface (SCI) Signaling Technology (RamLink). IEEE Std 1596.4-1996. Approved March 19, 1996. ISBN 1-55937-745-3.[Igarashi et al. 66]R. Igarashi, T. Kurosawa and T. Yaita : “A 150-Nanosecond Associative Memory using Inte-grated MOS Transistors”. 1966 IEEE International Solid-State Circuits Conference (ISSCC 1966). Digest of Technical Papers, Vol. IX, pp. 104-105. February 1966. Reprinted in Proceed-ings of the 1993 IEEE International Solid-State Circuits Conference (ISSCC 1993), Commemo-rative Supplement, pp. 96-97.[Itoh 01]Kiyoo Itoh : VLSI Memory Chip Design. Series: Springer Series in Advanced Microelectronics, Vol. 5. Springer-Verlag Berlin and Heidelberg GmbH & Co. 2001. ISBN 978-3-540-67820-5.[ JEDEC 03]“Configurations For Solid Sate Memories”. JEDEC Standard N° 21-C. (JESD21-C). May 2003.

Organisation générale d’une mémoire à semi-conducteurs à accès aléatoire12

[ Jordan 70]William F. Jordan : “Read-Only Memory Organization”. United States (US) Patent N° 3653004. Filing Date: May 25, 1970. Publication Date: March 28, 1972.[ Jordan et al. 72]Paul V. Jordan, John A. Palmieri and William T. Wade : “Read Only Memory and Method of Using Same”. United States (US) Patent N° 3678475. Publication Date: February 1, 1971. Publi-cation Date: July 18, 1972.[ Joynson et al. 71]Reuben E. Joynson, Joseph L. Mundy, James F. Burgess and Constantine A. Neugebauer : “Eliminating Threshold Losses in MOS Circuits by Varactor Bootstrapping”. Proceedings of the IEEE, Vol. 59, N° 9, pp. 1365-1366. September 1971.[ Joynson et al. 72]Reuben E. Joynson, Joseph L. Mundy, James F. Burgess and Constantine A. Neugebauer : “Eliminating Threshold Losses in MOS Circuits by Bootstrapping using Varactor Coupling”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-7, N° 3, pp. 217-224. June 1972.[Khanna et Partovi 00]Rajesh Khanna and Hamid Partovi : “Dynamic NOR Gates for NAND Decode”. United States (US) Patent N° 6081136. Filing Date: December 19, 1997. Publication Date: June 27, 2000.[Kimura et al. 86]Katsutaka Kimura, Kiyoo Itoh, Ryoichi Hori, Jun Etoh, Yoshiki Kawajiri, Hiroshi Kawamoto, Katsuyuki Sato and Tetsuro Matsumoto : “Power Reduction Techniques in Megabit DRAM's”. IEEE Journal of Solid-State Circuits (JSSC), vol SC-21, N° 3, pp. 381-389. June 1986.[Kuck 68]David J. Kuck : “ILLIAC IV Software and Application Programming”. IEEE Transactions on Computers, Vol. C-17, N° 8, pp. 758-770. August 1968.[Kuck and Stokes 82]D. J. Kuck and R. A. Stokes : “The Burroughs Scientific Processor (BSP) ”. IEEE Transactions on Computers, Vol. 31, N° 5, pp. 363-376. May 1982.[Lawrie and Vora 82]Duncan H. Lawrie and Chandra R. Vora : “The Prime Memory System for Array Access”. IEEE Transactions on Computers, Vol. C-31, N° 5, pp. 435- 442. May 1982. cf. [Meng-Hee Teng 83].[Leak 95]David A. Leak : “Methods and Apparatus for using Address Transition Detection to Reduce Power Consumption”. United States (US) Patent N° 5423047. Filling Date: June 22, 1993. Pub-lication Date: June 6, 1995.[Leung et al. 97]Wingyu Leung, Winston Lee and Fu-Chieh Hsu : “ Resynchronization Circuit for a Memory System and Method of Operating Same”. United States (US) Patent N° 5655113. Filing Date: July 5, 1994. Publication Date: August 5, 1997.[Lynch and Boll 74]William T. Lynch and H. J. Boll : “Optimization of the Latching Pulse for Dynamic Flip-Flop Sensors”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-9, N° 2, pp. 49-55. April 1974.[Matsui 99]Yoshinori Matsui : “Semiconductor Device Having a Latch Circuit for Latching Data Externally Input”. United States (US) Patent N° 5886553. Filing Date: May 15, 1998. Publication Date: March 23, 1999.

Bibliographie 13

[May and Woods 79]T. C. May and M. H. Woods : “Alpha-Particule-Induced Soft Errors in Dynamic Memories”. IEEE Transactions on Electron Devices, Vol. ED-26, N° 1, pp. 2-9. January 1979.[Meng-Hee Teng 83]Meng-Hee Teng : Comments on “The Prime Memory Systems for Array Access”. IEEE Trans-actions on Computers, Vol. C-32, N° 11, pp. 1072-1072. November 1983.[Ochii et al. 82]Kiyofumi Ochii, Kazuhiko Hashimoto, Hiroshi Yasuda, Masami Masuda, Hiroshi Nozawa and Suzumu Kohyama : “A 15nW Standby Power 64Kb CMOS RAM”. 1982 IEEE International Solid-State Circuits Conference (ISSCC 1982). Digest of Technical Papers, Vol. XXV, pp. 260-261. February 1982.[Ochii et al. 85]Kiyofumi Ochii, Hiroshi Yasuda, Kiyoshi Kobayashi, Takeo Kondoh and Fujio Masuoka : “A 17ns 64K CMOS RAM with a Schmitt Trigger Sense Amplifier”. 1985 IEEE International Solid-State Circuits Conference (ISSCC 1985). Digest of Technical Papers, Vol. XXVIII, pp. 64-65, 306. February 1985.[O'Connell and Leach 80]Timothy R. O'Connell and George S. Leach : “Sense Line Termination Circuit for Semiconduc-tor Memory Systems”. United States (US) Patent N° 4195356. Filing Date: November 16, 1978. Publication Date: March 25, 1980.[Ogawa and Horowitz 01]Junji Ogawa and Mark Horowitz : “A 64Mbit Mesochronous Hybrid Wave Pipelined Multibank DRAM Macro”. Revised Papers from the Second International Workshop on Intelligent Mem-ory Systems (IMS 2000). Workshop Date: November 12, 2000. Workshop Location: Cambridge MA, United States of America (USA). Frederic T. Chong, Christoforos Kozyrakis and Mark Oskin Ediors. Lecture Notes in Computer Science (LNCS), Vol. 2107, 14 pages. Springer-Verlag 2001. ISBN 3-540-42328-1.[Pascucci 01]Luigi Pascucci : “Address Transition Detector in Semiconductor Memories ”. United States (US) Patent N° 6212128. Filling Date: October 6, 1999. Publication Date: April 3, 2001.[Proebsting and Green 75]Robert Proebsting and Robert Sherman Green : “Dynamic Data Input Latch and Decoder”. United States (US) Patent N° 3902082. Filing Date: February 11, 1974. Publication Date: August 26, 1975.[Przybylski 95]Steven Przybylski: “MoSys Reveals MDRAM Architecture, Radical Alternative Is Not Just Another VRAM”. Microprocessor Report, Vol. 9, N° 17, pp. 17-20. December 25, 1995.[Raghavan and Hayes 90]Ram Raghavan and John P. Hayes : “On Randomly Interleaved Memories”. Proceedings of Supercomputing '90, pp. 49-58. November 1990. 12-16 November 1990.[Rau 79]B. Ramakrishna Rau : “Program Behavior and the Performance of Interleaved Memories”. IEEE Transactions on Computers, Vol. C-28, N° 3, pp. 191-199. March 1979.[Rau 91]B. Ramakrishna Rau : “Pseudo-Randomly Interleaved Memory”. ACM SIGARCH Computer Architecture News, Vol. 19, N° 3, pp. 74-83. May 1991. Proceedings of the 18th Annual Interna-tional Symposium on Computer Architecture (ISCA '91), pp. 74-83. 1991. Symposium Location: Toronto, Ontario, Canada.

Organisation générale d’une mémoire à semi-conducteurs à accès aléatoire14

[Regitz 73]William M. Regitz : “Read-Only Memory Organization”. United States (US) Patent N° 3774171. Filing Date: November 8, 1971. Publication Date: November 20, 1973.[Schnell 00]Josef Schnell : “Delay Lock Loop”. United States (US) Patent N° 6137327. October 2000. Filing Date: November 25, 1998. Publication Date: October 24, 2000.[Seznec and Lenfant 94]André Seznec and Jacques Lenfant : “Interleaved Parallel Schemes”. IEEE Transactions on Par-allel and Distributed Systems, Vol. 5, N° 12, pp. 1329-1334. 1994.[Simon 70]J. C. Simon : Introduction au fonctionnement des ordinateurs. Éditions Masson & Cie. 1970.[Sharma 02]Ashok K. Sharma : Semiconductor Memories: Technology, Testing, and Reliability. Wiley-IEEE Press. September 2002. ISBN 0-7803-1000-4.[Smith et al. 81]Robert T. Smith, James D. Chlipala, John F. M. Bindels, Roy G. Nelson, Frederick H. Fischer and Thomas F. Mantz : “Laser Programmable Redundancy and Yield Improvement in a 64K DRAM”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-16, N° 5 (Special Issue on Digital Circuits), pp. 506-514. October 1981.[Stewart 77a]Roger G. Stewart : “High-Density CMOS ROM Arrays”. 1977 IEEE International Solid-State Circuits Conference (ISSCC 1977). Digest of Technical Papers, Vol. XX, pp. 20-21, 230. Febru-ary 1977.[Stewart 77b]Roger G. Stewart : “High-Density CMOS ROM Arrays”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-12, N° 5, pp. 502-506. October 1977.[Suzuki et al. 89]Makoto Suzuki, Suguru Tachibana, Atsuo Watanabe, Shoji Shukuri, Hisayuki Higuchi, Takahiro Nagano and Katsuhiro Shimohigashi : “A 3.5-ns, 500-mW, 16-kbit BiCMOS ECL RAM”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 24, N° 5, pp. 1233-1237. October 1989.[Swartzlander 90]Earl E. Swartzlander, Jr. : Computer Arithmetic. IEEE Computer Society Press Tutorial. ISBN 0-8186-8931-5.[Swift 1726]Jonathan Swift : Gulliver's Travels. Part I - A Voyage to Lilliput, Chapter IV. 1726.[Takano et al. 87]Satoshi Takano, Hiroshi Makino, Noriyuki Tanino, Minoru Noda, Kazu Nishitani and Shimpei Kayano : “A 16K GaAS SRAM”. 1987 IEEE International Solid-State Circuits Conference (ISSCC 1987). Digest of Technical Papers, Vol. 30, pp. 140-141, 370. February 1987.[Tan and Sun 02]S. C. Tan and X. W. Sun : “Low Power CMOS Level Shifters by Bootstrapping Technique”. Electronics Letters, Vol. 38, N° 16, pp. 876-878. 1st August 2002.[Taylor and Johnson 85a]Ronald T. Taylor and Mark G. Johnson : “A 1Mb CMOS DRAM with a Divided Bitline Matrix Architecture”. 1985 IEEE International Solid-State Circuits Conference (ISSCC 1985). Digest of Technical Papers, Vol. XXVIII, pp. 242-243. February 1985.

Bibliographie 15

[Taylor and Johnson 85b]Ronald T. Taylor and Mark G. Johnson : “A 1-Mbit CMOS Dynamic RAM with a Divided Bit-line Matrix Architecture ”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-20, N° 5 (Spe-cial Issue on Logic and Memory), pp. 894-902. October 1985.[Van Sas et al. 93]Jos Van Sas, Francky Catthoor and Hugo J. De Man : “Test Algorithms for Double-Buffered Random Access and Pointer-Addressed Memories”. IEEE Design & Test of Computers, Vol. 10, N° 2, pp. 34-44. April-June 1993.[Ware and Hampel 05]Frederick A. Ware and Craig Hampel : “Micro-threaded Row and Column Operations in a DRAM Core”. Rambus White Paper. 7 pages. March 2005.[Ware et al. 06]Frederick A. Ware, Craig E. Hampel, Wayne S. Richardson, Chad A. Bellows and Lawrence Lai : “Micro-Threaded Memory”. Patent Application Publication N° US2006/0117155 A1. Filing Date: November 29, 2004. Publication Date: June 1, 2006.[Ware et al. 07]Frederick A. Ware, Craig E. Hampel, Wayne S. Richardson, Chad A. Bellows and Lawrence Lai : “Multi-Mode Memory”. Patent Application Publication N° US2007/0250677 A1. Filing Date: June 25, 2007. Publication Date: October 25, 2007.[Yaney et al. 79]David S. Yaney, J. T. Nelson and L. L.Vanskike : “Alpha-Particle Tracks in Silicon and their Effect on Dynamic MOS RAM Reliability”. IEEE Transactions on Electron Devices, Vol. ED-26, N° 1, pp. 10-16. January 1979.[Yoshimoto et al. 83]Masahiko Yoshimoto, Kenji Anami, Hirofumi Shinohara, Tsutomu Yoshihara, Hiroshi Takagi, Shigeo Nagao, Shinpei Kayano and Takao Nakano : “A Divided Word-Line Structure in the Static RAM and its Application to a 64K Full CMOS RAM”. IEEE Journal of Solid-State Cir-cuits (JSSC), Vol. SC-18, N° 5 (Special Issue on Logic and Memory), pp. 479-484. October 1983.[Zhang et al. 00]Zhao Zhang, Zhichun Zhu and Xiaodong Zhang : “A Permutation-based Page Interleaving Scheme to Reduce Row-Buffer Conflicts and Exploit Data Locality”. Proceedings of the 33rd

Annual ACM/IEEE International Symposium on Microarchitecture (MICRO 33), pp. 32-41. Symposium Date: December 10-13, 2000.[Zheng et al. 09]Hongzhong Zheng, Jiang Lin, Zhao Zhang and Zhichun Zhu : “Decoupled DIMM: Building High-Bandwidth Memory System Using Low-Speed DRAM Devices”. ACM SIGARCH Com-puter Architecture News, Vol. 37, N° 3, pp. 255-266. June 2009.[Ziegler 96]J. F. Ziegler : “Terrestrial Cosmic Rays”. IBM Journal of Research and Development, Vol. 40, N° 1, pp. 19-39. January 1996.[Ziegler et al. 96]J. F. Ziegler, H. W. Curtis, F. P. Muhlfeld, C. J. Montrose, B. Chin, M. Nicewicz, C. A. Russell, W. Y. Wang, L. B. Freeman, P. Hosier, L. E. LaFave, J. L. Walsh, J. M. Orro, G. J. Unger, J. M. Ross, T. J. O'Gorman, B. Messina, T. D. Sullivan, A. J. Sykes, H. Yourke, T. A. Enger, V. Tolat, T. S. Scott, A. H. Taber, R. J. Sussman, W. A. Klein and C. W. Wahaus : “IBM Experiments in Soft Fails in Computer Electronics (1978-1994)”. IBM Journal of Research and Development, Vol. 40, N° 1, pp. 3-16. January 1996.

Chapitre 3

Caractérisation d’une mémoire à semi-conducteurs à accès aléatoire

[Abadir and Reghbati 83]Magdy S. Abadir and Hassan K. Reghbati : “Functional Testing of Semiconductor Random Access Memories”. ACM Computing Surveys (CSUR), Vol. 15, N° 3, pp. 175-198. September 1983. Reprinted in [Agarwal and Seth 88].[Agarwal and Seth 88]Tutorial: Test Generation for VLSI Chips. Vishwani D. Agarwal and Sharad C. Seth Editors. IEEE Computer Society Press. ISBN-10: 0-8186-8786-X. ISBN-13: 978-0818687860.[Al-sarawi et al. 98]Said F. Al-sarawi, Derek Abbott and Paul D. Franzon : “A Review of 3-D Packaging Technol-ogy”. IEEE Transactions on Components, Packaging, and Manufactoring Technology - Part B, Vol. 21, N° 1, pp. 2-13. February 1998.[Baker and van Beers 96]Keith Baker and Jos Van Beers : “Shmoo Plotting: The Black Art of IC Testing”. Proceedings of the International Test Conference 1996 (ITC '96), pp. 932-933. Conference Date: Octobre 20-25, 1996.[Baker and van Beers 97]Keith Baker and Jos Van Beers : “Shmoo Plotting: The Black Art of IC Testing”. IEEE Design & Test, Vol. 14, N° 3, pp. 90-97. July 1997.[Baliga 04]John Baliga : “Chips Go Vertical”. IEEE Spectrum, Vol. 41, N° 3, pp. 43-47. March 2004.[Banerjee et al. 01]Kaustav Banerjee, Shukri J. Souri, Pawan Kapur and Krishna C. Saraswat : “3-D ICs: A Novel Chip Design for Improving Deep-Submicrometer Interconnect Performance and Systems-on-Chip Integration”. Proceedings of the IEEE, Vol. 89, N° 5, pp. 602-633. May 2001.[Carson et al. 09]Flynn P. Carson, Young Cheol Kim and In Sang Yoon : “3-D Stacked Package Technology and Trends”. Proceedings of the IEEE, Vol. 97, N° 1, pp. 31-42. January 2009.

Caractérisation d’une mémoire à semi-conducteurs à accès aléatoire18

[Chappell et al. 91]Terry I. Chappell, Barbara A. Chappell, Stanley E. Schuster, James W. Allan, Stephen P. Klepner, Rajiv V. Joshi and Robert L. Franch : “A 2-ns Cycle, 3.8-ns Access 512-kb CMOS ECL SRAM with a Fully Pipelined Architecture”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 26, N° 11 (Special Issue on Memory and Logic), pp. 1577-1585. November 1991.[Darche 00]Philippe Darche : Architecture des ordinateurs - Représentation des nombres et codes - Cours avec exercices corrigés. Collection Support IUT. Éditions Gaëtan Morin. Novembre 2000. ISBN 2-910749-49-5. 388 pages.[Darche 02]Philippe Darche : Architecture des ordinateurs - Fonctions booléennes, logiques combinatoire et séquentielle - Cours avec exercices et exemples en VHDL. Éditions Vuibert. Mars 2002. ISBN 2-7117-8688-9. 360 pages.[Darche 03]Philippe Darche : Architecture des ordinateurs - Interfaces et périphériques - Cours avec exercices corrigés. Éditions Vuibert. Juin 2003. ISBN 2-7117-4814-6. 416 pages.[Darche 04]Philippe Darche : Architecture des ordinateurs - Logique booléenne : implémentations et technologies. Édi-tions Vuibert. Novembre 2004. ISBN 2-7117-4821-9. 284 pages.[Dell et al. 96]Timothy J. Dell, Lina S. Farah, George C. Feng and Mark W. Kellogg : “Synchronous Memory Packaged in Single/Dual In-Line Memory Module and Method of Fabrication”. United States (US) Patent N° 5513135. Filing Date: December 2, 1994. Publication Date: April 30, 1996.[EIA 91]“Interface Between Data Terminal Equipment and Data Circuit-Terminating Equipment Employing Serial Binary Data Interchange”. Standard ANSI/EIA/TIA-232-E. Electronic Industries Association. July 1991.[EIA 97]“Interface Between Data Terminal Equipment and Data Circuit-Terminating Equipment Employing Serial Binary Data Interchange”. Standard ANSI/EIA/TIA-232-F. Electronic Industries Association. October 1997.[EIA/JEDEC 95]“High Speed Transceiver Logic (HSTL) A 1.5 V Output Buffer Supply Voltage Based Interface Standard for Digital Integrated Circuits”. EIA/JEDEC Standard JESD8-6. August 1995.[EIA/JEDEC 96]“Stub Series Terminated Logic for 3.3 V (SSTL_3)”. JEDEC Standard JESD8-8. August 1996.[EIA/JEDEC 06]“1.8 V ± 0.15 V (Normal Range) and 1.2 V - 1.95 V (Wide Range) Power Supply Voltage and Interface Standard for Nonterminated Digital Integrated Circuits”. EIA/JEDEC Standard JESD8-7A (Revision of JESD8-7, February 1997). JEDEC Solid State Technology Association. June 2006.[EIA/JEDEC 07a]“Interface Standard for Nominal 3 V/3.3 V Supply Digital Integrated Circuits”. EIA/JEDEC Standard JESD8C.01 (Minor Revision of JESD8C, June 2006). JEDEC Solid State Technology Association. September 2007.[EIA/JEDEC 07b]“2.5 V ± 0.2 V (Normal Range) and 1.8 V - 2.7 V (Wide Range) Power Supply Voltage and

Bibliographie 19

Interface Standard for Nonterminated Digital Integrated Circuits”. EIA/JEDEC Standard JESD8-5A.01 (Minor Revision of JESD8-5A, June 2006). JEDEC Solid State Technology Asso-ciation. September 2007.[Forrester 51]J. W. Forrester : “Digital Information Storage in Three Dimensions Using Magnetic Cores”. Journal of Applied Physics, Vol. 22, N° 1, pp. 44-48. January 1951.[Granberg 05]Tom Granberg : Handbook Of Digital Techniques For High-Speed Design. Prentice Hall PTR 2005. ISBN-13: 978-0131482586.[Hampel et al. 05]Craig E. Hampel, Richard E. Perego, Stefanos S. Sidiropoulos, Ely K. Tsern and Fredrick A. Ware : “Timing Calibration Apparatus and Method for a Memory Device Signaling System”. United States (US) Patent N° 6920540. Filing Date: October 22, 2002. Publication Date: July 19, 2005.[IEEE 90 93]“IEEE Standard Test Access Port and Boundary-Scan Architecture”. IEEE Std 1149.1-1990 (includes IEEE Std 1149.1a-1993). The Institute of Electrical and Electronics Engineers. New York, United States of America (USA). October 1993.[IEEE 91]“IEEE Standard for Electrical Characteristics of Backplane Transceiver Logic (BTL) Interface Circuits”. IEEE Std. 1194.1-1991.[IEEE 92]IEEE Standard Terminology for Semiconductor Memory. IEEE Std. 662-1992. March 19, 1992. ISBN 1-55937-221-4.[IEEE 94]“IEEE Standard Signaling Method for a Bidirectional Parallel Peripheral Interface for Personal computers”. IEEE Std 1284-1994. The Institute of Electrical and Electronics Engineers. New York, United States of America (USA). November 1994. In CD-ROM of [Shriver and Smith 98].[IEEE 96]IEEE Standard for High-Bandwidth Memory Interface Based on Scalable Coherent Interface (SCI) Signaling Technology (RamLink). IEEE Std 1596.4-1996. Approved March 19, 1996. ISBN 1-55937-745-3.[IEEE 08]“IEEE Standard for Information Technology - Telecommunications and Information Exchange between Systems - Local and Metropolitan Area Networks - Specific Requirements. Part 3: Carrier Sense Multiple Access with Collision Detection (CSMA/CD) Access Method and Physical Layer Specifications”. IEEE Std 802.3™-2008. Revision of IEEE Std 802.3-2005. The Institute of Electrical and Electronics Engineers. New York, United States of America (USA). 26 December 2008.[Intel 73]The Intel Memory Design Handbook. August 1973.[Intel 97]“Pentium® II Processor GTL+ Guidelines”. Application Note AP-585. Intel. May 97. Order Number: 243330-001.[ Jacob et al. 07]Bruce Jacob, Spencer W. Ng and David T. Wang (with contributions by Samuel Rodriguez) : Memory Systems: Cache, DRAM, Disk. Morgan Kaufmann Publishers. September 2007. ISBN 978-0-12-379751-3.

Caractérisation d’une mémoire à semi-conducteurs à accès aléatoire20

[ JEDEC 93]“Center-Tap-Terminated (CTT) Low-Level, High-Speed Interface Standard for Digital Inte-grated Circuits”. JEDEC Standard JESD8-4. November 1993.[ JEDEC 02a]“Stub Series Terminated Logic for 2.5 V (SSTL_2)”. JEDEC Standard JESD8-9B (Revision of JESD8-9A). May 2002.[ JEDEC 02b]“Terms, Definitions, and Letter Symbols for Microcomputers, Microprocessors, and Memory Integrated Circuits”. JEDEC Solid State Technology Asociation. JEDEC Standard N° 100B.01 (JESD100B.01). December 2002.[ JEDEC 03a]“Configurations For Solid Sate Memories”. JEDEC Standard N° 21-C. (JESD21-C). May 2003.[ JEDEC 03b]“Stub Series Terminated Logic for 1.8 V (SSTL_18)”. JEDEC Standard JESD8-15A (Adden-dum 15 to JESD8 Series (Revision of JESD8-15). September 2003.[Karabatsos 02]Chris Karabatsos : “Double-Sided Flexible Jumper Assembly and Method of Manufacture”. United States (US) Patent N° 6392162. Filing Date: November 10, 2000. Publication Date: May 21, 2002.[Kim et al. 06]Kyu-hyoun Kim, Uksong Kang, Hoe-Ju Chung, Duk-Ha Park, Woo-Seop Kim, Young-Chan Jang, Moonsook Park, Hoon Lee, Jin-Young Kim, Jung Sunwoo, Hwan-Wook Park, Hyun-Kyung Kim, Su-Jin Chung, Jae-Kwan Kim, Hyung-Seuk Kim, Kee-Won Kwon, Young-Taek Lee, Joo Sun Choi and Changhyun Kim : “An 8Gb/s/pin 9.6ns Row-Cycle 288Mb Deca-Data Rate SDRAM with an I/O Error-Detection Scheme”. 2006 IEEE International Solid-State Cir-cuits Conference (ISSCC 2006). Digest of Technical Papers, pp. 527-536. Conference Date: 6-9 February 2006.[Kim et al. 07]Kyu-hyoun Kim, Hoe-Ju Chung, Woo-Seop Kim, Moonsook Park, Young-Chan Jang, Jin-Young Kim, Hwan-Wook Park, Uksong Kang, Paul W. Coteus, Joo Sun Choi and Changhyun Kim : “An 8 Gb/s/pin 9.6 ns Row-Cycle 288 Mb Deca-Data Rate SDRAM With an I/O Error Detection Scheme”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 42, N° 1, pp. 193-200. January 2007.[Koh 04]Wei Koh : “Memory Device Packaging - From Leadframe Packages to Wafer Level Packages”. Proceeding of the Sixth IEEE CPMT Conference on High Density Microsystem Design and Packaging and Component Failure Analysis (HDP '04), pp. 21-24. Conference Date: 30 June-3 July 2004.[Lacoste 09]Robert Lacoste : “High-Speed Signal Transmission”. The Darker Side. Circuit Cellar N° 227, pp. 52-59, June 2009.[Liu and Lin 04]Jin Liu and Xiaofeng Lin : “Equalization in High-Speed Communication Systems”. IEEE Cir-cuits and Systems Magazine, Vol. 4, N° 2, pp. 4-17. Second Quarter 2004.[Messerschmitt 90]David G. Messerschmitt : “Synchronization in Digital System Design”. IEEE Journal on Selected Areas in Communications, Vol. 8, N° 8, pp. 1404-1419. October 1990.

Bibliographie 21

[Micron 08]“Summary of Common DRAM Module Form Factors”. Technical Note TN-04-55. Rev. A 11/08 EN 2. Micron Technology, Inc. 2008.[PCI-SIG 03]PCI Express™ Base Specification, Revision 1.0a. PCI-SIG (Special Interest Group). April 15, 2003.[PCI-SIG 06]PCI Express® 2.0 Base Specification, Revision 0.9. PCI-SIG (Special Interest Group). Septem-ber 11, 2006.[Pontius et al. 07]Timothy Pontius, Robert L. Payne and David R. Evoy : “Clock Domain Crossing FIFO”. United States (US) Patent N° 7187741. NXP B.V. 2007. Application Number: 09/999007. Fill-ing Date: October 31, 2001. Publication Date: March 06, 2007.[Rambus 97]“Direct Rambus™ Technology disclosure”. Rambus Application Note. DL-0040-00. October 15, 1997.[Rambus 03]“Direct Rambus™ Layout Guide for Boards with SO-RIMMs”. Rambus Application Note. DL-0205 Version 0.3. September 2003.[SFF-SIG 11]“RS-DIMM™ Rugged Memory Specification”. Revision 1.0. Small Form Factor Special Interest Group, Inc. (SFF-SIG). February 17, 2011.[Sharma 02]Ashok K. Sharma : Semiconductor Memories: Technology, Testing, and Reliability. Wiley-IEEE Press. September 2002. ISBN 0-7803-1000-4.[Shriver and Smith 98]Bruce Shriver and Bennett Smith : The Anatomy of a High-Performance Microprocessor : A Systems Per-spective. IEEE Press 1998. ISBN 0-8186-8400-3.[Srinivasagam 03]Kannan Srinivasagam : “Exploring Memory-Interface Options”. EDN, pp. 67-68, 70. Decem-ber 11, 2003.[Stein 03]Mike Stein : “Crossing the abyss : asynchronous signals in a synchronous world”. EDN, pp. 59-69. July 24, 2003.[TIA 96]“TIA/EIA-423-B Electrical Characteristics of Unbalanced Voltage Digital Interface Circuits (ANSI/TIA/EIA-423-B-1996) (R2001)”. Telecommunications Industry Association. February 1996.[Zheng et al. 08]Hongzhong Zheng, Jiang Lin, Zhao Zhang, Eugene Gorbatov, Howard David and Zhichun Zhu : “Mini-Rank: Adaptive DRAM Architecture for Improving Memory Power Efficiency”. Proceedings of the 40th International Symposium on Microarchitecture, pp. 210-221. Sympo-sium Date: November 8-12, 2008. Symposium Location: Lake Como, Italy.[Zheng et al. 09]Hongzhong Zheng, Jiang Lin, Zhao Zhang and Zhichun Zhu : “Decoupled DIMM: Building High-Bandwidth Memory System using Low-Speed DRAM Devices”. ACM SIGARCH Com-puter Architecture News, Vol. 37, N° 3, pp. 255-266. June 2009.

Chapitre 4

Les mémoires vives statiques

[Ahrons et al. 70]Richard W. Ahrons and Stanley Katz : “Unbalanced Memory Cell”. United States (US) Patent N° 3493786. Filing Date: May 2, 1967. Publication Date: February 3, 1970.[Boudou and Doyle 87]Alain Boudou and Brian S. Doyle : “Hysteresis I-V Effects in Short-Channel Silicon MOS-FET's”. IEEE Electron Device Letters, Vol. 8, N° 7, pp. 300-302. July 1987.[Boudou et Doyle 91]Alain Boudou et Brian S. Doyle : “Mémoire vive statique du type MOS et son procédé de mémorisation”. Application Number: EP19870401534. Filing Date: July 1, 1987. Publication Date: September 25, 1991.[Burke and Michon 72]H. K. Burke and G. J. Michon : “Charge Pump Random Access Memory”. 1972 IEEE Interna-tional Solid-State Circuits Conference (ISSCC 1972). Digest of Technical Papers, Vol. XV, pp. 16-17, 208. February 1972.[Burleson et al. 98] Wayne P. Burleson, Maciej Ciesielski, Fabian Klass and Wentai Liu : “Wave-Pipelining: a Tutorial and Research Survey”. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 6, N° 3, pp. 464-474. September 1998.[Burns et al. 66]J. Burns, J. J. Gibson, A. Harel, K. C. Hu and R. A. Powlus : “Integrated Memory using Comple-mentary Field-Effect Transistors”. 1966 IEEE International Solid-State Circuits Conference (ISSCC 1966). Digest of Technical Papers, Vol. IX, pp. 118-119. February 1966.[Chappell et al. 91a]Terry I. Chappell, Barbara A. Chappell, Stanley E. Schuster, James W. Allan, Stephen P. Klepner, Rajiv V. Joshi and Robert L. Franch : “A 2ns Cycle, 4ns Access 512kb CMOS ECL SRAM”. 38th

IEEE International Solid-State Circuits Conference (ISSCC 1991). Digest of Technical Papers, pp. 50-51, 288. Conference Date: 13-15 February 1991.[Chappell et al. 91b]Terry I. Chappell, Barbara A. Chappell, Stanley E. Schuster, James W. Allan, Stephen P. Klepner, Rajiv V. Joshi and Robert L. Franch : “A 2-ns Cycle, 3.8-ns Access 512-kb CMOS ECL SRAM with a Fully Pipelined Architecture”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 26, N° 11 (Special Issue on Memory and Logic), pp. 1577-1585. November 1991.

Les mémoires vives statiques24

[Cho et al. 03a]Uk-Rae Cho, Tae-Hyoung Kim, Yong-Jin Yoon, Jong-Cheol Lee, Dae-Gi Bae, Nam-Seog Kim, Kang-Young Kim, Young-Jae Son, Jeong-Suk Yang, Kwon-Il Sohn, Sung-Tae Kim, In-Yeol Lee, Kwang-Jin Lee, Tae-Gyoung Kang, Su-Chul Kim, Kee-Sik Ahn and Hyun-Geun Byun : “A 1.2V 1.5Gb/s 72Mb DDR3 SRAM”. 2003 IEEE International Solid-State Circuits Conference (ISSCC 2003). Digest of Technical, paper 17.1, pp. 300-301, 494. 2003.[Cho et al. 03b]Uk-Rae Cho, Tae-Hyoung Kim, Yong-Jin Yoon, Jong-Cheol Lee, Dae-Gi Bae, Nam-Seog Kim, Kang-Young Kim, Young-Jae Son, Jeong-Suk Yang, Kwon-Il Sohn, Sung-Tae Kim, In-Yeol Lee, Kwang-Jin Lee, Tae-Gyoung Kang, Su-Chul Kim, Kee-Sik Ahn and Hyun-Geun Byun : “A 1.2-V 1.5-Gb/s 72-Mb DDR3 SRAM”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 38, N° 11, pp. 1943-1951. November 2003.[Cotten 69]L. W. Cotton : “Maximum-Rate Pipeline Systems”. Proceedings of the AFIPS (American Feder-ation of Information Processing Societies) Joint Computer Conference, pp. 581-586. Confer-ence Date: May 14-16, 1969.[Cypress 10]“NoBL™: The Fast SRAM Architecture Memory Technology”. Cypress Semiconductor Corpo-ration Application Note AN1090. September 9, 2010.[Darche 02]Philippe Darche : Architecture des ordinateurs - Fonctions booléennes, logiques combinatoire et séquentielle - Cours avec exercices et exemples en VHDL. Éditions Vuibert. Mars 2002. ISBN 2-7117-8688-9. 360 pages.[Darche 03]Philippe Darche : Architecture des ordinateurs - Interfaces et périphériques - Cours avec exercices corrigés”. Éditions Vuibert. Juin 2003. ISBN 2-7117-4814-6. 416 pages.[Darche 04]Philippe Darche : Architecture des ordinateurs - Logique booléenne : implémentations et technologies. Édi-tions Vuibert. Novembre 2004. ISBN 2-7117-4821-9. 284 pages.[Dipert 98]Brian Dipert : “SRAMs Strive to Specialize”. EDN, pp. 62-88. November 1998.[Ebel et al. 75]Mark Ebel, John Gionis and William Regitz : “A 4096-Bit High-Speed ECL Compatible RAM”. 1975 IEEE International Solid-State Circuits Conference (ISSCC 1975). Digest of Technical Papers, Vol. XVIII, pp. 104-105, 219. February 1975.[Fairchild 78]“Collection of Applications”. Semiconductor Circuit Applications. Fairchild 1978.[Flanagan 88]Stephen Flanagan : “Future Technology Trends for Static RAMs”. 1988 International Electron Devices Meeting (IEDM '88). Technical Digest, pp. 40-43. Meeting Date: 11-14 December 1988.[Friedrich 68a]Joseph H. Friedrich : “A Coincident-Select MOS Storage Array”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-3, N° 3, pp. 280-285. September 1968.[Friedrich 68b]Joseph H. Friedrich : “A Coincident-Select MOS Storage Array”. 1968 IEEE International Solid-State Circuits Conference (ISSCC 1968). Digest of Technical Papers, Vol. XI, pp. 104-105. February 1968.

Bibliographie 25

[Grosvalet 06]Françoise Grosvalet : “L’inéluctable déclin des mémoires SRAM”. Electronique International, p. 24. 2 Novembre 2006.[Hirose et al. 90]Toshihiko Hirose, Hirotada Kuriyama, Shuji Murakami, Kojiro Yuzuriha, Takao Mukai, Kazuhito Tsutsumi, Yasumasa Nishimura, Yoshio Kohno and Kenji Anami : “A 20-ns 4-Mb CMOS SRAM with Hierarchical Word Decoding Architecture”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 25, N° 5 (Special Issue on Logic and Memory), pp. 1068-1074. October 1990.[Hodges et al. 69]David A. Hodges, M. P. Lepselter, D. J. Lynes, R. W. MacDonald, A. U. Macrae and H. A. Waggener : “Low-Power Bipolar Transistor Memory Cells”. IEEE Journal of Solid-State Cir-cuits (JSSC), Vol. SC-4, N° 5, pp. 280-284. October 1969.[Hronik and Baumann 02]Stanley A. Hronik and Mark W. Baumann : “Quad Data Rate RAM”. United States (US) Patent N° 6381684. Filing Date: April 26, 1999. Publication Date: April 30, 2002.[IBM 97]“Understanding Static RAM Operation”. IBM Applications Note. March 97.[IEEE 92]IEEE Standard Terminology for Semiconductor Memory. IEEE Std. 662-1992. March 19, 1992. ISBN 1-55937-221-4.[IEEE 10]Special issue: Circuit Technology for Ultra-Low Power (ULP). Edited by Robert H. Reuss and Michael Fritze. Proceedings of the IEEE, Vol. 98, N° 2. February 2010.[IEEE Micro 97]“Advanced Memory Technology”. IEEE Micro, Vol. 17, N° 6. November/December 1997.[Igarashi et al. 66]R. Igarashi, T. Kurosawa and T. Yaita : “A 150-Nanosecond Associative Memory using Inte-grated MOS Transistors”. 1966 IEEE International Solid-State Circuits Conference (ISSCC 1966). Digest of Technical Papers, Vol. IX, pp. 104-105. February 1966.[Iizuka et al. 80]Tetsuya Iizuka, Kiyofumi Ochii, Takayuki Ohtani, Takeo Kondo and Susumu Koyhama : “Fully Static 16Kb Bulk CMOS RAM”. 1980 IEEE International Solid-State Circuits Conference (ISSCC 1980). Digest of Technical Papers, Vol. XXIII, pp. 226-227. February 1980.[Ikeda 03]Shuji Ikeda : “Technology for High-Density and High-Performance Static Random Access Memory”. Thesis of Earned Doctorate. 2003.[Intel 73]The Intel Memory Design Handbook. August 1973.[Intel 94]“Pentium Processor 3.3V Pipelined BSRAM Specification”. Version 1.2. Intel Corporation. October 5, 1994.[Intel 95]“Pentium Processor 3.3V Pipelined BSRAM Specification”. Version 2.0. Intel Corporation. May 25, 1995.Version électronique : 3678475.pdf.

Les mémoires vives statiques26

[Itoh 01] Kiyoo Itoh : VLSI Memory Chip Design. Series: Springer Series in Advanced Microelectronics, Vol. 5. Springer-Verlag Berlin and Heidelberg GmbH & Co. 2001. ISBN 978-3-540-67820-5.[ Jung et al. 04]Soon-Moon Jung, Jaehoon Jang, Wonseok Cho, Jaehwan Moon, Kunho Kwak, Bonghyun Choi, Byungjun Hwang, Hoon Lim, Jaehun Jeong, Jonghyuk Kim and Kinam Kim : “The Revolution-ary and Truly 3-Dimensional 25F2 SRAM Technology with the Smallest S3 (Stacked Single-Crys-tal Si) Cell, 0.16μm2, and SSTFT (Stacked Single-Crystal Thin Film Transistor) for Ultra High Density SRAM”. 2004 Symposium on VLSI Technology, 2004. Digest of Technical Papers, pp. 228-229. Symposium Date: 15-17 June 2004.[Kim et al. 02]D. H. Kim, S. J. Kim, B. J. Hwang, S. H. Seo, J. H. Choi, H. S. Lee, W. S. Yang, M. S. Kim, K. H. Kwak, J. Y. Lee, J. Y. Joo, J. H. Kim, K. Koh, S. H. Park and J. I. Hong : “Highly Manufacturable 32 Mb ULP-SRAM Technology by using Dual Gate Process for 1.5 V VCC Operation”. 2002 Symposium on VLSI Technology. Digest of Technical Papers, pp. 118-119. Symposium Date : 11-13 June 2002.[Kim et al. 05]C. H. Kim, Jae-Joon Kim, S. Mukhopadhyay and K. Roy : “A Forward Body-Biased Low-Leak-age SRAM Cache: Device, Circuit and Architecture Considerations”. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 13, N° 3, pp. 349-357. March 2005.[Kinugawa et al. 90]M. Kinugawa, M. Kakumu, T. Yoshida, T. Nakayama, S. Morita, K. Kubota, F. Matsuoka, H. Oyamatsu, K. Ochii and K. Maeguchi : “TFT (Thin Film Transistor) Cell Technology for 4 Mbit and More High Density SRAMs”. 1990 Symposium on VLSI Technology. Digest of Technical Papers, pp. 23-24. Conference Date: 4-7 June 1990.[Komatsu et al. 87]Takaaki Komatsu, Nobumichi Okazaki, Toshiyuki Nishihara, Shigeki Kayama, Naoya Hoshi, Jun-ichi Aaoyama and Takashi Shimada : “A 35ns 1Mb CMOS SRAM”. 1987 IEEE Interna-tional Solid-State Circuits Conference (ISSCC 1987). Digest of Technical Papers, Vol. XXX, pp. 258-259, 417. February 1987.[Konishi et al. 82]S. Konishi, J. Matsunaga, T. Ohtani, M. Sekine, M. Isobe, T. Iizuka, Y. Uchida and S. Kohyama : “A 64Kb CMOS RAM”. 1982 IEEE International Solid-State Circuits Conference (ISSC 1982). Digest of Technical Papers, Vol. XXV, pp. 258-259. February 1982.[Kuriyama et al. 96]H. Kuriyama, Y. Ishigaki, Y. Fujii, S. Maegawa, S. Maeda, S. Miyamoto, K. Tsutsumi and H. Miy-oshi : “A C-Switch Cell for Low-Voltage Operation and High-Density SRAMs”. 1996 Interna-tional Electron Devices Meeting (IEDM ’96). Technical Digest, pp. 279-282. Meeting Date: 8-11 December 1996.[Kuriyama et al. 98]H. Kuriyama et al. : “A C-Switch Cell for Low-Voltage and High Density SRAMs”. IEEE Trans-actions on Electron Devices, Vol. 45, N° 12, pp. 2483-2487. December 1998.[Lohstroh et al. 83]Jan Lohstroh, E. Seevinck and J. de Groot : “Worst-Case Static Noise Margin Criteria for Logic Circuits and Their Mathematical Equivalence”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-18, N° 6, pp. 803-807. December 1983.

Bibliographie 27

[Lynes and Hodges 70a]Dennis J. Lynes and David A. Hodges : “A Diode-Coupled Bipolar Transistor Memory Cell”. 1970 IEEE International Solid-State Circuits Conference (ISSCC 1970). Digest of Technical Papers, Vol. XIII, pp. 44-45. February 1970.[Lynes and Hodges 70b]Dennis J. Lynes and David A. Hodges : “Memory Using Diode-Coupled Bipolar Transistor Cells”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-5, N° 5, pp. 186-191. October 1970.[Lyon et Schediwy 87]R. F. Lyon and R. R. Schediwy : “CMOS Static Memory with a new Four-Transistor Memory Cell”. Proceedings of the Stanford Conference Advanced research in VLSI, pp. 111-131. March 1987.[Marr and Manning 01]Ken Marr and Montgomery H. Manning : “Static-Random-Access-Memory Cell”. United States (US) Patent N° 6172899. Application Number: 09/074952. Filing Date: May 08, 1998. Publica-tion Date: January 9, 2001.[Masuhara et al. 71a]T. Masuhara, M. Nagata and N. Hashimoto : “A High-Performance N-Channel MOS-LSI using Depletion-Type Load Elements”. 1971 IEEE International Solid-State Circuits Conference (ISSCC 1971). Digest of Technical Papers, Vol. XIV, pp. 12-13. February 1971.[Masuhara et al. 71b]Toshiaki Masuhara, Minoru Nagata and Norikazu Hashimoto : “A High-Performance n-channel MOS LSI using Depletion-Type Load Elements”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-7, N° 3, pp. 224-231. June 1972.[Masuoka et al. 00]S. Masuoka, K. Noda, S. Ito, K. Matsui, K. Imai, N. Yasuzato, H. Kawamoto, N. Ikezawa, K. Ando, S. Koyama, T. Tamura, Y. Yamada and T. Horiuchi : “A 0.99-μm2 Loadless Four-Transis-tor SRAM Cell in 0.13-μm Generation CMOS Technology”. 2000 Symposium on VLSI Tech-nology, 2000. Digest of Technical Papers, 164-165. Symposium Date: 13-15 June 2000.[Matsumiya et al. 92a]Masato Matsumiya, Shoichiro Kawashima, Makoto Sakata, Toru Miyabo, Toru Koga, Kazuo Itabashi, Kazuhiro Mizutani, Taiji Ema, Kazuhiro Toyoda, Takashi Yabu, Hiroshi Shimada, Noriyuki Suzuki and Masahiko Ookura : “A 15-ns 16 Mb CMOS SRAM with Reduced Voltage Amplitude Data Bus”. 39th IEEE International Solid-State Circuits Conference (ISSCC 1992). Digest of Technical Papers, pp. 214-215, 287. Meeting Date: 19-21 February 1992.[Matsumiya et al. 92b]Masato Matsumiya, Shoichiro Kawashima, Makoto Sakata, Masahiko Ookura, Toru Miyabo, Tom Koga, Kazuo Itabashi, Kazuhiro Mizutani, Hiroshi Shimada and Noriyuki Suzuki : “A 15-ns 16-Mb CMOS SRAM with Interdigitated Bit-Line Architecture”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 27, N° 11, pp. 1497-1503. November 1992.[McKenny 77]V. McKenny : “A 5 V-only 4-K Static RAM”. 1977 IEEE International Solid-State Circuits Con-ference (ISSCC 1977). Digest of Technical Papers, Vol. XX, pp. 16-17. February 1977.[Mick 98]John R. Mick : “Fully Synchronous Pipelined RAM”. United States (US) Patent 5828606. Appli-cation Number: 08/864289. Filing Date: May 28, 1997. Publication Date: October 27, 1998.[Microcomputer Digest 76]

Les mémoires vives statiques28

Microcomputer Digest, Vol. 2, N° 10, p. 12. April 1976.[Miyaji et al. 89a] Fumio Miyaji, Yasushi Matsuyama, Ihachi Naiki, Hiroshi Takahashi, Masayoshi Sasaki, Minoru Takeda, Yukiyasu Sugano, Yoshiaki Hagiwara, Kazuo Nishiyama, Toshiroh Tsumori, Kazuyoshi Kobayashi, Kohji Hirano and Takashi Shimada : “A 25ns 4Mb CMOS SRAM with Dynamic Bit Line Loads”. 36th IEEE International Solid-State Circuits Conference (ISSCC 1989). Digest of Technical Papers, pp. 250-251, 355. Conference Date: 15-17 February 1989.[Miyaji et al. 89b]Fumio Miyaji, Yasushi Matsuyama, Yoshikazu Kanaishi, Katsunori Senoh, Takashi Emori and Yoshiaki Hagiwara : “A 25-ns 4-Mbit CMOS SRAM with Dynamic Bit-Line Loads”. IEEE Jour-nal of Solid-State Circuits (JSSC), Vol. 24, N° 5, pp. 1213-1218. October 1989.[Mohan Rao et al. 78]G. R. Mohan Rao, Gerald R. Rogers and David J. Mcelroy : “Random Access Memory Cell with Ion Implanted Resistor Element”. United States (US) Patent N° 4070653. Filing Date: June 29, 1976. Publication Date: January 24, 1978.[Muller and Bartky 59]D. E. Muller and W. C. Bartky : “A Theory of Asynchronous Circuits”. The Annals of the Com-putation Laboratory of Harvard University, pp. 204-243. Harvard University Press. Cambridge, Massachusetts, 1959.[Muller 63]D. E. Muller : “Asynchronous Logics and Application to Information Processing”. In “Switch-ing Theory in Space Technology”, H. Aiken and W. F. Main, Editors. Stanford University Press 1963.[Nakamura et al. 92]K. Nakamura, T. Oguri, T. Atsumo, M. Takada, A. Ikemoto, H. Suzuki, T. Nishigori and T. Yamazaki : “A 6-ns ECL 100K I/O and 8-ns 3.3 V TTL I/O 4-Mb BiCMOS SRAM”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 27, N° 11 (Special Issue on Memory and Logic), pp. 1504-1510. November 1992.[Noda et al. 00]K. Noda, K. Matsui, S. Ito, S. Masuoka, H. Kawamoto, N. Ikezawa, K. Takeda, Y. Aimoto, N. Nakamura, H. Toyoshima, T. Iwasaki and T. Horiuchi : “An Ultra-High-Density High-Speed Loadless Four-Transistor SRAM Macro with a Dual-Layered Twisted Bit-Line and a Triple-Well Shield”. Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (CICC 2000), pp. 283-286. Conference Date: 21-24 May 2000.[Noda et al. 01a]Kenji Noda, Koichi Takeda, Koujirou Matsui, Shinya Ito, Sadaaki Masuoka, Hideaki Kawamoto, Nobuyuki Ikezawa, Yoshiharu Aimoto, Noritsugu Nakamura, Takahiro Iwasaki, Hideo Toyo-shima and Tadahiko Horiuchi : “An Ultrahigh-Density High-Speed Loadless Four-Transistor SRAM Macro with Twisted Bitline Architecture and Triple-Well Shield”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 36, N° 3, pp. 510-515. March 2001.[Noda et al. 01b]Kenji Noda, Koujirou Matsui, Koichi Takeda and Noritsugu Nakamura : “A Loadless CMOS Four-Transistor SRAM Cell in a 0.18-μm Logic Technology”. IEEE Transactions on Electron Devices, Vol. 48, N° 12, pp. 2851-2855. December 2001.[Ohbayashi et al. 92]Shigeki Ohbayashi, Toru Shiomi, Tadashi Sumi, Kimio Suzuki, Atsushi Ohba, Hiroki Honda, Yoshiyuki Ishigaki, Shiro Hine and Kenji Anami : “A 256-K Bi-CMOS ECL self-timed RAM using merged Bi-nMOS gates”. Electronics and Communications in Japan (Part II: Electronics),

Bibliographie 29

Vol. 75, N° 12, pp. 77-87. December 1992.[Ohzone et al. 80]Takashi Ohzone, Shuji Kondo, Kazuhiko Tsuji, Tsuyoshi Shiragasawa, Takeshi lshihara and Shiro Horiuchi : “A 64Kb Static RAM”. 1980 IEEE International Solid-State Circuits Confer-ence (ISSCC 1980). Digest of Technical Papers, Vol. XXIII, pp. 236-237. February 1980.[Pashley and McCormick 76]R. Pashley and G. McCormick : “A 70-ns 1K MOS RAM”. 1976 IEEE International Solid-State Circuits Conference (ISSCC 1976). Digest of Technical Papers, Vol. XIX, pp. 138-139. February 1976.[Prince 83 96]Betty Prince : Semiconductor Memories - A Handbook of Design, Manufacture, and Application. Second Edition. John Wiley & Sons, Ltd. England 1996. ISBN 0-471-92465-2.[Prince 99]Betty Prince : High Performance Memories - New Architecture DRAMs and SRAMs Evolution and Func-tion. Revised Edition. John Wiley & Sons, Ltd. New York, USA 1999. ISBN 0-471-98610-0.[Sakurai et al. 84]Takayasu Sakurai, Junichi Matsunaga, Mitsuo Isobe, Takayuki Ohtani, Kazuhiro Sawada, Akira Aono, Hirochi Nozawa, Tetsuya Iizuka and Susumu Kohyama : “A Low Power 46 ns 256 Kbit CMOS Static RAM with Dynamic Double Word Line”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-19, N° 5, pp. 578-585. October 1984.[Sharma 02a]Ashok K. Sharma : Semiconductor Memories: Technology, Testing, and Reliability. Wiley-IEEE Press. September 2002. ISBN 0-7803-1000-4.[Sharma 02b]Ashok K. Sharma : Advanced Semiconductor Memories: Architectures, Designs, and Applications. Wiley-IEEE Press. October 2002. ISBN 0-471-20813-2.[Schlageter et al. 76a]Jeffrey M. Schlageter, Nagab Jayakumar, Joseph H. Kroeger and Vahe Sarkissian : “A 4K Static 5-V RAM”. 1976 IEEE International Solid-State Circuits Conference (ISSCC 1976). Digest of Technical Papers, Vol. XIX, pp. 136-137. February 1976.[Schlageter et al. 76b]Jeffrey M. Schlageter, Nagab Jayakumar, Joseph H. Kroeger and Vahe Sarkissian : “Two 4K Static 5-V RAM's”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-11, N° 5, pp. 602-609. October 1976.[Schmidt 65]John D. Schmidt : “Integrated MOS Transistor Random Access Memory”. Solid State Design, Communications & Data Equipment, Vol. 6, N° 1, pp. 21-25. January 1965.[Seevinck et al. 87]E. Seevinck, F. J. List and J. Lohstroh : “Static-Noise Margin Analysis of MOS SRAM Cells”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-22, N° 5, pp. 748-754. October 1987.[Seevinck 90]Evert Seevinck : “A Current Sense-Amplifier for Fast CMOS SRAMs”. 1990 Symposium on VLSI Circuits. Digest of Technical Papers, pp. 71-72. Meeting Date: 7-9 June 1990.[Seki et al. 93]Teruo Seki, Eisaku Itoh, Chiaki Furukawa, Isamu Maeno, Tadashi Ozawa, Hiroyuki Sano and Noriyuki Suzuki : “A 6-ns 1-Mb CMOS SRAM with Latched Sense Amplifier”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 28, N° 4 (Special Issue on the 1992 VLSI Circuits Symposium),

Les mémoires vives statiques30

pp. 478-483. April 1993.[Singh and Nowick 01]Montek Singh and Steven M. Nowick : “MOUSETRAP: Ultra-High-Speed Transition-Signaling Asynchronous Pipelines”. Proceedings of the 2001 International Conference on Computer Design: VLSI in Computers & Processors (ICCD '01), pp. 9-17. Conference Date: September 23-26, 2001. Conference Location: Austin, Texas, United States of America (USA).[Singh and Nowick 05]Montek Singh and Steven M. Nowick : “Asynchronous Pipeline With Latch Controllers”. United States (US) Patent 6958627. Application Number: 10/380137. Filing Date: September 21, 2001. Publication Date: October 25, 2005.[Sinha et al. 03]Manoj Sinha, Steven Hsu, Atila Alvandpour, Wayne Burleson, Ram Krishnamurthy and Shekhar Borkar : “High-Performance and Low-Voltage Sense-Amplifier Techniques for Sub-90nm SRAM”. Proceedings of the 2003 IEEE International Systems-on-Chip (SOC) Conference, pp. 113-116. Conference Date: 17-20 September 2003.[Stewart and Dingwall 79]Roger G. Stewart and Andrew G. F. Dingwall : “16K CMOS/SOS Asynchronous Static RAM”. 1979 IEEE International Solid-State Circuits Conference (ISSCC 1979). Digest of Technical Papers, Vol. XXII, pp. 104-105. February 1979.[Sutherland 89 07]Ivan E. Sutherland : Micropipelines. Communications of the ACM (CACM), Vol. 32, N° 6, pp. 720-738. June 1989. Also in ACM Turing Award Lectures Book, p. 1988. 2007. ISBN: 0-201-0779X-X.[Takada et al. 90a]Masahide Takada, Kazuyuki Nakamura, Toshio Takeshima, Kouichirou Furuta, Tohru Yamazaki, Kiyotaka Imai, Susumu Ohi, Yumi Fukuda, Yukio Minato and Hisamitsu Kimoto : “A 5ns 1Mb ECL BiCMOS SRAM”. 37th IEEE International Solid-State Circuits Conference (ISSCC 1990). Digest of Technical Papers, pp. 138-139, 284. Meeting Date: 14-16 February 1990.[Takada et al. 90b]Masahide Takada, Kazuyuki Nakamura, Toshio Takeshima, Kouichirou Furuta, Tohru Yamazaki, Kiyotaka Imai, Susumu Ohi, Yumi Sekine, Yukio Minato and Hisamitsu Kimoto : “A 5-ns 1-Mb ECL BiCMOS SRAM”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 25, N° 5, pp. 1057-1062. October 1990.[Takeda et al. 00a]Koichi Takeda, Yoshiharu Aimoto, Noritsugu Nakamura, Hideo Toyoshima, Takahiro Iwasaki, Kenji Noda, Koujirou Matsui, Shinya Itoh, Sadaaki Masuoka, Tadahiko Horiuchi, Atsushi Nak-agawa, Kenju Shimogawa and Hiroyuki Takahashi : “A 16 Mb 400 MHz Loadless CMOS Four-Transistor SRAM Macro”. 2000 IEEE International Solid-State Circuits Conference (ISSCC 2000). Digest of Technical Papers, pp. 264-265. Conference Date: 7-9 February 2000.[Takeda et al. 00b]Koichi Takeda, Yoshiharu Aimoto, Noritsugu Nakamura, Hideo Toyoshima, Takahiro Iwasaki, Kenji Noda, Koujirou Matsui, Shinya Itoh, Sadaaki Masuoka, Tadahiko Horiuchi, Atsushi Nak-agawa, Kenju Shimogawa and Hiroyuki Takahashi : “A 16-Mb 400 MHz Loadless CMOS Four-Transistor SRAM Macro”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 35, N° 11, pp. 1631-1640. November 2000.[Tarui et al. 69]

Bibliographie 31

Yasuo Tarui, Yutaka Hayashi, Tadamasa Koyanagi, Hirohiko Yamamoto, Masamichi Shiraishi and Toshio Kurosawa : “ A 40-ns 144-bit n-channel MOS-LSI memory ”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-4, N° 1, pp. 271-279. February 1969.[TI 81]The TTL Data Book for Design Engineers. Second Edition. Texas Instruments 1981. ISBN 0-904047-27-X.[Ukita et al. 93]Motomu Ukita, Shuji Murakami, Tadato Yamagata, Hirotada Kuriyama, Yasumasa Nishimura and Kenji Anami : “A Single-Bit-Line Cross-Point Cell Activation (SCPA) Architecture for Ultra-Low-Power SRAM's”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 28, N° 11 (Special Issue on Memory and Logic), pp. 1114-1118. November 1993.[Wada 96]Tomohisa Wada : “Synchronous Random Access Memory”. United States (US) Patent N° 5515325. Filing Date: December 12, 1994. Publication Date: May 7, 1996.[Wong et al. 89a]Derek Wong, Giovannni De Micheli and Michael Flynn : “Designing High-Performance Digital Circuits Using Wave Pipelining”. Proceedings of the 1989 Very Large-Scale Integration (VSLI '89) Conference, pp. 241-252. August 1989. Conference Location: Munich, Germany.[Wong et al. 89b]Derek C. Wong, Giovannni De Micheli and Michael Flynn : “Inserting Active Delay Elements for Wave Pipelining”. Proceedings of the International Conference on Computer Aided Design (ICCAD), pp. 270-273. November 1989. Conference Location: Santa Clara, CA, United States of America (USA). Also CSL Report N° CSL-TR-89-386.[Wong et al. 93]Derek C. Wong, Giovannni De Micheli and Michael Flynn : “Designing High-Performance Dig-ital Circuits Using Wave Pipelining: Algorithms and Practical Experiences”. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 12, N° 1, pp. 25-46. Janu-ary 1993.[Wood and Ball 65]J. Wood and R. Ball : “The Use of Insulated-Gate Field-Effect Transistors in Digital Storage Sys-tems”. 1965 IEEE International Solid-State Circuits Conference (ISSCC 1965). Digest of Tech-nical Papers, Vol. VIII, pp. 82-83. February 1965.[Yamamoto et al. 69]H. Yamamoto, M. Shiraishi and T. Kurosawa : “A 40-ns, 144-bit N-channel MOS-IC Memory”. 1969 IEEE International Solid-State Circuits Conference (ISSCC 1969). Digest of Technical Papers, Vol. XII, pp. 40-41. February 1969.[Yamanaka et al. 88]T. Yamanaka, T. Hashimoto, N. Hashimoto, T. Nishida, A. Shimizu, K. Ishibashi, Y. Sakai, K. Shimohigashi and E. Takeda : “A 25 μm2, New Poly-Si PMOS load (PPL) SRAM cell Having Excellent Soft Error Immunity”. 1988 International Electron Devices Meeting (IEDM '88). Technical Digest, pp 48-51. December 1988.[Yoo 97]Hoi-Jun Yoo : “A Study of Pipeline Architectures for High-Speed Synchronous DRAMs”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 32, N° 10, pp. 1597-1603. October 1997.

Chapitre 5

Les mémoires vives dynamiques asynchrones

[Ahlquist et al. 76a]C. Norman Ahlquist, Joseph R. Breivogel, James T. Koo, John L. McCollum, William G. Old-ham and Alan L. Renninger : “A 16K Dynamic RAM”. 1976 IEEE International Solid-State Cir-cuits Conference (ISSCC 1976). Digest of Technical Papers, Vol. XIX, pp. 128-129. February 1976.[Ahlquist et al. 76b]C. Norman Ahlquist, Joseph R. Breivogel, James. T. Koo, John L. McCollum, William G. Old-ham and Alan L. Renninger : “A 16 384-bit Dynamic RAM”. IEEE Journal of Solid-State Cir-cuits (JSSC), Vol. SC-11, N° 5 (Special Issue on Semiconductor Memory and Logic), pp. 570-574. October 1976.[Aoki et al. 87]Masakazu Aoki, Yoshinobu Nakagome, Masahi Horiguchi, Shin'Ichi Ikenaga and Katsuhiro Shi-mohigashi : “A 16-Level/Cell Dynamic Memory”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-22, N° 2 (Special Issue on Technologies for Custom IC's), pp. 297-299. April 1987.[Aoki et al. 88]Masakazu Aoki, Yoshinobu Nakagome, Masashi Horiguchi, Hitoshi Tanaka, Shin'Ichi Ikenaga, Jun Etoh, Yoshifumi Kawamoto, Shin'Ichiro Kimura, Eiji Takeda, Hideo Sunami and Kiyoo Itoh : “A 60-ns 16-Mbit CMOS DRAM with a Transposed Data-Line Structure”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 23, N° 5 (Special Issue on Logic and Memory), pp. 1113-1119. October 1988.[Arimoto et al. 06]Kazutami Arimoto, Fukashi Morishita, Isamu Hayashi, Tetsushi Tanizaki, Takashi Ipposhi and Katsumi Dosaka : “A Scalable ET2RAM (SETRAM) with Verify Control for SoC Platform Memory IP on SOI”. Proceedings of the 2006 IEEE Custom Integrated Circuits Conference (CICC), pp. 429-432. Conference Date: 10-13 September 2006.[Arimoto et al. 07]Kazutami Arimoto, Fukashi Morishita, Isamu Hayashi, Takayuki Gyohten, Hideyuki Noda, Takashi Ipposhi and Katsumi Dosaka : “A Configurable Enhanced TTRAM Macro for System-Level Power Management Unified Memory”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 42, N° 4, pp. 853-861. April 2007.

Les mémoires vives dynamiques asynchrones34

[Baba et al. 83a]Fumio Baba, Hirohiko Mochizuki, Takashi Yabu, Kazunari Shirai and Kiyoshi Miyasaka : “A 35ns 64K Static Column DRAM”. 1983 IEEE International Solid-State Circuits Conference (ISSCC 1983). Digest of Technical Papers, Vol. XXVI, pp. 64-65. February 1983.[Baba et al. 83b]Fumio Baba, Hirohiko Mochizuki, Takashi Yabu, Kazunari Shirai and Kiyoshi Miyasaka : “A 64K DRAM with 35 ns Static Column Operation”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-18, N° 5, pp. 447-451. October 1983.[Bazes et al. 83]Mel Bazes, James Nadir, David Perlmutter, Beni Mantel and Omer Zak : “A Programmable NMOS DRAM Controller for Microcomputer Systems with Dual-Port Memory and Error Checking and Correction”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-18, N° 2, pp. 164-172. April 1983.[Boll et al. 76]H. Boll, E. Fuls, J. Nelson and L. Yau : “Automatic Refresh Dynamic Memory”. 1976 IEEE International Solid-State Circuits Conference (ISSCC 1976). Digest of Technical Papers, Vol. XIX, pp. 132-133. February 1976.[Brugler and Jespers 69]J. S. Brugler and P. G. A. Jespers : “Charge Pumping in MOS Devices”. IEEE Transactions on Electron Devices, Vol. 16, N° 3, pp. 297-302. March 1969.[Burke and Michon 72]H. Burke and G. J. Michon : “Charge Pump Random-Access Memory”. 1972 IEEE Interna-tional Solid-State Circuits Conference (ISSCC 1972). Digest of Technical Papers, Vol. XV, pp. 16-17. February 1972.[Cand et al. 86]M. Cand, E. Demoulin, J.-L. Lardy et P. Senn : Conception des circuits intégrés MOS - éléments de base - perspectives. Éditions Eyrolles et CNET-ENST, Paris, 1986.[Cenker et al. 79a]Ronald P. Cenker, Donald G. Clemons, William R. Huber, Joseph B. Petrizzi, Frank J. Procyk and George M. Trout : “A Fault-Tolerant 64K Dynamic RAM”. 1979 IEEE International Solid-State Circuits Conference (ISSCC 1979). Digest of Technical Papers, Vol. XXII, pp. 150-151, 290. February 1979.[Cenker et al. 79b]Ronald P. Cenker, Donald G. Clemons, William R. Huber, Joseph B. Petrizzi, Frank J. Procyk and George M. Trout : “A Fault-Tolerant 64K Dynamic Random-Access Memory”. IEEE Transactions on Electron Devices, Vol. ED-26, N° 6, pp. 853-860. June 1979.[Darche 00]Philippe Darche : Architecture des ordinateurs - Représentation des nombres et codes - Cours avec exercices corrigés. Collection Support IUT. Éditions Gaëtan Morin. Novembre 2000. ISBN 2-910749-49-5. 388 pages.[Darche 02]Philippe Darche : Architecture des ordinateurs - Fonctions booléennes, logiques combinatoire et séquentielle - Cours avec exercices et exemples en VHDL. Éditions Vuibert. Mars 2002. ISBN 2-7117-8688-9. 360 pages.[Darche 03]Philippe Darche : Architecture des ordinateurs - Interfaces et périphériques - Cours avec exercices corrigés. Éditions Vuibert. Juin 2003. ISBN 2-7117-4814-6. 416 pages.

Bibliographie 35

[Darche 04]Philippe Darche : Architecture des ordinateurs - Logique booléenne : implémentations et technologies. Édi-tions Vuibert. Novembre 2004. ISBN 2-7117-4821-9. 284 pages.[Dennard 68]Robert H. Dennard : “Field-Effect Transistor Memory”. United States (US) Patent N° 3387286. Filing Date: July 14, 1967. Publication Date: June 4, 1968.[Eaton et al. 81]Sargent Sheffield Eaton, David R. Wooten, W. Slemmer and J. Brady : “A 100ns 64K Dynamic RAM using Redundancy Techniques”. 1981 IEEE International Solid-State Circuits Conference (ISSCC 1981). Digest of Technical Papers, Vol. XXIV, pp. 84-85. February 1981.[Eaton and Wooten 82]Sargent Sheffield Eaton, Jr. and David R. Wooten : “High Speed Transfer for a Semiconductor Memory”. United States (US) Patent N° 4344156. Filing Date: October 10, 1980. Publication Date: August 10, 1982.[Elpida Memory 05] “How to Use SDRAM”. User's Manual. Document No. E0123N60 (Version 6.0). Elpida Mem-ory, Inc. 2001-2005 et NEC Corporation 1998.[Ema et al. 88]T. Ema, S. Kawanago, T. Nishi, S. Yoshida, H. Nishibe, T. Yabu, Y. Kodama, T. Nakano and M. Taguchi : “3-Dimensional Stacked Capacitor Cell for 16M and 64M DRAMs”. 1988 Interna-tional Electron Devices Meeting (IEDM ’88). Technical Digest, pp. 592-595. Meeting Date : 11-14 December 1988.[Emma et al. 08]Philip G. Emma, William R. Reohr and Mesut Meterelliyoz : “Rethinking Refresh: Increasing Availability and Reducing Power in DRAM for Cache Applications”. IEEE Micro, Vol. 28, N° 6, pp. 47-56. November-Decembre 2008.[Engeler et al. 72]William E. Engeler, Jerome J. Tiemann and Richard D. Baertsch : “A Surface-Charge Random-Access Memory System”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-7, N° 5 (Special Issue on Semiconductor Memories and Digital Circuits), pp. 330-335. October 1972.[Fazan et al. 02]P. Fazan, S. Okhonin, M. Nagoga, J. M. Sallese, L. Portmann, R. Ferrant, M. Kayal, M. Pastre, M. Blagojevic, A. Borschberg and M. Declercq : “Capacitor-Less 1-Transistor DRAM”. Proceed-ings of the 2002 IEEE International SOI Conference, pp. 10-13. 2002.[Foss and Harland 75]Richard C. Foss and Robert Harland : “Peripheral Circuits for One Transistor Cell MOS RAMs”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-10, N° 5 (Special Issue on Semi-conductor Memory and Logic), pp. 255-261. October 1975.[Fujii et al. 89a]Syuso Fujii, Masaki Ogihara, Mitsuru Shimizu, Munehiro Yoshida, Kenji Numata, Takahiko Hara, Shigeyoshi Watanabe, Shizuo Sawada, Tomohisa Mizuno, Junpei Kumagai, Susumu Yoshi-kawa, Seiji Kaki, Yoshikazu Saito, Hideaki Aochi, Takeshi Hamamoto and Ko-ichi Toita : “A 45ns 16Mb DRAM with Triple-Well Structure”. 36th IEEE International Solid-State Circuits Conference (ISSCC 1989). Digest of Technical Papers, pp. 248-249. February 1989.[Fujii et al. 89b]Syuso Fujii, Masaki Ogihara, Mitsuru Shimizu, Munehiro Yoshida, Kenji Numata, Takahiko Hara, Shigeyoshi Watanabe, Shizuo Sawada, Tomohisa Mizuno, Junpei Kumagai, Susumu Yoshi-

Les mémoires vives dynamiques asynchrones36

kawa, Seiji Kaki, Yoshikazu Saito, Hideaki Aochi, Takeshi Hamamoto and Ko-ichi Toita : “A 45-ns 16-Mbit Dram With Triple-well Structure”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 24, N° 5 (Special Issue on Logic and Memory), pp. 1170-1175. 1989.[Furuyama et al. 89]T. Furuyama, T. Ohsawa, Y. Nagahama, H. Tanaka, Y. Watanabe, T. Kimura, K. Muraoka and K. Natori : “An Experimental 2-bit/Cell Storage DRAM for Macrocell or Memory-on-Logic Appli-cation”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 24, N° 2 (Special Issue on Technolo-gies for Custom IC's), pp. 388-393. April 1989.[Gillingham 96]P. Gillingham : “A Sense and Restore Technique for Multilevel DRAM”. IEEE Transactions on Circuits and Systems, Part II: Express Briefs, Vol. 43, N° 7, pp. 483-486. July 1996.[Goodman and Chiang 84]James Goodman and Men-chow Chiang : “The Use of Static Column RAM as a Memory Hier-archy”. ACM SIGARCH Computer Architecture News, Vol. 12, Issue 3, pp 167-174. June 1984.[Hamamoto and Ohsawa 08]Takeshi Hamamoto and Takashi Ohsawa : “Overview and Future Challenges of Floating Body RAM (FBRAM) Technology for 32 nm Technology Node and Beyond”. Proceedings of the 38th

European Solid-State Device Research Conference (ESSDERC 2008), pp. 25-29. Conference Date : 15-19 September 2008. Conference Location: Edinburgh, Scotland, United Kingdom.[Hamamoto and Ohsawa 09]Takeshi Hamamoto and Takashi Ohsawa : “Overview and future challenges of floating body RAM (FBRAM) technology for 32 nm technology node and beyond”. Papers Selected from the 38th European Solid-State Device Research Conference (ESSDERC '08) [Hamamoto and Ohsawa 08]. Solid-State Electronics, Vol. 53, N° 7, pp. 676-683. July 2009.[Hardee et al. 91]Kim C. Hardee, David B. Chapman and Juan Pineda : “Dynamic Random Access Memory”. United States (US) Patent N° 5077693. Filing Date: August 1, 1990. Publication Date: Decem-ber 31, 1991.[Heald and Hodges 76]Raymond A. Heald and David A. Hodges : “Multilevel Random-Access Memory Using One Transistor Per Cell”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-11, N° 4 (Special Issue on Technology and Processing for Integrated Circuits), pp. 519-528. August 1976.[Heller 73]Lawrence Griffith Heller : “Stored Charge Detection by Charge Transfer”. United States (US) Patent 3764906. Application Number: 05/185604. Filing Date: October 1, 1971. Publication Date: October 9, 1973.[Heller 79]Lawrence Griffith Heller : “Cross-Coupled Charge-Transfer Sense Amplifier”. 1979 IEEE International Solid-State Circuits Conference (ISSCC 1979). Digest of Technical Papers, Vol. XXII, pp. 20-21. February 1979. See also [Heller and Spampinato 77].[Heller and Spampinato 77]Lawrence Griffith Heller and Dominic Patrick Spampinato : “Cross-Coupled Charge Transfer Sense Amplifier Circuits ”. United States (US) N° 4039861. Application Number: 05/656677. Filing Date : February 2, 1976. Publication Date : August 2, 1977. See also [Heller 79].[Heller et al. 75]Lawrence Griffith Heller, Dominic P. Spampinato and Ying Luh Yao : “High-Sensitivity Charge-Transfer Sense Amplifier”. 1975 IEEE International Solid-State Circuits Conference (ISSCC 1975). Digest of Technical Papers, Vol. XVIII, pp. 112-113. 1975.

Bibliographie 37

[Heller et al. 76]Lawrence Griffith Heller, Dominic P. Spampinato and Ying Luh Yao : “ High Sensitivity Charge-Transfer Sense Amplifier ”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-11, N° 5 (Special Issue on Semiconductor Memory and Logic), pp. 596-601. October 1976.[Hidaka et al. 90a]Hideto Hidaka, Yoshio Matsuda, Mikio Asakura and Kazuyasu Fujishima : “The Cache DRAM Architecture: A DRAM with an On-Chip Cache Memory”. IEEE Micro, Vol. 10, N° 2, pp. 14-25. March 1990.[Hidaka et al. 90b]Hideto Hidaka, Yoshio Matsuda and Kazuyasu Fujishima : “A Divided/Shared Bitline Sensing Scheme for 64Mb DRAM Core”. 1990 Symposium on VLSI Circuits, 1990. Digest of Technical Papers, pp. 15-16. Symposium Date: 7-9 June 1990. Symposium Location: Location: Honolulu, HI, United States of America (USA).[Hidaka et al. 91]Hideto Hidaka, Yoshio Matsuda and Kazuyasu Fujishima : “A Divided/Shared Bit-Line Sensing Scheme for ULSI DRAM Cores”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 26, N° 4 (Special Issue on 1990 VLSI Circuits Symposium), pp. 473-478. April 1991.[Holt 52]W. Holt : “An Experimental Rapid Access Memory Using Diodes and Capacitors”. Proceedings of the 1952 ACM National Meeting, pp. 133-141. Meeting Location: Toronto, Ontario, Canada. 1952.[Horiguchi 97]Masashi Horiguchi : “Redundancy Techniques for High-Density DRAMs”. Proceedings of the Second Annual IEEE International Conference on Innovative Systems in Silicon, pp. 22-29. Conference Date: 8-10 October 1997. Conference Location: Austin, TX, United States of America (USA).[Inoh et al. 03]K. Inoh, T. Shino, H. Yamada, H. Nakajima, Y. Minami, T. Yamada, T. Ohsawa, T. Higashi, K. Fujita, T. Ikehashi, T. Kajiyama, Y. Fukuzumi, T. Hamamoto and H. Ishiuchi : “FBC (Floating Body Cell) for Embedded DRAM on SOI”. 2003 Symposium on VLSI Technology. Digest of Technical Papers, pp. 63-64. 2003.[Inoue et al. 88]Michihiro Inoue, Toshio Yamada, Hisakazu Kotani, Hiroyuki Yamauchi, Atsushi Fujiwara, Junko Matsushima, Hironori Akamatsu, Masanori Fukumoto, Masafumi Kubota, Ichiro Nakao, Nobuo Aoi, Genshu Fuse, Shin-Ichi Ogawa, Shinji Odanaka, Atsushi Ueno and Hiroshi Yama-moto : “A 16-Mbit DRAM with a Relaxed Sense-Amplifier-Pitch Open-Bit-Line Architecture”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 23, N° 5 (Special Issue on Logic and Memory), pp. 1104-1112. October 1988.[Intel 73]The Intel Memory Design Handbook. August 1973.[Itoh 77]Kiyoo Itoh : “Semiconductor Memory”. United States (US) Patent 4044340. Filing Date: December 29, 1975. Publication Date: August 23, 1977.[Itoh 01] Kiyoo Itoh : VLSI Memory Chip Design. Series: Springer Series in Advanced Microelectronics, Vol. 5. Springer-Verlag Berlin and Heidelberg GmbH & Co. 2001. ISBN 978-3-540-67820-5.

Les mémoires vives dynamiques asynchrones38

[Itoh et al. 80]Kiyoo Itoh, Ryoichi Hori, Hiroo Masuda and Yoshiaki Kamigaki : “A Single 5V 64K Dynamic RAM”. 1980 IEEE International Solid-State Circuits Conference (ISSCC 1980). Digest of Tech-nical Papers, Vol. XXIII, pp. 228-229. February 1980.[ JEDEC 03]“Configurations For Solid Sate Memories”. JEDEC Standard N° 21-C. (JESD21-C). JEDEC Solid State Technology Association. May 2003.[Kaga et al. 94]Toru Kaga : “Device Miniaturization and Lithography in Giga-Bit-Era DRAMs”. Journal of Photopolymer Science and Technology (TAPJ), Vol. 7, N° 3, pp. 407-416. 1994.[Karp and Reed 77]Joel Allen Karp and John Anthony Reed : “Interlaced Memory Matrix Array Having Single Transistor Cells”. United States (US) Patent N° 4025907. Filing Date: July 10, 1975. Publication Date: May 24, 1977.[Keeth and Baker 00]Brent Keeth and Russel Jacob Baker : DRAM Circuit Design: A Tutorial. Wiley-IEEE Press Pub-lisher 2000. ISBN 0-7803-6014-1.[Keeth et al. 07]Brent Keeth, Russel Jacob Baker, Brian Johnson and Feng Lin : DRAM Circuit Design: Fundamen-tal and High-Speed Topics. IEEE Press Series on Microelectronic Systems. Wiley-IEEE Press Pub-lisher 2007. ISBN 978-0-470-18475-2.[Kim et al. 10]Tae Kim, Charles L. Ingalls, David Pinney and Howard Kirsch : “Low Voltage Sense Amplifier and Sensing Method”. United States (US) Patent Application 20100061158. Application Num-ber: 12/621394. Filing Date: November 18, 2009. Publication Date: March 11, 2010.[Koyanagi and Sato 79]Mitsumasa Koyanagi and Kikuji Sato : “Semiconductor Memory Device”. United States (US) Patent N° 4151607. Filing Date: July 5, 1977. Publication Date: April 24, 1979.[Koyanagi et al. 78]Mitsumasa Koyanagi, H. Sunami, N. Hashimoto and M. Ashikawa : “Novel High Density, Stacked Capacitor MOS RAM”. 1978 International Electron Devices Meeting (IEDM '78). Technical Digest, Vol. 24, pp. 348-351. December 1978.[Koyanagi 08]Mitsumasa Koyanagi : “The Stacked Capacitor DRAM Cell and Three-Dimensional Memory”. IEEE Solid-State Circuits Society (SSCS) Newsletter, Vol. 13, N° 1, pp. 37-41. Winter 2008.[Kuo et al. 73]C. Kuo, N. Kitagawa, E. Ward and P. Drayer : “Sense Amplifier Design is Key to One-Transistor Cell in 4096 bit RAM”. Electronics, Vol. 46, pp. 116-121. September 1973.[Lu and Chao 84]Nicky Chau Lu and Hu H. Chao : “Half-VDD Bit-Line Sensing Scheme in CMOS DRAMs”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-19, N° 4, pp. 451-454. August 1984.[Lu et al. 85]Nicky Chau-Chun Lu, Peter E. Cottrell, William J. Craig, S. Dash, Dale L. Critchlow, Rick L. Mohler, Brian J. Machesney, Tak H. Ning, Wendell P. Noble, Richard M. Parent, Roy E. Scheuer-lein, Edmund J. Sprogis and Lewis M. Terman : “The SPT Cell - A New Substrate-Plate Trench Cell for DRAMs”. 1985 International Electron Devices Meeting (IEDM '85). Technical Digest, Vol. 31, pp. 771-772. 1985.

Bibliographie 39

[Lu et al. 86]Nicky Chau-Chun Lu, Peter E. Cottrell, William J. Craig, S. Dash, Dale L. Critchlow, Rick L. Mohler, Brian J. Machesney, Tak H. Ning, Wendell P. Noble, Richard M. Parent, Roy E. Scheuer-lein, Edmund J. Sprogis and Lewis M. Terman : “A Substrate-Plate Trench-Capacitor (SPT) Memory Cell for Dynamic RAM's”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-21, N° 5 (Special Issue on Logic and Memory), pp. 627-634. October 1986.[Malinge et al. 05]P. Malinge, P. Candelier, F. Jacquet, S. Martin, R. Ranica, A. Villaret, P. Mazoyer, R. Fournel and B. Allard : “An 8Mbit DRAM Design Using a 1 Tbulk Cell”. 2005 Symposium on VLSI Circuits. Digest of Technical Papers, pp. 358-361. 2005.[Martino and Croxon 72]W. Martino and B. F. Croxon : “The Inverting Cell Concept for MOS Dynamic RAMs”. 1972 IEEE International Solid-State Circuits Conference (ISSCC 1972). Digest of Technical Papers, Vol. XV, pp. 12-13. February 1972.[Masuda et al. 80]Hiroo Masuda, Ryoichi Hori, Yoshiaki Kamigaki, Kiyoo Itoh, Hiroshi Kawamoto and Hisao Katto : “A 5 V-Only 64K Dynamic RAM Based on High S/N Design”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-15, N° 5 (Special Issue on Digital Circuits), pp. 846-854. October 1980.[Masuda et al. 81]Hiroo Masuda, Yoshiaki Kamigaki, Katsuhiro Shimohigashi, Norizaku Hashimoto and Eisuke Arai : “An N-Well CMOS RAM for High Density Dynamic Memory”. 1981 Symposium on VLSI Technology. Digest of Technical Papers, pp. 56-57. 1981.[Masuoka 83]Fujio Masuoka : “Three Level Poly Dynamic Ram with Poly Bit Lines”. United States (US) Pat-ent N° 4419682. Filing Date: January 23, 1981. Publication Date: December 6, 1983.[Matsumiya et al. 02]Masato Matsumiya, Shinya Fujioka, Kimiaki Satoh and Toru Miyabo : “DRAM for Storing Data in Pairs of Cells”. United States (US) Patent N° 6344990. Application Number: 09/652015. Fill-ing Date: July 31, 2000. Publication Date: February 5, 2002.[May and Woods 78]Timothy C. May and Murray H. Woods : “A New Physic Mechanism for Soft Errors in Dynamic Memories”. Proceedings of the 16th Annual Reliability Physics Symposium, pp. 33-40. Confer-ence April 18-20, 1978.[May and Woods 79]Timothy C. May and Murray H. Woods : “Alpha-Particule-Induced Soft Errors in Dynamic Memories”. IEEE Transactions on Electron Devices, Vol. ED-26, N° 1, pp. 2-9. January 1979.[Mori et al. 96]Shigeru Mori, Tomio Suzuki and Masanori Hayashikoshi : “Semiconductor Memory Device Having Extended Data Out Function”. Application Number: 08/345758. United States (US) Patent N° 5532961. Filing Date: November 22, 1994, 1989. Publication Date: July 2, 1996.[Morishita et al. 05]Fukashi Morishita, Hideyuki Noda, Takayuki Gyohten, Mako Okamoto, Takashi Ipposhi, Shigeto Maegawa, Katsumi Dosaka and Kazutami Arimoto : “Capacitorless Twin-Transistor Random Access Memory (TTRAM) on SOI”. Proceedings of the IEEE 2005 Custom Inte-grated Circuits Conference, pp. 435-438. Conference Date: 18-21 September 2005.

Les mémoires vives dynamiques asynchrones40

[Morishita et al. 06]Fukashi Morishita, Isamu Hayashi, Takayuki Gyohten, Hideyuki Noda, Takashi Ipposhi, Hiroki Shimano, Katsumi Dosaka and Kazutami Arimoto : “A Configurable Enhanced T2RAM Macro for System-Level Power Management Unified Memory”. 2006 Symposium on VLSI Circuits. Digest of Technical Papers, pp. 182-183. June 2006.[Nagoga et al. 05]M. Nagoga, S. Okhonin, C. Bassin, P. Fazan, W. Xiong, C. R. Cleavelin, T. Schulz, K. Schruefer, M. Gostkowski, P. Patruno and C. Maleville : “Retention characteristics of Zero-Capacitor RAM (Z-RAM) Cell Based on FinFET and Tri-Gate Devices”. Proceedings of the 2005 IEEE Inter-national SOI Conference, pp. 203-204. Conference Date: 3-6 October 2005.[Nakagome et al. 90]Yoshinobu Nakagome, Yoshifumi Kawamoto, Hitoshi Tanaka, Kan Takeuchi, Eiji Kume, Yasu-shi Watanabe, Toru Kaga, Fumio Murai, Ryuichi Izawa, Digh Hisamoto, Teruaki Kisu, Takashi Nishida, Eiji Takeda and Kiyoo Itoh : “A 1.5-V Circuit Technology for 64Mb DRAMs”. 1990 Symposium on VLSI Circuits. Digest of Technical Papers, pp. 17-18. Symposium Date : 7-9 June 1990.[Nakagome et al. 91]Yoshinobu Nakagome, Hitoshi Tanaka, Kan Takeuchi, Eiji Kume, Yasushi Watanabe, Toru Kaga, Yoshifumi Kawamoto, Fumio Murai, Ryuichi Izawa, Digh Hisamoto, Teruaki Kisu, Takashi Nishida, Eiji Takeda and Kiyoo Itoh : “An experimental 1.5-V 64-Mb DRAM”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 26, N° 4, pp. 465-472. April 1991.[Neal and Poteet 92]Joseph H. Neal and Kenneth A. Poteet : “Memory Module Arranged for Data and Parity Bits”. Application Number: 415074. United States (US) Patent N° 5089993. Filing Date: September 29, 1989. Publication Date: February 18, 1992.[Ohsawa et al. 02a]Takashi Ohsawa, Katsuyuki Fujita, Tomoki Higashi, Yoshihisa Iwata, Takeshi Kajiyama, Yoshi-yuki Asao and Kazumasa Sunouchi : “Memory Design Using a One-Transistor Gain Cell on SOI”. 2002 IEEE International Solid-State Circuits Conference (ISSCC 2002). Digest of Tech-nical Papers, Vol. 2, pp. 114-115, 425-426. February 3-7, 2002.[Ohsawa et al. 02b] Takashi Ohsawa, Katsuyuki Fujita, Tomoki Higashi, Yoshihisa Iwata, Takeshi Kajiyama, Yoshi-yuki Asao and Kazumasa Sunouchi : “Memory Design Using a One-Transistor Gain Cell on SOI”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 37, N° 11, pp. 1510-1522. November 2002.[Okhonin et al. 01]S. Okhonin, M. Nagoga, J. M. Sallese and P. Fazan : “A SOI Capacitor-Less 1T-DRAM Con-cept”. Proceedings of the 2001 IEEE International SOI Conference, pp. 153-154. 2001.[Okhonin et al. 02]S. Okhonin, M. Nagoga, J. M. Sallese and P. Fazan : “ A Capacitor-Less 1T-DRAM Cell”. IEEE Electron Device Letters, Vol. 23, N° 2, pp. 85-87. February 2002.[Okuda et al. 97]T. Okuda and T. Murotani : “A Four-Level Storage of 4-Gb DRAM”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 32, N° 11 (Special Issue on the 1997 ISSCC: Digital, Memory, and Signal Processing), pp. 1743-1747. November 1997.[Oowaki et al. 91]Yukihito Oowaki, Kenji Tsuchida, Yohji Watanabe, Daisaburo Takashima, Masako Ohta, Hiroaki Nakano, Shigeyoshi Watanabe, Akihiro Nitayama, Fumio Horiguchi, Kazunori Ohuchi

Bibliographie 41

and Fujio Masuoka : “A 33-ns 64-Mb DRAM”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 26, N° 11 (Special Issue on Memory and Logic), pp. 1498-1505. November 1991.[Osabe et al. 00]Taro Osabe, Tomoyuki Ishii, Toshiyuki Mine, Fumio Murai and Kazuo Yano : “A Single-Elec-tron Shut-Off Transistor for a Scalable Sub-0.1-µm Memory”. 2000 International Electron Devices Meeting (IEDM '00). Technical Digest, pp. 301-304. Meeting Date: 10-13 December 2000.[Palfi 71]Thomas L. Palfi : “Field Effect Transistor Capacitor Storage Cell”. United States (US) Patent N° 3585613. Filling Date: August 27, 1969. Publication Date: June 15, 1971.[Parke 97]Stephen A. Parke : “Optimization of DRAM Sense Amplifiers for the Gigabit Era”. Proceed-ings of the 40th Midwest Symposium on Circuits and Systems, Vol. 1, pp. 209-212. 1997.[Pinkham 87]Raymond Pinkham : “Separately Addressable Memory Arrays in a Multiple Array Semiconduc-tor Chip”. United States (US) Patent 4636986. Application Number: 06/693421. Filing Date: January 22, 1985. Publication Date: January 13, 1987.[Ranica et al. 04a]Rosella Ranica, Alexandre Villaret, Pierre Malinge, Pascale Mazoyer, D. Lenoble, P. Candelier, F. Jacquet, Pascal Masson, R. Bouchakour, R. Fournel, J. P. Schoellkopf and Thomas Skotnicki : “A One Transistor Cell on Bulk Substrate (1T-Bulk) for Low-Cost and High Density eDRAM”. 2004 Symposium on VLSI Technology. Digest of Technical Papers, pp. 128-129. 2004.[Ranica et al. 04b]Rosella Ranica, Alexandre Villaret, C. Fenouillet-Beranger, Pierre Malinge, P. Mazoyer, Pascal Masson, D. Delille, C. Charbuillet, P. Candelier and Thomas Skotnicki : “ A Capacitor-Less DRAM Cell on 75nm Gate Length, 16nm Thin Fully Depleted SOI Device for High Density Embedded Memories”. 2004 IEEE International Electron Devices Meeting (IEDM ’04). Tech-nical Digest, pp. 277-280. Meeting Date : 13-15 December 2004.[Ranica et al. 05]Rosella Ranica, Alexandre Villaret, Pierre Malinge, P. Candelier, Pascal Masson, R. Bouchakour, Pascale Mazoyer and Thomas Skotnicki : “ Modelling of the 1T-Bulk Capacitor-Less DRAM Cell with Improved Performances: The way to Scaling”. Solid-State Electronics, Vol. 49, N° 11, pp. 1759-1766, November 2005[Regitz and Karp 70a]William M. Regitz and Joel A. Karp : “A Three-Transistor-Cell, 1024-Bit, 500 ns MOS RAM”. 1970 IEEE International Solid-State Circuits Conference (ISSCC 1970). Digest of Technical Papers, Vol. XIII, pp. 42-43. February 1970.[Regitz and Karp 70b]William M. Regitz and Joel A. Karp. : “Three-Transistor-Cell 1024-bit 500-ns MOS RAM”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-5, N° 5 (Special Issue on Semiconductor Memories and Optoelectronics), pp 181-186. October 1970.[Regitz et al. 72]Joel A. Karp, William M. Regitz and Sunlin Chou : “A 4096-Bit Dynamic MOS RAM”. 1972 IEEE International Solid-State Circuits Conference (ISSCC 1972). Digest of Technical Papers, Vol. XV, pp. 10-11. February 1972.[Richardson et al. 85]W. F. Richardson, D. M. Bordelon, G. P. Pollack, A. H. Shah, S. D. S. Malhi, H. Shichijo, S. K. Banerjee, M. Elahy, R. H. Womack, C.-P. Wang, J. Gallia, H. E. Davis and P. K. Chatterjee : “A

Les mémoires vives dynamiques asynchrones42

Trench Transistor Cross-Point DRAM Cell”. 1985 International Electron Devices Meeting (IEDM '85). Technical Digest, Vol. 31, pp. 714-717. 1985.[Rideout 79]V. Leo Rideout : “One Device Cells for Dynamic Random-Access Memories: A Tutorial”. IEEE Transactions on Electron Devices, Vol. 26, N° 6, pp. 839-852. June 1979.[Rung et al. 82]R. D. Rung, H. Momose and Y. Nagakubo : “Deep Trench Isolated CMOS Devices”. 1982 International Electron Devices Meeting (IEDM '82). Technical Digest, Vol. 28, pp. 237-240. Meeting Date: December 9-12, 1982.[Ryu and Han 99]Je Hun Ryu and Jong Hee Han : “Write Driver Circuit with Write-per-bit Data Masking Func-tion”. United States (US) Patent 5907519. Application Number: 08/963804. Filing Date: April 11, 1997. Publication Date: May 25, 1999.[Ryu et al. 09]Seong-Wan Ryu, Jin-Woo Han, Chung-Jin Kim, Sungho Kim and Yang-Kyu Choi: “ Unified Random Access Memory (URAM) by Integration of a Nanocrystal Floating Gate for Nonvola-tile Memory and a Partially Depleted Floating Body for Capacitorless 1T-DRAM”. Solid-State Electronics, Vol. 53, N° 3, pp. 389-391. March 2009.[Saeki et al. 91]Takanori Saeki et al. : “A Study of Close Packed Folded Bit-Line Cell Array (CPF) and Three Phase Folded Bit-Line Array/Circuit for DRAM's”. Proceedings of the IEICE (the Institute of Electronics, Information and Communication Engineers) Spring National Convention Record, Vol. C-665, p. 256. March 1991.[Saito et al. 85]Shozo Saito, Syuso Fujii, Yoshio Okada, Shizuo Sawada, Satoshi Shinozaki, Kenji Natori and Osamu Ozawa : “A 1-Mbit CMOS DRAM with Fast Page Mode and Static Column Mode”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-20, N° 5 (Special Issue on Logic and Mem-ory), pp. 903-908. October 1985.[Sakamoto et al. 85]M. Sakamoto, T. Katoh, H. Abiko, T. Shimizu, H. Mikoshiba, Y. Hokari, K. Hamano and K. Kobayashi : “Buried Storage Electrode (BSE) Cell for Megabit DRAMs”. 1985 International Electron Devices Meeting (IEDM '85). Technical Digest, Vol. 31, pp. 710-713. 1985.[Sakata et al. 94]Takeshi Sakata, Kiyoo Itoh, Masashi Horiguchi and Masakazu Aoki : “Two-Dimensional Power-Line Selection Scheme for Low Subthreshold-Current Multi-Gigabit DRAM's”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 29, N° 8, pp. 887-894. August 1994.[Savage 10]Neil Savage : “Z-RAM takes on DRAM”. IEEE Spectrum, Vol. 47, N° 7, p. 14. July 2010.[Schroeder and Proebsting 77]Paul R. Schroeder and Robert J. Proebsting : “A 16K 3 1 Bit Dynamic RAM”. 1977 IEEE Inter-national Solid-State Circuits Conference (ISSCC 1977). Digest of Technical Papers, pp. 12-13. Conference Date: February 16-18, 1977.[Shimohigashi et al. 80] Katsuhiro Shimohigashi, Hiroo Masuda, Yoshiaki Kamigaki, Kiyoo Itoh, Norizaku Hashimoto and Mamoru Kondo : “An n-well CMOS Dynamic RAM”. 1980 IEEE Electron Devices Meet-ing (IEDM '80). Technical Digest, Vol. 26, pp. 835-836. December 1980.

Bibliographie 43

[Shimohigashi et al. 82a]Katsuhiro Shimohigashi, Hiroo Masuda, Yoshiaki Kamigaki, Kiyoo Itoh, Norizaku Hashimoto and Eisuke Arai : “An n-Well CMOS Dynamic RAM”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-17, N° 2, pp. 344-348. April 1982.[Shimohigashi et al. 82b] Katsuhiro Shimohigashi, Hiroo Masuda, Yoshiaki Kamigaki, Kiyoo Itoh, Norizaku Hashimoto and Eisuke Arai : “An n-Well CMOS Dynamic RAM”. IEEE Transactions on Electron Devices, Vol. ED-29, N° 4, pp. 714-718. April 1982.[Shino et al. 04]Tomoak Shino, Tomoki Higashi, Naoki Kusunoki, Katsuyuki Fujita, Takashi Ohsawa, Nobu-toshi Aoki, Hiroyoshi Tanimoto, Yoshihiro Minami, Takashi Yamada, Mutsuo Morikado, Hiroomi Nakajima, Kazumi Inoh, Takeshi Hamamoto and Akihiro Nitayama : “Fully-Depleted FBC (Floating Body Cell) with Enlarged Signal Window and Excellent Logic process Compati-bility”. 2004 IEEE International Electron Devices Meeting (IEDM ’04). Technical Digest, pp. 281-284. Meeting Date: 13-15 December 2004.[Slemmer 70]W. C. Slemmer : “A High-Speed, Low-Power, Strobed Comparator”. 1970 IEEE International Solid-State Circuits Conference (ISSCC 1970). Digest of Technical Papers, Vol. XIII, pp. 70-71. February 1970.[Stein et al. 72a]Karl U. Stein, Aarne Sihling and Andelko Doering : “Storage Array with Sense/Refresh Circuit for Single-Transistor Memory Cells”. 1972 IEEE International Solid-State Circuits Conference (ISSCC 1972). Digest of Technical Papers, Vol. XV, pp. 56-57. February 1972.[Stein et al. 72b]Karl U. Stein, Aarne Sihling and Andelko Doering : “Storage Array and Sense/Refresh Circuit for Single-Transistor Memory Cells”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-7, N° 5, p. 336-340. October 1972.[Sugibayashi et al. 93]Tadahiko Sugibayashi, Toshio Takeshima, Isao Naritake, Tatsuya Matano, Hiroshi Takada, Yoshiharu Aimoto, Koichiro Furuta, Mamoru Fujita, Takanori Saeki, Hiroshi Sugawara, Tatsu-nori Murotani, Naoki Kasai, Kentaro Shibahara, Ken Nakajima, Hiromitsu Hada, Takehiko Hamada, Naoaki Aizaki, Takemitsu Kunio, Eiichiro Kakehashi, Katsuhiro Masumori and Takaho Tanigawa : “A 30-ns 256-Mb DRAM with a Multidivided Array Structure”. IEEE Jour-nal of Solid-State Circuits (JSSC), Vol. 28, N° 11 (Special Issue on Memory and Logic), pp. 1092-1098. November 1993.[Suma et al. 94]K. Suma, T. Tsuruda, H. Hidaka, T. Eimori, T. Oashi, Y. Yamaguchi, T. Iwamatsu, M. Hirose, K. Fujishima, Y. Inoue, T. Nishimura and T. Yoshihara : “An SOI-DRAM with Wide Operating Voltage Range by CMOS/SIMOX Technology”. 41st IEEE International Solid-State Circuits Conference (ISSCC 1994). Digest of Technical Papers, pp. 138-139. Conference Date: 16-18 February 1994.[Sunami et al. 82]H. Sunami, T. Kure, N. Hashimoto, K. Itoh, T. Toyabe and S. Asai : “A Corrugated Capacitor (CCC) for Megabit Dynamic MOS Memories”. 1982 International Electron Devices Meeting (IEDM '82). Technical Digest, Vol. 28, pp. 806-808. 1982.[Sunami 08]Hideo Sunami : “The Role of the Trench Capacitor in DRAM Innovation”. IEEE Solid-State Circuits Society (SSCS) Newsletter, Vol. 13, N° 1, pp. 42-44. Winter 2008.

Les mémoires vives dynamiques asynchrones44

[Takashima et al. 94]Daisaburo Takashima, Shigeyoshi Watanabe, Hiroaki Nakano, Yukihito Oowaki and Kazunori Ohuchi : “Open/Folded Bit-Line Arrangement for Ultra-High Density DRAM's”. IEEE Jour-nal of Solid-State Circuits (JSSC), Vol. 29, N° 4 (Special Issue on the 1993 VLSI Circuits Sympo-sium), pp. 539-542. April 1994.[Tanigawa et al. 94]T. Tanigawa, A. Yoshino, H. Koga and S. Ohya : “Enhancement of Data Retention Time for Giga-bit DRAMs using SIMOX Technology”. 1994 Symposium on VLSI Technology. Digest of Technical Papers, pp. 37-38. Conference Date: 7-9 June 1994.[Taylor and Johnson 85a]Ronald T. Taylor and Mark G. Johnson : “A 1Mb CMOS DRAM with a Divided Bitline Matrix Architecture”. 1985 IEEE International Solid-State Circuits Conference (ISSCC 1985). Digest of Technical Papers, Vol. XXVIII, pp. 242-243. February 1985.[Taylor and Johnson 85b]Ronald T. Taylor and Mark G. Johnson : “A 1-Mbit CMOS Dynamic RAM with a Divided Bit-line Matrix Architecture ”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-20, N° 5 (Spe-cial Issue on Logic and Memory), pp. 894-902. October 1985.[Terman et al. 81]Lewis M. Terman, Yen S. Yee, Richard B. Merrill, Lawrence G. Heller and Michael B. Pettigrew : “CCD Memory Using Multilevel Storage”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-16, N° 5 (Special Issue on Digital Circuits), pp. 472-478. October 1981.[Trick 99]Steven G. Trick : “Memory Module with Flexible Serial Presence Detect Configuration”. United States (US) Patent N° 5995405. Filing Date: October 27, 1998. Publication Date: November 30, 1999.[Tsukikawa and Ito 05]Yasuhiko Tsukikawa and Takashi Ito : “Semiconductor Memory Device Having Twin-Cell Units”. United States (US) Patent N° 6903961. Application Number: 10/606240. Filling Date: June 26, 2003. Publication Date: June 7, 2005.[Wada et al. 84]M. Wada, K. Hieda and S. Watanabe : “A Folded Capacitor Cell (F.C.C.) for Future Megabit DRAMs”. 1984 International Electron Devices Meeting (IEDM '84). Technical Digest, Vol. 30, pp. 244-247. 1984.[Wakamiya et al. 89]W. Wakamiya, Y. Tanaka, H. Kimura, H. Miyatake and S. Satoh : “Novel Stacked Capacitor Cell for 64 Mb DRAM”. Proceedings of the 1989 Symposium on VLSI Technology, pp. 69-70, pp. 117-118 (japanese). 1989.[Watanabe et al. 89]Shigeyoshi Watanabe, Yukihito Oowaki, Yasuo Itoh, Koji Sakui, Kenji Numata, Tsuneaki Fuse, Takayuki Kobayashi, Kenji Tsuchida, Masahiko Chiba, Takahiko Hara, Masako Ohta, Fumio Horiguchi, Katsuhiko Hieda, Akihiro Mitayama, Takeshi Hamamoto, Kazunori Ohuchi and Fujio Masuoka : “An Experimental 16-Mbit CMOS DRAM Chip with a 100-MHz Serial Read/Write Mode”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 24, N° 3 (Special Issue on the Fourteenth European Solid-State Circuits Conference), pp. 763-770. June 1989.[Watanabe et al. 92]H. Watanabe, T. Tatsumi, S. Ohnishi, T. Hamada, I. Honma and T. Kikkawa : “A New Cylindri-cal Capacitor using Hemispherical Grained Si (HSG-Si) for 256Mb DRAMs”. 1992 Interna-tional Electron Devices Meeting (IEDM '92). Technical Digest, pp. 259-262. Meeting Date: 13-16 December 1992.

Bibliographie 45

[White Jr. and Rao 80]Lionel S. White Jr. and G. R. Mohan Rao : “On-chip Refresh for Dynamic Memory”. United States (US) Patent N° 4207618. Filing Date: June 26, 1978. Publication Date: June 10, 1980.[Xiang et al. 01]Yunan Xiang, Bruce F. Cockburn and Duncan G. Elliott : “Design of a Multilevel DRAM with Adjustable Cell Capacity”. 2001 Canadian Conference on Electrical and Computer Engineering, Vol. 1, pp. 295-300. 2001.[Yamada et al. 78]Michihiro Yamada, Kazuyasu Fujishima, Koichi Nagasawa and Yoshimi Gamou : “A New Mul-tilevel Storage Structure for High Density CCD Memory”. IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-13, N° 5 (Special Issue on Semiconductor Memory and Logic), pp. 688-693. October 1978.[Yamaguchi and Inoue 95]Y. Yamaguchi and Y. Inoue : “SOI DRAM: Its Features and Possibility”. Proceedings of the 1995 IEEE International SOI Conference, pp. 122-124. Conference Date: 3-5 October 1995.[Yoshihara et al. 88]T. Yoshihara, H. Hidaka, Y Matsuda and K. Fujishima : “A Twisted Bit-Line Technique for Multi-Mb DRAMs”. 1988 IEEE International Solid-State Circuits Conference (ISSCC 1988). Digest of Technical Papers, pp. 238-239. Conference Date: February 17-19, 1988.[Yoshimoto et al. 83]Masahiko Yoshimoto, Kenji Anami, Hirofumi Shinohara, Tsutomu Yoshihara, Hiroshi Takagi, Shigeo Nagao, Shinpei Kayano and Takao Nakano : “A 64 Kb Full CMOS RAM With Divided Word Line Structure”. 1983 IEEE International Solid-State Circuits Conference (ISSCC 1983). Digest of Technical Papers, Vol. XXVI, pp. 58-59. February 1983.

Chapitre 6

Les mémoires vives dynamiques synchrones

[Amer and Takefman 10]Maher Amer and Michael Lewis Takefman : “Load Reduction Dual In-Line Memory Module (LRDIMM) and Method for Programming the Same”. United States (US) Patent Application 20100070690. Application Number: 12/559185. Filing Date: September 14, 2009. Publication Date: March 18, 2010.[Berke 11]Stuart Allen Berke : “Information Handling System Memory Module Optimization”. United States (US) Patent Application 20110082971. Application Number: 12/574229. Filing Date: October 6, 2009. Publication Date: April 7, 2011.[Choi et al. 94]Yunho Choi, Myungho Kim, Hyunsoon Jang, Taejin Kim, Seung-hoon Lee, Ho-cheol Lee, Chu-roo Park, Siyeol Lee, Cheol-soo Kim, Sooin Cho, Ejaz Haq, Joel Karp and Daeje Chin : “16-Mb Synchronous DRAM with 125-Mbyte/s Data Rate”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 29, N° 4, pp. 529-533. April 1994.[Darche 02]Philippe Darche : Architecture des ordinateurs - Fonctions booléennes, logiques combinatoire et séquentielle - Cours avec exercices et exemples en VHDL. Éditions Vuibert. Mars 2002. ISBN 2-7117-8688-9. 360 pages.[Darche 04]Philippe Darche : “Architecture des ordinateurs - Logique booléenne : implémentations et technologies. Édi-tions Vuibert. Novembre 2004. ISBN 2-7117-4821-9. 284 pages.[Davis et al. 00]Brian Davis, Bruce L. Jacob and Trevor N. Mudge : “The New DRAM Interfaces: SDRAM, RDRAM and Variants”. Proceedings of the Third International Symposium on High Performance Comput-ing. Lecture Notes In Computer Science (LNCS), Vol. 1940, pp. 26-31. 2000. ISBN 3-540-41128-3.[Davis 01]Brian Thomas Davis : “Modern DRAM Architectures”. PhD in Computer Science and Engi-neering. University of Michigan. 2001.[Elpida Memory 05]“How to use DDR SDRAM”. User's Manual. Document N° E0234E40 (Version 4.0). Septem-ber 2005. Elpida Memory, Inc..

Les mémoires vives dynamiques synchrones48

[Fan et al. 01]Xiaobo Fan, Carla S. Ellis and Alvin R. Lebeck : “Memory Controller Policies for DRAM Power Management”. Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED '01), pp. 129-134. Symposium Date: August 6-7, 2001. Symposium Location: Huntington Beach, California, United States of America (USA).[Fung and Wang 91]Michael G. Fung and Justin Wang : “Page Interleaved Memory Access”. United States (US) Pat-ent 5051889. Application Number: 07/490023. Filing Date: March 07, 1990. Publication Date: September 24, 1991.[Ganesh et al. 07]Brinda Ganesh, Aamer Jaleel, David Wang and Bruce Jacob : Fully-Buffered DIMM Memory Architectures: Understanding Mechanisms, Overheads and Scaling. Proceedings of the 13th

International Symposium on High-Performance Computer Architecture (HPCA '07). February 2007.[Gervasi 07]Bill Gervasi : “DDR3 Memory Modules”. Slides. USModular. April 2007.[Hardee et al. 91]Kim C. Hardee, David B. Chapman and Juan Pineda : “Dynamic Random Access Memory”. United States (US) Patent N° 5077693. Filing Date: August 1, 1990. Publication Date: Decem-ber 31, 1991.[Intel 73]The Intel Memory Design Handbook. August 1973.[Intel 98]PC SDRAM Specification. Revision 1.63. Intel. October 1998.[Intel 99]PC SDRAM Specification. Revision 1.7. Intel. November 1999.[Intel 04]Intel® 925X/925XE Express Chipset Datasheet. Document Number: 301464-003. Intel. November 2004.[ JEDEC 00]“Double Data Rate (DDR) SDRAM Specification”. JEDEC Standard JESD79. JEDEC Solid State Technology Association. June 2000.[ JEDEC 03]“DDR2 SDRAM Specification”. JEDEC Standard JESD79-2. JEDEC Solid State Technology Association. September 2003.[ JEDEC 04a]“Double Data Rate (DDR) SDRAM Specification (Revision of JESD79C)”. JEDEC Standard JESD79D. JEDEC Solid State Technology Association. January 2004.[ JEDEC 04b]“DDR2 SDRAM Specification”. JEDEC Standard JESD79-2A (Revision of JESD79-2). JEDEC Solid State Technology Association. January 2004.[ JEDEC 05a]“Double Data Rate (DDR) SDRAM Specification (Revision of JESD79D)”. JEDEC Standard JESD79E. JEDEC Solid State Technology Association. May 2005.[ JEDEC 05b]“DDR2 SDRAM Specification”. JEDEC Standard JESD79-2B (Revision of JESD79-2A). JEDEC Solid State Technology Association. January 2005.

Bibliographie 49

[ JEDEC 07a]“FBDIMM: Architecture and Protocol”. JEDEC Standard JESD206. JEDEC Solid State Tech-nology Association. January 2007.[ JEDEC 07b]FBDIMM Specification: DDR2 SDRAM Fully Buffered DIMM (FBDIMM) Design Specifica-tion. JEDEC Standard JESD205. JEDEC Solid State Technology Association. March 2007.[ JEDEC 07c]“DDR3 SDRAM Standard”. JEDEC Standard JESD79-3. JEDEC Solid State Technology Association. June 2007.[ JEDEC 08]“DDR3 SDRAM”. JEDEC Standard JESD79-3C (Revision of JESD79-3B). JEDEC Solid State Technology Association. November 2008.[ JEDEC 09a]“Low Power Double Data Rate (LPDDR) SDRAM Standard”. JEDEC Solid State Technology Association. JEDEC Standard N° JESD209A (Revision of JESD209, August 2007). February 2009.[ JEDEC 09b]“3.6.3 - Low Power Double Data Rate (LPDDR) Non-Volatile Memory (NVM) - 256 Mb (16Mx16) through 256 Gb (16Gx16)”. JEDEC Solid State Technology Association. JEDEC Standard N° 21C, Release 18A. February 2009.[ JEDEC 09c]“Addendum No. 1 to JESD209A - Low Low Power Double Data Rate (LPDDR) SDRAM, 1.2 V I/O”. JEDEC Solid State Technology Association. JEDEC Standard N° JESD209A-1 (Addendum No. 1 to JESD209A, February 2009). March 2009.[ JEDEC 09d]“Low Power Double Data Rate 2 (LPDDR2) SDRAM Standard”. JEDEC Solid State Technol-ogy Association. JEDEC Standard N° JESD209-2A (Revision of JESD209-2, March 2009). October 2009.[ JEDEC 09e]“FBDIMM: Advanced Memory Buffer (AMB)”. JEDEC Standard JESD82-20A (Revision of JESD82-20, March 2007). JEDEC Solid State Technology Association. March 2009.[ JEDEC 10]“DDR3 SDRAM Specification”. JEDEC Standard JESD79-3E (Revision of JESD79-3D, August 2009). JEDEC Solid State Technology Association. July 2010.[Keeth et al. 07]Brent Keeth, Russel Jacob Baker, Brian Johnson and Feng Lin : DRAM Circuit Design: Fundamen-tal and High-Speed Topics. IEEE Press Series on Microelectronic Systems. Wiley-IEEE Press Pub-lisher 2007. ISBN 978-0-470-18475-2.[Kushiyama et al. 92]Natsuki Kushiyama, Shigeo Ohshima, Donald C. Stark, Kiyofumi Sakurai, Satoru Takase, Tohru Furuyuma, Richard M. Barth, John Dillon, James A. Gasbarro, Matthew M. Griffin, Mark Horowitz, Victor Lee, Winston K. M. Lee and Wingyu Leung : “500 Mbyte/sec Data-Rate 512Kbits x 9 DRAM Using a Novel I/O Interface”. Proceedings of the Symposium on VLSI Circuit, pp. 66-67. Symposium Date: 4-6 June 1992.[Kushiyama et al. 93]Natsuki Kushiyama, Shigeo Ohshima, Don Stark, Hiroyuki Noji, Kiyofumi Sakurai, Satoru Takase, Tohru Furuyama, Richard M. Barth, Andy Chan, John Dillon, James A. Gasbarro, Mat-

Les mémoires vives dynamiques synchrones50

thew M. Griffin, Mark Horowitz, Thomas H. Lee and Victor Lee : “A 500-Megabyte/s Data-Rate 4.5 M DRAM”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 28, N° 4 (Special Issue on the 1992 VLSI Circuits Symposium), pp. 490-498. April 1993.[Lai 06]Jiin Lai : “Memory Controller for Supporting a Plurality of Different Memory Accesse Modes”. United States (US) Patent N° 7032092. Filing Date: September 6, 2002. Publication Date: April 18, 2006.[Lin et al. 01]Wei-fen Lin, Steven K. Reinhardt and Doug Burger : “Reducing DRAM Latencies with an Inte-grated Memory Hierarchy Design”. Proceedings of the 7th International Symposium on High-Performance Computer Architecture (HPCA '01), pp. 301-312. Symposium Date: January 19-24, 2001. Symposium Location: Monterrey, Mexico.[Micron 05]“Designing for High-Density DDR2 Memory”. Technical Note TN-47-16. Rev. B. Micron Technology, Inc. 2005.[Micron 08]“DDR3 Termination Data Strobe (TDQS)”. Technical Note TN-41-06. Rev. A. Micron Tech-nology, Inc. 2008. March 19, 2008.[Nasr 05]Rami Marwan Nasr : “FBsim and the Fully Buffered DIMM Memory System Architecture”. Master of Science Thesis of the University of Maryland, College Park. 2005.[Ohshima and Watanabe 02]Shigeo Ohshima and Nobuo Watanabe : “Fast Cycle RAM and Data Readout Method There-for”. United States (US) Patent N° 6,426,915. Filing Date: December 27, 2000. Publication Date: July 30, 2002.[Park et al. 05]Churoo Park, HoeJu Chung, Yun-Sang Lee, Jae-Kwan Kim, Jae-Jun Lee, Moo-Sung Chae, Dae-Hee Jung, Sung-Ho Choi, Seung-young Seo, Taek-Seon Park, Jun-Ho Shin, Jin-Hyung Cho, Seunghoon Lee, Kyu-hyoun Kim, Jung-Bae Lee, Changhyun Kim and Soo-In Cho : “A 512Mbit, 1.6Gbps/pin DDR3 SDRAM Prototype with CIO Minimization and Self-Calibration Tech-niques”. 2005 Symposium on VLSI Circuits. Digest of Technical Papers, pp. 370-373. Sympo-sium Date: 16-18 June 2005.[Park et al. 06]Churoo (Chul-Woo) Park, HoeJu Chung, Yun-Sang Lee, Jaekwan Kim, JaeJun Lee, Moo-Sung Chae, Dae-Hee Jung, Sung-Ho Choi, Seung-young Seo, Taek-Seon Park, Jun-Ho Shin, Jin-Hyung Cho, Seunghoon Lee, Ki-Whan Song, Kyu-Hyoun Kim, Jung-Bae Lee, Changhyun Kim and Soo-In Cho : “A 512-Mb DDR3 SDRAM Prototype with CIO Minimization and Self-Cali-bration Techniques”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 41, N° 4, pp. 831-838. April 2006.[Przybylski 96]S. Przybylski : “New DRAM Technologies: A Comprehensive Analysis of the New Architec-tures”. Micro Design Resources, Sebastopol CA. 1996.[Rau 91]B. Ramakrishna Rau : “Pseudo-Randomly Interleaved Memory”. ACM SIGARCH Computer Architecture News, Vol. 19, N° 3, pp. 74-83. May 1991. Proceedings of the 18th Annual Interna-tional Symposium on Computer Architecture (ISCA '91), pp. 74-83. 1991. Symposium Location: Toronto, Ontario, Canada.

Bibliographie 51

[Rixner et al. 00]Scott Rixner, William J. Dally, Ujval J. Kapasi, Peter Mattson and John D. Owens : “Memory Access Scheduling”. Special Issue: ACM SIGARCH Computer Architecture News: Proceedings of the 27th Annual International Symposium on Computer Architecture (ISCA '00), Vol. 28, N° 2, pp. 128-138. May 2000.[Rokicki 96]Tomas Rokicki : “Indexing Memory Banks to Maximize Page Mode Hit Percentage and Mini-mize Memory Latency”. Technical Report HPL-96-95 (R.1). Hewlett-Packard Computer Sys-tems Laboratory. June 10, 1996.[Shao and Davis 05]Jun Shao and Brian T. Davis : “The Bit-reversal SDRAM Address Mapping”. Proceedings of the 2005 Workshop on Software and Compilers for Embedded Systems (SCOPES '05), pp. 62-71. Workshop Date: September 29-October 1, 2005. Workshop Location: Dallas, Texas, United States of America (USA).[Shao and Davis 07]Jun Shao and Brian T. Davis : “A Burst Scheduling Access Reordering Mechanism”. Proceedings of the 13th International Symposium on High-Performance Computer Architecture (HPCA '07). Symposium Date: Date: 10-14 February 2007.[Stern 01]Richard H. Stern : “More Standardization Skullduggery”. IEEE Micro, Vol. 21, N° 4, pp. 12-15, 69. July/August 2001.[Takai et al. 93]Y. Takai, M. Nagase, M. Kitamura, Y. Koshikawa, N. Yoshida, Y. Kobayashi, T. Obara, Y. Fukuzo and H. Watanabe : “250 Mbyte/sec Synchronous DRAM using a 3-Stage-Pipelined Architecture”. 1993 Symposium on VLSI Circuit. Digest of Technical Papers, pp. 59-60. Sympo-sium Date: 19-21 May 1993.[Takasugi 94]Atsushi Takasugi : “Synchronous Dynamic Random Access Memory”. United States (US) Pat-ent N° 5287327. Filing Date: November 14, 1991. Publication Date: February 15, 1994.[Vogt 04]Pete Vogt : “Fully Buffered DIMM (FB-DIMM) Server Memory Architecture: Capacity, Perfor-mance, Reliability, and Longevity”. Intel Developer Forum (IDF) Presentation. February 18, 2004.[Wong 04]Adrian Wong : Breaking Through the BIOS Barrier : The Definitive BIOS Optimization Guide for PCs. Prentice Hall PTR 2004. ISBN 0-13-145536-2.[Xu et al. 09]Ying Xu, Aabhas S. Agarwal and Brian T. Davis : “Prediction in Dynamic SDRAM Controller Policies”. Proceedings of the 9th International Workshop on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS 2009). Symposium Date: July 20-23, 2009. Symposium Location: Samos, Greece. In Lecture Notes in Computer Science (LNCS), Vol. 5657, pp. 128-138, Koen Bertels, Nikitas Dimopoulos, Cristina Silvano and Stephan Wong Edi-tors. Springer Verlag. Berlin 2009.[Yeh and Patt 91]Tse-Yu Yeh and Yale N. Patt : “Two-Level Adaptive Branch Prediction”. Proceedings of the 24th

Annual ACM/IEEE International Symposium and Workshop on Microarchitecture, pp. 51-61. November 1991.

Les mémoires vives dynamiques synchrones52

[Yoo 97]Hoi-Jun Yoo : “A Study of Pipeline Architectures for High-Speed Synchronous DRAMs”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 32, N° 10, pp. 1597-1603. October 1997.[Yoo et al. 04a]Changsik Yoo, Kye-Hyun Kyung, G-H. Han, Kyunam Lim, Hi-Choon Lee, Joon-Wan Chai, Nak-Won Heo, G. Byun, Dong-Jin Lee, H-I. Choi, H-C. Choi, Chang-Hyun Kim and S. Cho : “A 1.8V 700Mb/s/pin 512Mb DDR-II SDRAM with On-Die Termination and Off-Chip Driver Calibration”. 2003 IEEE International Solid-State Circuits Conference (ISSCC 2003). Digest of Technical Papers, Paper 17.7. 15 pages. 2003.[Yoo et al. 04b]Changsik Yoo, Kye-Hyun Kyung, Kyunam Lim, Hi-Choon Lee, Joon-Wan Chai, Nak-Won Heo, Dong-Jin Lee and Chang-Hyun Kim : “ A 1.8-V 700-Mb/s/pin 512-Mb DDR-II SDRAM with On-Die Termination and Off-Chip Driver Calibration”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 39, N° 6, pp. 941-951. June 2004.[Zhang et al. 00]Zhao Zhang, Zhichun Zhu and Xiaodong Zhang : “A Permutation-based Page Interleaving Scheme to Reduce Row-Buffer Conflicts and Exploit Data Locality”. Proceedings of the 33rd

Annual ACM/IEEE International Symposium on Microarchitecture (MICRO 33), pp. 32-41. Symposium Date: December 10-13, 2000.

Chapitre 7

Les mémoires vives dynamiques à communication par paquet

[Crisp 96]Richard Crisp : “High Bandwidth RDRAM Technology Reduces System Cost”. COMPCON ´96, Forty-First IEEE Computer Society International Conference: Technologies for the Infor-mation Superhighway. Digest of Papers, pp. 365-377. Meeting Date: 25-28 February 1996. Meet-ing Location: Santa Clara, CA, United States of America (USA).[Crisp 97]Richard Crisp : “Direct Rambus Technology: The New Main Memory Standard”. IEEE Micro, Vol. 17, N° 6, pp. 18-28. November/December 1997.[Crisp et al. 97]Richard Crisp, Kevin Donnelly, Alfredo Moncayo, Don Perino and Jared Zerbe : “Development of Single-Chip Multi-GB/s DRAMs”. 44th IEEE International Solid-State Circuits Conference (ISSCC 1997). Digest of Technical Papers, pp. 226-227, 461. Conference Date: February 6-8, 1997.[Darche 00]Philippe Darche : Architecture des ordinateurs - Représentation des nombres et codes - Cours avec exercices corrigés. Collection Support IUT. Éditions Gaëtan Morin. Novembre 2000. ISBN 2-910749-49-5. 388 pages.[Darche 02]Philippe Darche : Architecture des ordinateurs - Fonctions booléennes, logiques combinatoire et séquentielle - Cours avec exercices et exemples en VHDL. Éditions Vuibert. Mars 2002. ISBN 2-7117-8688-9. 360 pages.[Darche 03]Philippe Darche : Architecture des ordinateurs - Interfaces et périphériques - Cours avec exercices corrigés. Éditions Vuibert. Juin 2003. ISBN 2-7117-4814-6. 416 pages.[Darche 04]Philippe Darche : Architecture des ordinateurs - Logique booléenne : implémentations et technologies. Édi-tions Vuibert. Novembre 2004. ISBN 2-7117-4821-9. 284 pages.

Les mémoires vives dynamiques à communication par paquet54

[Dennis 78]Jack B. Dennis : “Packet Memory System for Processing Many Independent Memory Transac-tions Concurrently”. United States (US) Patent N° 4130885. Filing Date: August 19, 1976. Pub-lication Date: December 19, 1978.[Diamond 96]Stephen L. Diamond : “SyncLink: High-Speed DRAM for the Future”. IEEE Micro, Vol. 16, N° 6, pp. 74-75. December 1996.[Eto and Nakamura 00]Satoshi Eto and Toshikazu Nakamura : “Clock Supplying Circuit and Integrated Circuit Device Using it”. United States (US) Patent N° 6150866. Filing Date: November 24, 1997. Publication Date: November 21, 2000.[Farmwald and Horowitz 99a] Michael Farmwald and Horowitz, Mark : “Integrated Circuit I/O Using a High Performance Bus Interface”. United States (US) Patent N° 5915105. Filing Date: November 26, 1997. Publi-cation Date: June 22, 1999.[Farmwald and Horowitz 99b] Michael Farmwald and Horowitz, Mark : “Synchronous Memory Device Having a Programma-ble Register and Method of Controlling Same”. United States (US) Patent N° 5953263. Filing Date: November 20, 1998. Publication Date: September 14, 1999.[Farmwald and Horowitz 99c] Michael Farmwald and Horowitz, Mark : “Synchronous Memory Device Having an Internal Register”. United States (US) Patent N° 5954804. Filing Date: February 17, 1997. Publication Date: September 21, 1999.[Farmwald and Horowitz 99d] Michael Farmwald and Horowitz, Mark : “Synchronous Memory Device”. United States (US) Patent N° 5995443. Filing Date: March 4, 1997. Publication Date: November 30, 1999.[Farmwald and Mooring 92]Michael P. Farmwald and David Mooring : “A Fast Path to One Memory”. IEEE Spectrum, Vol. 29, N° 10 (Special Report/Memory), pp. 50-51. October 1992.[Gillingham and Vogley 97]Peter Gillingham and Bill Vogley “SLDRAM: High-Performance, Open-Standard Memory”. IEEE Micro, Vol. 17, N° 6, pp. 29-39. November/December 1997.[Glaskowsky 92]Peter N. Glaskowsky : “Rambus Rolls Out Yellowstone”. Microprocessor Report. July 15, 2002.[Guizzo and Goldstein 06]Erico Guizzo and Harry Goldstein : “Expressway to Your Skull”. IEEE Spectrum, Vol. 43, N° 8, pp. 32-37. August 2006.[Hong et al. 99]Sung I. Hong, Sally A. McKee, Maximo H. Salinas, Robert H. Klenke, James H. Aylor and W. A. Wulf : “Access Order and Effective Bandwidth for Streams on a Direct Rambus Memory”. Pro-ceedings of the Fifth International Symposium On High-Performance Computer Architecture (HPCA '99), pp. 80-89. Conference Date: 9-13 January 1999.[Huchzermeier and Groenebaum 05]Johannes Huchzermeier and Michael Groenebaum : “Method of Communicating Data via a Bus and Bus System for Implementing the Method”. United States (US) Patent N° 6944781. Filing Date: May 31, 2002. Publication Date: September 13, 2005.

Bibliographie 55

[IBM 98]“Direct Rambus Memory System Overview”. Application Note. IBM. March 30, 1998.[IEEE 96a]IEEE Standard for High-Bandwidth Memory Interface Based on Scalable Coherent Interface (SCI) Signaling Technology (RamLink). IEEE Std 1596.4-1996. Approved March 19, 1996. ISBN 1-55937-745-3.[IEEE 96b]“Draft Standard for High-Speed Memory Interface (SyncLink)”. Draft 0.99 IEEE P1596.7-199X. 1996.Version papier dans dossier mémoire SLDRAM.[Intel 00]“Intel® 82803AA Memory Repeater Hub for RDRAM (MRH-R)”. Datasheet. Intel. August 2000.[IEEE Micro 97]“Advanced Memory Technology”. IEEE Micro, Vol. 17, N° 6. November/December 1997.[Keeth and Baker 00]Brent Keeth and Russel Jacob Baker : DRAM Circuit Design: A Tutorial. Wiley-IEEE Press Pub-lisher 2000. ISBN 0-7803-6014-1.[Keeth et al. 07]Brent Keeth, Russel Jacob Baker, Brian Johnson and Feng Lin : DRAM Circuit Design: Fundamen-tal and High-Speed Topics. IEEE Press Series on Microelectronic Systems. Wiley-IEEE Press Pub-lisher 2007. ISBN 978-0-470-18475-2.[Kushiyama et al. 92]Natsuki Kushiyama, Shigeo Ohshima, Donald C. Stark, Kiyofumi Sakurai, Satoru Takase, Tohru Furuyuma, Richard M. Barth, John Dillon, James A. Gasbarro, Matthew M. Griffin, Mark Horowitz, Victor Lee, Winston K. M. Lee and Wingyu Leung : “500 Mbyte/sec Data-Rate 512Kbits 3 9 DRAM Using a Novel I/O Interface”. Proceedings of the Symposium on VLSI Circuit, pp. 66-67. Symposium Date: 4-6 June 1992.[Kushiyama et al. 93]Natsuki Kushiyama, Shigeo Ohshima, Don Stark, Hiroyuki Noji, Kiyofumi Sakurai, Satoru Takase, Tohru Furuyama, Richard M. Barth, Andy Chan, John Dillon, James A. Gasbarro, Mat-thew M. Griffin, Mark Horowitz, Thomas H. Lee and Victor Lee : “A 500-Megabyte/s Data-Rate 4.5 M DRAM”. IEEE Journal of Solid-State Circuits (JSSC), Vol. 28, N° 4 (Special Issue on the 1992 VLSI Circuits Symposium), pp. 490-498. April 1993.[Millar and Gillingham 99]Bruce Millar and Peter Gillingham : “Two High-Bandwidth Memory Bus Structures”. IEEE Design & Test, Vol. 16, N° 1, pp. 42-52. January-March 1999.[Prince 83 96]Betty Prince : Semiconductor Memories - A Handbook of Design, Manufacture, and Application. Second Edition. John Wiley & Sons, Ltd. England 1996. ISBN 0-471-92465-2.[Prince 99]Betty Prince : High Performance Memories - New Architecture DRAMs and SRAMs Evolution and Func-tion. Revised Edition. John Wiley & Sons, Ltd. New York, USA 1999. ISBN 0-471-98610-0.[Przybylski 96]Steven A. Przybylski : “New DRAM Technologies: A Comprehensive Analysis of the New Architectures”. Micro Design Resources, Sebastopol CA. 1996.

Les mémoires vives dynamiques à communication par paquet56

[Slater 92]Michael Slater : “Rambus Unveils Revolutionary Memory Interface”. Microprocessor Report, pp. 15-21. March 4, 1992.[Stern 01a]Richard H. Stern : “Preventing Abuse of IEEE Standards Policy”. Micro Law. IEEE Micro, Vol. 21, N° 3, pp. 8-11. May/June 2001.[Stern 01b]Richard H. Stern : “More Standardization Skullduggery”. Micro Law. IEEE Micro, Vol. 21, N° 4, pp. 12-15, 69. July/August 2001.[Stern 01c]Richard H. Stern : “Another Update on Standardization Skullduggery”. Micro Law. IEEE Micro, Vol. 21, N° 5, pp. 8-10. September/October 2001.[Stern 02]Richard H. Stern : “FTC Piles onto Standardization Rambus' Skullduggery”. Micro Law. IEEE Micro, Vol. 22, N° 4, pp. 6- 7, 86-87. July/August 2002.[Stern 03]Richard H. Stern : “Weird Turn of Events in Continuing Rambus Saga”. Micro Law. IEEE Micro, Vol. 23, N° 1, pp. 76-80. January/February 2003.[Stern 07]Richard H. Stern : “Coming Down the Home Stretch in the Rambus Standardization Skulldug-gery Saga: To levy or Not to Levy Royalties”. Micro Law. IEEE Micro, Vol. 27, N° 2, pp. 80-82. March/April 2007.[Stern 09]Richard H. Stern : “One of the Last Updates on Rambus Standardization Skullduggery”. Micro Law. IEEE Micro, Vol. 29, N° 1, pp. 139-143. January/February 2009.[Trodden and Anderson 93]Jay Trodden and Don Anderson: Hypertransport System Architecture. PC System Architecture Series. MindShare, Inc. Addison-Wesley. 1993. ISBN 00-321-16845-3.[Xanthaki 94]Zacharenia Xanthaki : “A Memory Controller for Access Interleaving over a Single Rambus”. Report N° FORTH-ICS/TR-124. University of Crete, Computer Science Department. July 1994.[Ware and Hampel 05]Frederick A. Ware and Craig Hampel : “Micro-threaded Row and Column Operations in a DRAM Core”. Rambus White Paper. 7 pages. March 2005.[Ware et al. 01]Frederick A. Ware, Richard M. Barth, Donald C. Stark, Craig E. Hampel, Ely K. Tsern, Abhijit M. Abhyankar, Thomas J. Holman, Andrew V. Anderson and Peter D. Macwilliams : “Apparatus and Method for Bus Timing Compensation”. United States (US) Patent N° 6226757. Filing Date: October 9, 1998. Publication Date: May 1, 2001.[Ware 07]Fredrick A. Ware : “Memory Systems with Variable Delays for Write Data Signals”. United States (US) Patent N° 7301831. Application Number: 10/942225. Filing Date: September 15, 2004. Publication Date: November 27, 2007.[Xanthaki 94]Zacharenia Xanthaki : “A Memory Controller for Access Interleaving over a Single Rambus”. Report N° FORTH-ICS/TR-124. University of Crete, Computer Science Department. July 1994.

Bibliographie 57

[Yip et al. 07]Wai-Yeung Yip, Scott Best, Wendemagegnehu Beyene and Ralf Schmitt : “System Co-Design and Co-Analysis Approach to Implementing the XDR™ Memory System of the Cell Broad-band Engine™ Processor: Realizing 3.2 Gbps Data Rate per Memory Lane in Low Cost, High Volume Production”. Proceedings of the 2007 Asia and South Pacific Design Automation Con-ference (ASP-DAC '07), pp. 858-865. Conference Date: 23-26 January 2007.

Conclusion

[Calhoun and Brooks 10]Benton H. Calhoun and David Brooks : “Can Subthreshold and Near-Threshold Circuits Go Mainstream?”. IEEE Micro, Vol. 30, N° 4, pp. 80-85. July/August 2010.[Dreslinski et al. 10]Ronald G. Dreslinski, Michael Wieckowski, David Blaauw, Dennis Sylvester and Trevor Mudge : “Near-Threshold Computing: Reclaiming Moore's Law Through Energy Efficient Integrated Circuits”. Proceedings of the IEEE, Vol. 98, N° 2, pp. 253-266. February 2010.[Itoh et al. 00]Kiyoo Itoh, Takao Watanabe, Shin'ichiro Kimura and Takeshi Sakata : “Reviews and Prospects of High-Density RAM Technology”. Proceedings of the International Semiconductor Confer-ence (CAS 2000), Vol. 1, pp. 13-22. Conference Date: October 10-14, 2000. Conference Loca-tion: Sinaia, Romania.[ITRS 05]“International Technology Roadmap For Semiconductors - Emerging Research Devices”. ITRS Emerging Research Devices Technology Working Group. 2005 Edition.[ Jung et al. 04]Soon-Moon Jung, Jaehoon Jang, Wonseok Cho, Jaehwan Moon, Kunho Kwak, Bonghyun Choi, Byungjun Hwang, Hoon Lim, Jaehun Jeong, Jonghyuk Kim and Kinam Kim : “The Revolution-ary and Truly 3-Dimensional 25F2 SRAM Technology with the Smallest S3 (Stacked Single-Crys-tal Si) cell, 0.16μm2, and SSTFT (Stacked Single-Crystal Thin Film Transistor) for Ultra High Density SRAM”. 2004 Symposium on VLSI Technology. Digest of Technical Papers, pp. 228-229. Symposium Date: 15-17 June 2004.[Keeth and Baker 00]Brent Keeth and Russel Jacob Baker : DRAM Circuit Design: A Tutorial. IEEE Press Series on Microelectronic Systems. Wiley-IEEE Press Publisher 2000. ISBN 0-7803-6014-1.[Keeth et al. 07]Brent Keeth, Russel Jacob Baker, Brian Johnson and Feng Lin : DRAM Circuit Design: Fundamen-tal and High-Speed Topics. IEEE Press Series on Microelectronic Systems. Wiley-IEEE Press Pub-lisher 2007. ISBN 978-0-470-18475-2.[Prince 83 96]Betty Prince : Semiconductor Memories - A Handbook of Design, Manufacture, and Application. Second Edition. John Wiley & Sons, Ltd. England 1996. ISBN 0-471-92465-2.[Prince 99]Betty Prince : High Performance Memories - New Architecture DRAMs and SRAMs Evolution and Func-tion. Revised Edition. John Wiley & Sons, Ltd. New York, USA 1999. ISBN 0-471-98610-0.

Conclusion60

[Rusu et al. 09]Stefan Rusu, Simon Tam, Harry Muljono, Jason Stinson, David Ayers, Jonathan Chang, Raj Varada, Matt Ratta and Sailesh Kottapalli : “A 45nm 8-Core Enterprise Xeon® Processor”. 2009 IEEE International Solid-State Circuits Conference (ISSCC 09). Digest of Technical Papers, pp. 56-57. Conference Date: 8-12 February 2009.[Sharma 02a]Ashok K. Sharma : Semiconductor Memories: Technology, Testing, and Reliability. Wiley-IEEE Press. September 2002. ISBN 0-7803-1000-4.[Sharma 02b]Ashok K. Sharma : Advanced Semiconductor Memories: Architectures, Designs, and Applications. Wiley-IEEE Press. October 2002. ISBN 0-471-20813-2.[Slater et al. 93]Michael Slater, Michael Farmwald, Marc Hannah, David V. James, Joel A. Karp, Masaki Kumanoya and John Szarek : “Which DRAM Will Win the Great DRAM Sweepstakes?”. 40th

IEEE International Solid-State Circuits Conference (ISSCC 1993). Digest of Technical Papers, pp. 76-77. Meeting Date: 24-26 February 1993.