An Optimal Power Supply And Body Bias Voltage for an ... Optimal Power Supply And Body Bias Voltage...

6
An Optimal Power Supply And Body Bias Voltage for an Ultra Low Power Micro-Controller with Silicon on Thin BOX MOSFET Hayate Okuhara, Kuniaki Kitamori, Yu Fujita, Kimiyoshi Usami, and Hideharu AmanoKeio University, 3-14-1 Hiyoshi, Kohoku-ku, Yokohama, Japan Shibaura Institute of Technology, 3-7-5 Toyosu, Kohtoh-ku, Tokyo, Japan E-mail: {hayate,hunga}@am.ics.keio.ac.jp E-mail: [email protected] Abstract— Body bias control is an efficient means of balancing the trade-off between leakage power and perfor- mance especially for chips with silicon on thin buried oxide (SOTB), a type of FD-SOI technology. In this work, a method for finding the optimal combination of the supply voltage and body bias voltage to the core and memory is proposed and applied to a real micro-controller chip using SOTB CMOS technology. By obtaining several coefficients of equations for leakage power, switching power and op- erational frequency from the real chip measurements, the optimized voltage setting can be obtained for the target operational frequency. The power consumption lost by the error of optimization is 12.6% at maximum, and it can save at most 73.1% of power from the cases where only the body bias voltage is optimized. This method can be applied to the latest FD-SOI technologies. Keywords— Body bias control, Low power design, Micro-controller, FD-SOI, SOTB. I. Introduction Ultra low power micro-controllers that can maintain for at least 10 years with a simple Li or solar battery are re- quired for the latest wearable computing and sensor nodes. This performance requirement means that 32-bit micropro- cessors that can work with a 20 MHz or higher clock are needed instead of the conventional tiny processors near the threshold level working with a hundreds of kilo Hertz oper- ational clock. To fulfill these requirements, a novel FD-SOI technique called silicon on thin buried oxide (SOTB) has been developed [1] and implemented on low power micro- processors [2], accelerators [3], and FPGAs [4]. An important feature of SOTB is that it can control the trade-off between performance and leakage current by changing the back-gate bias. By giving reverse bias, the leakage current can be reduced while the delay is stretched and forward bias can enhance the performance while in- creasing the leakage current. Thus, optimization by chang- ing both the supply voltage and the back-gate bias is key for taking full advantage of the SOTB technique. Finding the energy minimum point by controlling both the supply voltage and the back-gate bias has been widely researched [5] [6][7]. However, from the viewpoint of designing practi- cal systems, minimizing the energy using the lower clock, which cannot satisfy the required performance, is useless. Kao et al. [8] investigated optimization techniques from the practical viewpoint, but their study targeted only the functional units and used a conventional bulk technique. Although a CPU with the SOTB was investigated in [2], it was not based on a performance and power model. In the present work, we propose and examine a method to find the optimal combination of supply voltage and back- gate bias for a micro-controller with the SOTB technique. The main contributions of this paper are: A method is proposed to optimize the supply voltage and back-gate bias for a real 32-bit micro-controller implemented with a 65-nm SOTB CMOS technique in which the core and memory are controlled indepen- dently. A theoretical model is proposed and examined through the evaluation results of a real chip. The accuracy of the optimization ranged from 5.23% to 12.6%. By applying the proposed method, the total power can be reduced by 73% without degrading performance. The rest of this paper is organized as follows. Section 2 de- scribes the SOTB technique with a power and performance model. The model of consumed power and operational fre- quency is shown in Section 3. The target micro-controller is introduced in Section 4 and the parameters of the power and performance model are obtained from the real chip measurement. In Section 5, we show optimization exam- ples and examine the effectiveness of the proposed tech- nique. We conclude in Section 6 with a summary and a brief mention of future work. II. SOTB and back gate bias control A. SOTB CMOSFET Silicon on thin buried oxide (SOTB) is a novel FD-SOI device developed by Low Power Electronics Association & Product (LEAP). Figure 1 shows a cross-sectional view of SOTB CMOSFET. Unlike other SOI devices, CMOSFET is formed on a 10-nm ultra thin box layer. Since the FD- SOI can suppress short channel effect (SCE), impurity dop- ing is not necessary. The variation of threshold level by the random dopant fluctuation is reduced, which is why SOTB MOSFET is suitable for operation with low voltage supply. Since a transistor and back gate are separated by the box layer, p-n junction leakage current between drain/source and substrate is also removed. Accordingly, compared with conventional bulk CMOS processes, controllability of the back gate is improved. The triple-well structure prevents leakage current of the back gate bias control. This SOTB structure enables to change characteristics by the control- ling the power supply voltage and back gate biasing.

Transcript of An Optimal Power Supply And Body Bias Voltage for an ... Optimal Power Supply And Body Bias Voltage...

Page 1: An Optimal Power Supply And Body Bias Voltage for an ... Optimal Power Supply And Body Bias Voltage for an Ultra Low Power Micro-Controller with Silicon on Thin BOX MOSFET Hayate Okuharay,

An Optimal Power Supply And Body Bias Voltage for an Ultra LowPower Micro-Controller with Silicon on Thin BOX MOSFET

Hayate Okuhara†, Kuniaki Kitamori†, Yu Fujita†, Kimiyoshi Usami‡, and Hideharu Amano††Keio University, 3-14-1 Hiyoshi, Kohoku-ku, Yokohama, Japan

‡Shibaura Institute of Technology, 3-7-5 Toyosu, Kohtoh-ku, Tokyo, Japan†E-mail: {hayate,hunga}@am.ics.keio.ac.jp ‡E-mail: [email protected]

Abstract— Body bias control is an efficient means ofbalancing the trade-off between leakage power and perfor-mance especially for chips with silicon on thin buried oxide(SOTB), a type of FD-SOI technology. In this work, amethod for finding the optimal combination of the supplyvoltage and body bias voltage to the core and memory isproposed and applied to a real micro-controller chip usingSOTB CMOS technology. By obtaining several coefficientsof equations for leakage power, switching power and op-erational frequency from the real chip measurements, theoptimized voltage setting can be obtained for the targetoperational frequency. The power consumption lost by theerror of optimization is 12.6% at maximum, and it can saveat most 73.1% of power from the cases where only the bodybias voltage is optimized. This method can be applied tothe latest FD-SOI technologies.

Keywords— Body bias control, Low power design,Micro-controller, FD-SOI, SOTB.

I. Introduction

Ultra low power micro-controllers that can maintain forat least 10 years with a simple Li or solar battery are re-quired for the latest wearable computing and sensor nodes.This performance requirement means that 32-bit micropro-cessors that can work with a 20 MHz or higher clock areneeded instead of the conventional tiny processors near thethreshold level working with a hundreds of kilo Hertz oper-ational clock. To fulfill these requirements, a novel FD-SOItechnique called silicon on thin buried oxide (SOTB) hasbeen developed [1] and implemented on low power micro-processors [2], accelerators [3], and FPGAs [4].

An important feature of SOTB is that it can controlthe trade-off between performance and leakage current bychanging the back-gate bias. By giving reverse bias, theleakage current can be reduced while the delay is stretchedand forward bias can enhance the performance while in-creasing the leakage current. Thus, optimization by chang-ing both the supply voltage and the back-gate bias is keyfor taking full advantage of the SOTB technique. Findingthe energy minimum point by controlling both the supplyvoltage and the back-gate bias has been widely researched[5] [6][7]. However, from the viewpoint of designing practi-cal systems, minimizing the energy using the lower clock,which cannot satisfy the required performance, is useless.Kao et al. [8] investigated optimization techniques fromthe practical viewpoint, but their study targeted only thefunctional units and used a conventional bulk technique.

Although a CPU with the SOTB was investigated in [2], itwas not based on a performance and power model.

In the present work, we propose and examine a methodto find the optimal combination of supply voltage and back-gate bias for a micro-controller with the SOTB technique.The main contributions of this paper are:

• A method is proposed to optimize the supply voltageand back-gate bias for a real 32-bit micro-controllerimplemented with a 65-nm SOTB CMOS technique inwhich the core and memory are controlled indepen-dently.

• A theoretical model is proposed and examined throughthe evaluation results of a real chip. The accuracy ofthe optimization ranged from 5.23% to 12.6%.

• By applying the proposed method, the total power canbe reduced by 73% without degrading performance.

The rest of this paper is organized as follows. Section 2 de-scribes the SOTB technique with a power and performancemodel. The model of consumed power and operational fre-quency is shown in Section 3. The target micro-controlleris introduced in Section 4 and the parameters of the powerand performance model are obtained from the real chipmeasurement. In Section 5, we show optimization exam-ples and examine the effectiveness of the proposed tech-nique. We conclude in Section 6 with a summary and abrief mention of future work.

II. SOTB and back gate bias control

A. SOTB CMOSFET

Silicon on thin buried oxide (SOTB) is a novel FD-SOIdevice developed by Low Power Electronics Association &Product (LEAP). Figure 1 shows a cross-sectional view ofSOTB CMOSFET. Unlike other SOI devices, CMOSFETis formed on a 10-nm ultra thin box layer. Since the FD-SOI can suppress short channel effect (SCE), impurity dop-ing is not necessary. The variation of threshold level by therandom dopant fluctuation is reduced, which is why SOTBMOSFET is suitable for operation with low voltage supply.

Since a transistor and back gate are separated by the boxlayer, p-n junction leakage current between drain/sourceand substrate is also removed. Accordingly, compared withconventional bulk CMOS processes, controllability of theback gate is improved. The triple-well structure preventsleakage current of the back gate bias control. This SOTBstructure enables to change characteristics by the control-ling the power supply voltage and back gate biasing.

Page 2: An Optimal Power Supply And Body Bias Voltage for an ... Optimal Power Supply And Body Bias Voltage for an Ultra Low Power Micro-Controller with Silicon on Thin BOX MOSFET Hayate Okuharay,

P-sub

(a) (b)

N-well P-wellSTI

Box layer

Back Gate

Deep n-well

Fig. 1. Cross-sectional view of SOTB MOSFET: (a)pMOS (b)nMOS

B. Power of LSIs

In general, The consumption power of LSIs is representedas

Pall = IleakVDD + αatfCV 2DD, (1)

where Ileak is leakage current, αat is activity factor, Cis capacitance, and f is an operating frequency. The firstterm represents static power by the leakage current and thesecond one is the switching power of transistors. In the bulkMOSFET, leakage current consists of (1) sub-thresholdleakage current, (2) gate tunneling current, (3) gate in-duced drain leakage (GIDL), and (4) p-n junction leakagecurrent. However, in the FD-SOI structure, GIDL and p-njunction leakage current are suppressed in the normal us-age[1]. So we only need to consider the sub-threshold leak-age current and gate tunneling current. The sub-thresholdleakage current Isub is represented as

Isub = Ioff10Vgs+η(Vds−VDD)+KγVsb

S (1− e−VdsvT ), (2)

where vT is thermal voltage, S is sub-threshold slope,Ioff is the sub-threshold leakage current at Vgs = 0 andVds = VDD, Kγ is a coefficient of the back gate bias, and ηis a coefficient of the drain to source voltage[9].The gate tunneling current Igt is

Igt = WPA(VDD

tox)2e

−PBtox

VDD , (3)

where tox is thickness of gate oxide and W is gate width.PA and PB are constants determined by transistor pro-cess[9]. Leakage current of the transistor is, thus, an expo-nential function of VDD and back gate bias voltage. Figure2 shows the leakage from the sub-threshold leakage currentand the gate tunneling current in nMOSFET, including(a) the relationship to VDD and (b) the relationship to theback gate biasing. Here, V BN(V BP ) shows the back gatebias voltage given to nMOSFET(pMOSFET). Both figuresshow results of SPICE simulation of ST micro’s 28nm FD-SOI and 65nm SOTB. The different process technologies re-sulted in different the dominant leakage currents, but evenso, the leakage current increases exponentially to VDD andV BN independent of the process technology. In nMOS-FET, when the back gate voltage (V BN) is lower than thesource voltage, it is called the reverse bias that reduces theleakage current. In pMOSFET, when the back gate voltage(V BP ) is higher than the source voltage, it is also called

0.4 0.6 0.8 1 1.210−9

10−8

10−7

VDD[V]

(a)

Leakage Current[A] 28-nm FDSOI

at Zero Bias

65-nm FDSOI

at Zero Bias

−0.3 −0.1 0.110−10

10−9

10−8

10−7

-0.5

Leakage Current[A]

28-nm FDSOI

at VDD=1.0V

65-nm FDSOI

at VDD=0.4V

nMOSFET nMOSFET

VBN[V]

(b)

Fig. 2. Leakage current of SOTBMOSFET (a)characteristics of VDD

(b)characteristics of V BN

the reverse bias. Note that, with the reverse bias, delaytime increases.

The leakage current also increases exponentially to VDD.Note that, in the case of VDD, lower VDD results in lowerswitching power quadratic.

C. Maximum operational frequency

In MOSFET, the gate delay is represented with the αpower low[9].

td = kCVDD

(VDD − VTH)α(4)

Here, k is the process parameter, α is a parameter toconsider velocity saturation in MOSFET, and VTH is thethreshold voltage. The maximum operational frequencyfmax is proportional to the reciprocal of td.

fmax = F(VDD − VTH)α

VDD, (5)

where F is a constant number related to frequency. Thethreshold voltage (VTH) varies due to the back gate biasing,and it can be linearly approximated as follows:

VTH = Vt0 −KγV BN, (6)

where Vt0 is the threshold voltage with the zero bias[9].This equation, which is for nMOSFET, but can also beused to represent pMOSFET, shows that the maximumoperational frequency is also a function of VDD and backgate voltage (V BN and V BP ). In nMOSFET, when V BNis higher than the source voltage, it is called the forwardbias that increases the maximum operational frequency. InpMOSFET, when V BP is lower than the source voltage, itis also called forward bias. Note that, the leakage currentincreases exponentially with increasing forward bias.

From the practical viewpoint, a system like a micro-controller must work at the operational frequency that sat-isfies the performance requirement. However, in most ofembedded systems, extra performance is just a waste ofthe energy and is not needed.

Therefore, VDD and V BN(P ) must be decided:

• The operational frequency should be the lowest onethat satisfies the performance requirement, and

Page 3: An Optimal Power Supply And Body Bias Voltage for an ... Optimal Power Supply And Body Bias Voltage for an Ultra Low Power Micro-Controller with Silicon on Thin BOX MOSFET Hayate Okuharay,

• it must works with the VDD and V BN(P ) to mini-mize the consumption power, thus reducing the totalenergy.

III. The Power Consumption Model

Microprocessors and accelerators typically consist of acore in which the switching power is dominant and mem-ory or cache in which the leakage power is dominant.These need to be controlled independently with differentV BN(P ), since the optimal value will be different. Al-though the supply voltage can be different, level shifters areneeded at the boundary of the two components to transferdifferent signal levels. Thus, our target is that these twocomponents be independently controlled with V BN(P )and share a common VDD. We represent the V BN(P )for the memory part V BN(P )M . When both componentswork at the given operating frequency, the power consump-tion is minimized.Here, we give the same back gate bias to nMOSFET and

pMOSFET, since both transistors are commonly designedso that their characteristics are balanced. That is,

V BN + V BP = VDD. (7)

Hereafter, back gate voltage of the core is represented onlyby V BN and the memory by V BNM .

A. A Power Model

The leakage current increases exponentially to VDD andV BN in accordance with Equations (2) and (3). That is,the leakage current to core and memory is

Ileak = I10AVDD+BV BN , (8)

where I, A, and B are coefficients of exponential term,exponent part of VDD, and exponent part of V BN , re-spectively. In the case of the memory, V BN is changed toV BNM . All leakage current in the chip is

Ileakall= Icore10

AcoreVDD+BcoreV BN

+ Imem10AmemVDD+BmemV BNM . (9)

Here, subscript mem is given to I, A, and B for thecorresponding memory part.These coefficients vary depending on various design pa-

rameters such as critical path length of the core, memorysize, and access time. It is not practical to fix them the-oretically. Since the target chip already exists, the easiestway to decide them is to calculate from the measurementvalues of the real chip. This does not mean that our modelrequires measuring the target chip with all combinationsof VDD, V BN , and V BNM . On the contrary, our goalis to fix them by only a limited number of measurements,and once they are fixed, we can find the optimal VDD,V BN , and V BNM for a given frequency. This methodcan be used regardless of whether the dominant source ofthe leakage is subthreshold leakage current or gate tunnel-ing current, and thus it can be used in a variety of differentprocesses.

Unlike the leakage power, the switching power is theproduct of αat, C, f , and V 2

DD and depends not on thebody bias but only on VDD. Although it is possible forC to be influenced slightly by the back gate bias, we as-sume that it is constant. In this case, αatC of the core andmemory can be easily calculated by the increasing of thecurrent by the operational frequency and VDD.The total current of the CPU including core and memory

is represented as

Iallmodel = Icore × 10AcoreVDD+BcoreV BN

+ Imem × 10AmemVDD+BmemV BNM

+ (αatC)corefVDD + (αatC)memfVDD.(10)

Equation (10) calculates the power consumption with acertain clock frequency (f), and here, it must work at arequired frequency fmax. Since the required operationalfrequency is shown in Equation (5), the relationship be-tween V BN and VDD that can achieve fmax is representedas

V BN =(VDDfmax

F )1α − (VDD − Vt0)

Kγ. (11)

Here, Kγ , α, and F can be known by measuring the realchip. For each module, the current is represented as

Iallmodule=

Imodule × 10AmoduleVDD+Bmodule

((VDDfmax

F)

1α −(VDD−Vt0))

+(αatC)modulefVDD (12)

Since the required frequency is the same in both mod-ules, and the power is the sum of them, we can optimizethe power consumption of the total system by finding theoptimal V BN for each module with the VDD.

IV. The Target micro-controller

A. V850E-Star

Here, we show an example of the proposed optimizationusing a real micro-controller chip. Our target is the V850E-Star [10] compatible 32-bit micro-controller for signal pro-cessing, car electronics, and digital servo motor control. Ituses a RISC instruction set enhanced for application; thatis, multiplication, saturation calculations, and bit manip-ulations are added. A 5-stage standard in-order pipelinewith 46.2K gates can execute most of the instructions in aclock cycle. Considering the embedded usage, 128-Kbytelocal memory modules are provided for instruction mem-ory and data memory instead of providing a cache. Thespecifications of the target V850E-Star are shown in Ta-ble I. The chip was designed by several universities andcompanies associated with LEAP, including the authors.A photograph of the chip is shown in Figure 3. Since thischip was the first practical implementation using the 65-nm SOTB process, the core and memory use only half ofthe total chip.

Page 4: An Optimal Power Supply And Body Bias Voltage for an ... Optimal Power Supply And Body Bias Voltage for an Ultra Low Power Micro-Controller with Silicon on Thin BOX MOSFET Hayate Okuharay,

TABLE I

Implementation conditions of V850.

process 65-nm FD-SOI (LEAP SOTB)logic gates 46.2K

local memory 128K + 128KLogic Synthesis Design Compiler

Routing of Layout IC CompilerPackage 208PIN QFP

Standard Voltage 0.4V

Fig. 3. Photo of implemented V850.

In this chip, independent bias and supply voltage areprovided for the core and memory. Here, although we usethe common VDD for both parts, the power of each partcan be measured separately.

B. Power consumption

Figure 4 shows the leakage power related to (a) VDD and(b) V BN . Both graphs show that the leakage increasesexponentially both by VDD and V BN in the real micro-controller as in the proposed model. These figures alsoshow that the memory leakage is much more than that ofthe core―comparing (a) and (b), it appears the leakage ismore sensitive by V BN than VDD. This means that theleakage can be well controlled by changing V BN . Now,let’s fix the coefficients in Equation (10) from the mea-surement data. Icore, Acore, Imem, and Amem can be ob-tained from the relationship to VDD with zero bias. Wecalculated the average of several measurement results andobtained the coefficients as shown in Table II. Bcore andBmem can be obtained from the relationship between thecurrent versus V BN or V BNM . We also calculated theaverage values from several measurement results and fixedthem as shown in Table II. The values for back gate bias(B) are larger than those for VDD, which is a reflection ofthe large influence of the large memory leakage.

C. Maximum frequency

Figures 5 and 6 show the maximum operational fre-quency that can execute Dijkstra, a benchmark program,from the network benchmark suits of MiBench [11]. Thisprogram finds the minimum path from a source node toa destination node and includes a lot of memory accesses.Figure 5 shows the relationship to VDD when zero bias isgiven, while Figure 6 (a) and (b) show the relationship toV BN and V BNM with 0.4 V VDD. Figure 5 shows that

−0.4 −0.2 0 0.2

10−4

10−3

10−2

MEMORY

CORE

Lea

kage

Cu

rren

t[A

]

VBN(M)[V]

(b)

0.5 110−4

10−3

10−2

VDD[V]

(a)

Lea

kage

Cu

rren

t[A

]

MEMORY

CORE

Fig. 4. Leakage current of V850: (a) characteristics of VDD, (b)characteristics of V BN .

0.4 0.42 0.44 0.46 0.48 0.5 0.52

4

6

8[×10

+7]

VDD[V]

Max

imu

m o

per

ati

on

al

frea

qen

cy[H

z]

VBN=VBNM=ZeroBias

Fig. 5. Maximum frequency for VDD.

the operational frequency is increased linearly to VDD

From Figure 6 (a) and (b), it appears that the maximumfrequency is not improved when the forward bias is givento only a part, since another part bottlenecks the wholemicro-controller. In order to achieve a certain frequency,both core and memory must work at the frequency. If thecounterpart is not a bottleneck, the maximum frequencyalso increases linearly to V BN or V BNM . ComparingFigures 5 and 6, it appears that the influence of VDD islarger than that of V BN . Only by increasing VDD by 0.1V from 0.4 V is the operational frequency increased from37 MHz to 67 MHz.

Now, let’s fix the coefficients in Equation (11) from theevaluation results. To increase the maximum frequency lin-early, α in Equation (11) must be 2. Vt0 is given as a datasheet by the foundry. It is an average of that of nMOSFETand pMOSFET. Since the operational frequency of the mi-crocontroller is limited by the slower part of core (fmaxcore)and memory (fmemory),

fmax = min(fmaxcore, fmaxmem). (13)

Figure 6 (a) shows that the maximum frequency is pro-portional to the back gate bias of the core (V BN) whenthat for the memory (V BNM) is larger than 0.2 V. Also,(b) shows that the maximum frequency is proportional toV BNM when V BN is larger than 0.2 V. From the slopeshown in the figures, we can calculate F and Kγ. Theseresults are also shown in Table II.

Page 5: An Optimal Power Supply And Body Bias Voltage for an ... Optimal Power Supply And Body Bias Voltage for an Ultra Low Power Micro-Controller with Silicon on Thin BOX MOSFET Hayate Okuharay,

−0.4 −0.2 0 0.22

3

4

5[×10

+7]

VBNM=0.2V

VBNM=0.1

VBNM=0

VBNM=-0.1

VBNM=-0.2

VBNM=-0.3

VBNM=-0.4

Maxim

um

op

erati

on

al

frea

qen

cy[H

z]

VBN[V]

(a)

−0.4 −0.2 0 0.22

3

4

5[×10

+7]

Maxim

um

op

erati

on

al

frea

qen

cy[H

z]

VBNM[V]

(b)

VBN=0.2V

VBN=0.1

VBN=0

VBN=-0.1

VBN=-0.2

VBN=-0.3

VBN=-0.4

Fig. 6. Maximum frequency for V BN .

−0.4 −0.2 0 0.20

0.002

0.004

MEMORY

CORE

DIJKSTRA

DCT

VBN(M)[V]

Cu

rren

t C

on

sum

pti

on

in

Op

erati

on

[A]

Fig. 7. Switching current with different applications.

D. Switching current

Since the switching current is influenced by the activityfactor (αat), it is influenced by the executed program, un-like the maximum frequency decided by the critical pathof the core and access time of the memory. Figure 7 showsthe current when two application programs, Dijkstra anddiscrete cosine transform (DCT), are executed at the max-imum operational frequency. VDD is fixed at 0.4 V. Unlikethe memory access-centric Dijkstra, DCT includes a lot ofmultiply operations. However, the results show that thecurrent difference is small. In such a small microcontroller,the switching power is mostly consumed by operations in-dependent of the executed instructions (instruction fetch,decode, register fetch, etc.). We can therefore ignore thedifference due to executed program here.The coefficient of Equation (10) can be computed from

the measured current, leakage current, operational fre-quency, and VDD. The results for the core and memoryare shown in Table II.

V. Finding optimal VDD, V BN and V BNM

Now, we have all the parameters for finding the optimalvoltage setting. For a given frequency, V BN can be com-puted from Equation (11). By replacing V BN in Equation(10) with the obtained value, we can draw a graph for theminimum power consumption to VDD, as shown in Fig-ure 8. VDD, V BN , and V BNM for the minimum pointin the graph are shown in Table III. These are the op-timal voltage settings to achieve the required operationalfrequency. As expected, the power consumption was re-duced as VDD was decreased to the minimum voltage butrapidly increased due to the leakage current increased by

TABLE II

Coefficient of Equation (10).

CORE MEMI 2.5876× 10−4 3.0523× 10−3

A 0.51921 0.45172B 1.7926 2.1563F 6.6641× 108 6.8350× 108

Kγ 8.2874× 10−2 6.1342× 10−2

αatC 6.2478× 10−11 1.3669× 10−10

0

0.01

0.02

0.2 0.4 0.6 0.8 1.0 1.2

VDD[V]

Pow

er C

on

sum

pti

on

in

V850[W

]

47MHz

40MHz

30MHz

22MHz

Fig. 8. Power of V850 by calculating Equa-tion (10).

10-12

10-10

10-8

10-6

10-4

Vgs[V]

Vds:0.408 V

Vgs:0.408V

Cut-off Saturation

Dra

in C

urren

t[A

]

00.2 0.4 0.6 0.8

VTH:0.4 V

Fig. 9. ID-Vgs characteristics.

the forward bias needed to achieve the required operationalfrequency. The minimum point was increased when therequired operational frequency was increased. Table IIIshows that the reverse bias is needed for both the core andthe memory to minimize the power. For the memory, alarger reverse bias is needed, reflecting its larger leakagepower. The optimal V BN and V BNM are increased fora higher target frequency since the ratio of leakage cur-rent and switching current is changed by the operationalfrequency.

A. Accuracy of the optimization

Since the proposed model is based on α-power low, itmust be ascertained whether MOSFETs operate on overthreshold region at calculated voltage or not. Figure 9shows log(Id)-Vgs characteristics of nMOSFET in SPICEsimulation on -0.7565V of reverse bias and 0.408V of sup-ply voltage, which is lowest VDD and highest VTH in Ta-ble III. A straight line is an extentension which has thesame slope of sub-threshold region of the MOSFET. Here,from Vgs = 0.408, log(Id)-Vgs, the curve begins to turnaway from the straight line. This shows MOSFETs donot have exponential characteristics in VDD=0.408V. Overthreshold region of a MOSFET means that Vgs is higherthan VTH , and the calculated results satisfy this condition.With -0.7565V of reverse bias, VTH is 0.400 in SPICE sim-ulation. Therefore, 0.408V of VDD is higher than VTH .The values in Table III obtained from the expressions in-clude a few errors due to the approximation. In order todetermine the accuracy of the optimization from the ex-pressions, we investigated the minimum power by measur-ing the real chip with various VDD, V BN , and V BNM .Note that this brute force search required plenty of time.The values obtained with this search are also listed in Ta-ble III. It shows that the error is 50 mV at maximum. Ta-

Page 6: An Optimal Power Supply And Body Bias Voltage for an ... Optimal Power Supply And Body Bias Voltage for an Ultra Low Power Micro-Controller with Silicon on Thin BOX MOSFET Hayate Okuharay,

TABLE III

Error between calculated value and measured value

(voltage)[V].

Frequency Calclulated value Measured value

VDD = 0.408 VDD = 0.44422MHz V BN = −0.5423 V BN = −0.535

V BNM = −0.7565 V BNM = −0.753VDD = 0.426 VDD = 0.463

30MHz V BN = −0.4889 V BN = −0.485V BNM = −0.68895 V BNM = −0.682

VDD = 0.448 VDD = 0.49240MHz V BN = −0.4467 V BN = −0.446

V BNM = −0.6371 V BNM = −0.633VDD = 0.462 VDD = 0.512

47MHz V BN = −0.4162 V BN = −0.416V BNM = −0.5993 V BNM = −0.604

TABLE IV

Difference between calculated value and measured value

(power)[mW].

Frequency Calclulated value Measured value(The difference from model)

22MHz 0.7932 0.9072(12.6%)30MHz 1.176 1.308(10.1%)40MHz 1.725 1.554(11.3%)47MHz 2.154 2.047(5.23%)

ble IV compares the power consumption when values fromthe expressions and the brute force search are applied. Itshows that the difference is less than 12.6%. Consideringthe time for measurement, our model is an efficient meansof finding the optimized setting.

B. Power reduction by the optimization

Figure 10 shows the power consumption when the op-timized setting is used and only V BN is optimized with0.4 V fixed VDD. For all target frequencies, the optimizedsetting reduced the power consumption. Since 0.4 V is suit-able for the lower frequency, the difference is large for 47MHz. About 73% of power can be saved by using the opti-mization. Even for 22 MHz, the optimized setting achieveda power reduction of about 7%. This demonstrates that theoptimization for three voltages works efficiently.

VI. Conclusion

We proposed a method for finding the optimal combina-tion of supply voltage and body bias voltage to the core andmemory and applied it to a real micro-controller chip usingSOTB CMOS technology. By obtaining several coefficientsof equations for leakage power, switching power, and op-erational frequency from the real chip measurements, wewere able to obtain the optimized voltage setting for thetarget operational frequency. The power consumption lostby optimization errors was 12.6% at maximum, and wecould save at most 73.1% of power in the case where onlythe back gate bias is optimized in fixed VDD. This methodcan be applied to the latest FD-SOI technologies. In thisstudy, we ignored the influence of GIDL, since it is com-monly dominant when a large VDD and strong reverse bias

0

4

8

22MHz 30MHz 40MHz 47MHz

0.97260.9072

1.5211.308

3.328

1.554

7.596

2.046

Pow

er C

on

sum

pti

on

[mW

]

VDD & VBN scaling

VDD=0.4V and VBN scaling

Fig. 10. A comparison of power consumption.

are given―that is, in cases far from the optimized point.The treatment of this influence is our future work. Also,we did not consider the temperature and variances of thechip. Since the target micro-controller must work with therequired frequency in any case, we also intend to set somecontrol margins.

Acknowledgment

This work was performed as “Ultra-Low Voltage DeviceProject” funded and supported by the Ministry of Econ-omy, Trade and Industry (METI) and the New Energy andIndustrial Technology Development Organization (NEDO).Also, this work was partially supported by JSPS KAK-ENHI S Grant Number 25220002. The authors thank toVLSI Design and Education Center (VDEC) and Synopsysfor EDA tools.

References

[1] Takashi Ishigaki, et al., “Ultralow-power LSI Technology withSilicon on Thin Buried Oxide (SOTB) CMOSFET,” Solid StateCircuits Technologies, Jacobus W. Swart (Ed.), ISBN: 978-953-307-045-2, InTech, pp. 146–156, 2010.

[2] K. Ishibashi, et. al., “A Perpetuum Mobile 32bit CPU with13.4pj/cycle, 0.14µA sleep current using Reverse Body Bias As-sisted 65nm SOTB CMOS technology,” in Proceedings of COOLChips XVII, April. 2014, pp. 1–3.

[3] Hongliang Su, et. al., “Body Bias Control for a Coarse GrainedReconfigurable Accelerator Implemented with Silicon on ThinBOX technology,” in Proceedings of Field Programmable Logicand Applications, Sept 2014, pp. 1–6.

[4] M.Hioki, et. al., “SOTB Implementation of a Field Pro-grammable Gate Array with Fine-Grained Vt Programmability,”in J. Low Power Electroappl., April. 2014, pp. 329–332.

[5] Bo Zhai, et. al., “Energy Efficient Near-threshold Chip Multi-processing,” in Proceedings of International Symposium on LowPower Electronics and Design, Aug. 2007, pp. 32–37.

[6] David Fick,et. al., “Centip3De: A3930DMIPS/W ConfigurableNear-Threshold 3D Stacked System with 64 ARM Cortex-M3Cores,” in Proceedings of International Solid-State CircuitsConference, Aug. 2012, pp. 190–192.

[7] S. Nakamura,et. al., “Measurement of the Minimum EnergyPoint in Silicon on Thin-BOX(SOTB) and Bulk MOSFET,” inProceedings of International EUROSOI Workshop and Interna-tional Conference on Ultimate Integration on Silicon, Jan. 2015,pp. 193–196.

[8] James T. Kao, et. al., “A 175mV MultiplyAccumulate UnitUsing an Adaptive Supply Voltage and Body Bias Architecture,”in IEEE Journal of Solid-State Circuits., Nov. 2002, pp. 1545–1554.

[9] David Money Harris Neil H.E. Weste, CMOS VLSI Design ACircuits and Systems Perspective, Addison Wesley, 4 edition,2010.

[10] K.Kitamori, et. al., “Power optimization of a micro-controllerwith Silicon On Thin Buried Oxide,” in The 18th Workshop onSynthesis And System Integration of Mixed Information tech-nologies, Oct. 2013, pp. 68–731.

[11] “Mibench version 1.0,” http://wwweb.eecs.umich.edu/mibench/.