901 ドライホブ切りにおけるコーティング材種の影響901...

40
ドライホブ切りにおけるコーティング材種の影響 901 Influence of Coating Materials in Dry Hobbing 正 久保 明雄(九産大) ○学 渡辺 竜祐 坂本 貴之 Akio KUBO, Kyushu Sangyo University, matsukadai 2-3-1, higashi-ku, Fukuoka Ryosuke WATANABE , Kyushu Sangyo University Takayuki SAKAMOTO, Kyushu Sangyo University Key Words : Hob, Gear ,( TiN, TiAlN, AT, SX-H, Multilayers ) Coatings, Flank Wear, Crater Wear 1. 緒 環境問題への悪影響やコストの面から,切削油を使用しな いドライ加工が注目されている.ホブ切りにおいてもドライ 加工へ移行しつつある.ただし,切削条件により切りくずが 切れ刃と仕上げ面との間で噛み込むという問題が残ってい 1一方,切削工具へのコーティング技術は近年急速に進歩し 様々なコーティングが開発されている 2.新しいコーティン グを施した高速度鋼ホブも実用化されている. 以上のことをふまえて,本研究ではエンドミルで高能率, 長寿命化が可能になったコーティング材を用いてホブ切り を試みた.また,普及している,TiNTiAlN コーティング のホブでもドライホブ切りを行い,コーティング材種の工具 寿命への影響を比較・検討した. 2.実験条件 ホブ切りには,カシフジ KS-300 型生産ホブ盤を使用し た.ホブ諸元を表 1 に示す.使用するホブのコーティング 材種としてエンドミルのドライ加工で TiAlN より工具寿命 の長い日立ツール社製の AT コート (3) JFE 精密社製の SX-H コート (4) ,および外皮膜を SX-H コートで内皮膜を 別の材種にした多層コートの 3 種類のホブを製作し実験し た.新しいコーティングのホブについては,全コートと研 削後の逃げ面のみのコートで実験を行った.また,比較検 討するために逃げ面のみの TiN コート,TiAlN コートでも 同一条件で実験を行った.表 2 に示すように歯車素材は外 Fig.1 Influence of coating materials on maximum flank wear (all coated) 117mm,歯数 37 枚,歯幅 40mm,硬さ HB170 SCM420 である.切削条件を表 3 に示す.工具寿命に対してコーテ ィングの差がよく現れるように切削速度を 100m/min,送り 2.5mm/rev としてクライムで標準平歯車をホブ切り加工し た. ホブの寿命判定基準として最大逃げ面摩耗幅 0.2mm 実験を終了することとした.0.2mm に達しない場合でも最 100 個ホブ切りで終了とした. 3.実験結果および考察 全コートのホブを使用した最大逃げ面摩耗幅とホブ切り 個数の結果を図 1 に示す.3 種類のコートとも,早い段階で 摩耗が進行し,多層コートでは 20 個,SX-H コートでは 40 個, AT コートでは 45 個ホブ切り後で寿命判定基準に達した. 多層コートの実験では,逃げ面摩耗が急激に進行しているこ とがわかる.SX-H AT コートの実験では,初期摩耗が起 こった後,ホブ切り個数 25 個前後で摩耗進行が緩やかにな るが, 40 個に近づくと急激な摩耗をしている.この実験中に, 切りくずがホブの刃と刃の間に挟まったままの状態になる 現象が数度見られた.このことによりホブを傷つけたため工 具寿命を短くしたと考えられる.例として図 2 SX-H コー トで 12 個ホブ切り後のホブ様子を示す. Table 1 Dimension of the hob Module 3 Pressure angle 20° Rake angle 0° Lead angle 2°33Material SKH55 Coated AT, SX-H, Multilayers, TiN, TiAlN Table 2 Dimension of the gear Module 3 Material SCM420 Hardness HB170 Number of teeth 37 Face width 40 mm Table 3 Cutting conditions Cutting speed 100 m/min Feed 2.5 mm/rev Cutting method Climb Coolant DRY 0 0.1 0.2 0.3 0 20 40 60 80 100 No. of cutting teeth Width of maximum flank wear (mm) 0 0.1 0.2 0.3 0 20 40 60 80 100 AT SX-H Multilayers No. of cutting teeth Width of maximum flank wear (mm) (社)日本機械学会 九州学生会 第 42 回卒業研究発表講演会(No.118-2)論文集 2011/3/11

Transcript of 901 ドライホブ切りにおけるコーティング材種の影響901...

ドライホブ切りにおけるコーティング材種の影響 901

Influence of Coating Materials in Dry Hobbing

正 久保 明雄(九産大) ○学 渡辺 竜祐 坂本 貴之

Akio KUBO, Kyushu Sangyo University, matsukadai 2-3-1, higashi-ku, Fukuoka Ryosuke WATANABE , Kyushu Sangyo University Takayuki SAKAMOTO, Kyushu Sangyo University

Key Words : Hob, Gear ,( TiN, TiAlN, AT, SX-H, Multilayers ) Coatings, Flank Wear, Crater Wear

1. 緒 言

環境問題への悪影響やコストの面から,切削油を使用しな

いドライ加工が注目されている.ホブ切りにおいてもドライ

加工へ移行しつつある.ただし,切削条件により切りくずが

切れ刃と仕上げ面との間で噛み込むという問題が残ってい

る(1).

一方,切削工具へのコーティング技術は近年急速に進歩し

様々なコーティングが開発されている(2).新しいコーティン

グを施した高速度鋼ホブも実用化されている. 以上のことをふまえて,本研究ではエンドミルで高能率,

長寿命化が可能になったコーティング材を用いてホブ切り

を試みた.また,普及している,TiN,TiAlN コーティング

のホブでもドライホブ切りを行い,コーティング材種の工具

寿命への影響を比較・検討した.

2.実験条件

ホブ切りには,カシフジ KS-300 型生産ホブ盤を使用し

た.ホブ諸元を表 1 に示す.使用するホブのコーティング

材種としてエンドミルのドライ加工で TiAlN より工具寿命

の長い日立ツール社製の AT コート(3),JFE 精密社製の

SX-H コート(4),および外皮膜を SX-H コートで内皮膜を

別の材種にした多層コートの 3 種類のホブを製作し実験し

た.新しいコーティングのホブについては,全コートと研

削後の逃げ面のみのコートで実験を行った.また,比較検

討するために逃げ面のみの TiN コート,TiAlN コートでも

同一条件で実験を行った.表 2 に示すように歯車素材は外

Fig.1 Influence of coating materials on maximum flank wear

(all coated)

形 117mm,歯数 37 枚,歯幅 40mm,硬さ HB170 の SCM420である.切削条件を表 3 に示す.工具寿命に対してコーテ

ィングの差がよく現れるように切削速度を 100m/min,送り

2.5mm/rev としてクライムで標準平歯車をホブ切り加工し

た. ホブの寿命判定基準として最大逃げ面摩耗幅 0.2mm で

実験を終了することとした.0.2mm に達しない場合でも最

大 100 個ホブ切りで終了とした.

3.実験結果および考察

全コートのホブを使用した最大逃げ面摩耗幅とホブ切り

個数の結果を図 1 に示す.3 種類のコートとも,早い段階で

摩耗が進行し,多層コートでは 20 個,SX-H コートでは 40個,AT コートでは 45個ホブ切り後で寿命判定基準に達した.

多層コートの実験では,逃げ面摩耗が急激に進行しているこ

とがわかる.SX-H と AT コートの実験では,初期摩耗が起

こった後,ホブ切り個数 25 個前後で摩耗進行が緩やかにな

るが,40 個に近づくと急激な摩耗をしている.この実験中に,

切りくずがホブの刃と刃の間に挟まったままの状態になる

現象が数度見られた.このことによりホブを傷つけたため工

具寿命を短くしたと考えられる.例として図 2 に SX-H コー

トで 12 個ホブ切り後のホブ様子を示す.

Table 1 Dimension of the hob Module 3

Pressure angle 20° Rake angle 0° Lead angle 2°33′

Material SKH55

Coated AT, SX-H, Multilayers,

TiN, TiAlN

Table 2 Dimension of the gear Module 3 Material SCM420 Hardness HB170

Number of teeth 37 Face width 40 mm

Table 3 Cutting conditions Cutting speed 100 m/min

Feed 2.5 mm/rev Cutting method Climb

Coolant DRY

0

0.1

0.2

0.3

0 20 40 60 80 100

ATSX-HMultilayers

No. of cutting teeth

Wid

th o

f max

imum

flank

wea

r (m

m)

0

0.1

0.2

0.3

0 20 40 60 80 100

ATSX-HMultilayers

No. of cutting teeth

Wid

th o

f max

imum

flank

wea

r (m

m)

(社)日本機械学会 九州学生会 第 42 回卒業研究発表講演会(No.118-2)論文集 2011/3/11

SKH55 AT, β:0° , ClimbV:100m/min , f:2.5mm/rev , DRY55gears DRY hobbed -1 hob tooth

SKH55 AT, β:0° , ClimbV:100m/min , f:2.5mm/rev , DRY55gears DRY hobbed -1 hob tooth

Fig.2 SX-H hob (hobbed 12 gears)

0

0.1

0.2

0.3

0 20 40 60 80 100

ATSX-HMultilayersTiNTiAlN

0

0.1

0.2

0.3

0 20 40 60 80 1

00

ATSX-HMultilayersTiNTiAlN

No. of cutting teeth

Wid

th o

f max

imum

flank

wea

r (m

m)

0

0.1

0.2

0.3

0 20 40 60 80 100

ATSX-HMultilayersTiNTiAlN

0

0.1

0.2

0.3

0 20 40 60 80 100

ATSX-HMultilayersTiNTiAlN

No. of cutting teeth

Wid

th o

f max

imum

flank

wea

r (m

m)

Fig.4 Maximum flank wear (AT coated tool)

SKH55 TiN, β:0° , ClimbV:100m/min , f:2.5mm/rev , DRY

100gears DRY hobbed -2 hob tooth

SKH55 TiN, β:0° , ClimbV:100m/min , f:2.5mm/rev , DRY

100gears DRY hobbed -2 hob tooth

Fig.3 Influence of coating materials on maximum flank wear (after grinding)

次に,ホブ研削後の逃げ面のみのコートの実験結果を図 3

に示す.SX-H,多層コートでは,摩耗が急激に進み,ホブ

切り個数はそれぞれ 15 個,10 個で寿命判定基準に達した.

多層コートの実験では,7 個目と 10 個目のホブ切り中,大き

な切削音がして,10 個目のホブ切り後の歯車温度も 65℃と

測定した歯車の最高温度を示し,工具寿命判定基準を越えた

ため実験を終了した.SX-H コートの実験も外皮膜が同じ多

層コートと同様の結果が得られた.

Fig.5 Maximum flank wear (TiN coated tool)

4.結 言

エンドミルでドライ切削用に開発された新しいコーティ

ング材種ホブで平歯車加工実験を行った結果,次のことがわ

かった. 図 4 に AT コートのホブの最大逃げ面摩耗幅のある先行 1番刃の様子を示す.逃げ面のみの AT コートでは,逃げ面摩

耗が徐々に大きくなっていく様子がわかる.53 個目のホブ切

りあたりから切削音が大きくなり,55 個目に逃げ面摩耗幅が

0.2mm を越えたため実験を終了した.また,切りくずがホブ

に挟まるという現象は観察されておらず,急激な摩耗になら

なかったと考えられる.そのため,全コートよりもホブ切り

個数が増加する結果になったと考えられる.

1)SX-H,多層,AT の全コートのホブで実験した結果,切

りくずがホブに絡まり工具寿命が延びなかった. 2)研削後の SX-H,多層コートのホブで実験では,急激な逃

げ面摩耗が現れた. 3)研削後の AT コートのホブで実験では,切りくずの排出が

スムーズに行われ全コートより工具寿命が延びた. 4) エンドミル加工とホブ切り加工では切削状態が違うため,

今回の実験での工具寿命は従来からある TiN コートのホブ

には及ばなかった. 図 5 に TiN コートのホブの先行 2 番刃の様子を示す.TiN

コートの場合,ホブ切り中の切削音も小さくホブ切り後の温

度変化も全般的に安定していた.40 個目を過ぎたあたりから

音が大きくなることや焦げた臭いが時々したが,45 個目のホ

ブ切りしたところで逃げ面摩耗幅が 0.076mm しかなかった.

100 個目のホブ切りを終えたところでの最大逃げ面摩耗幅は

0.151mm になった.ホブ切り予定個数が 100 に到達したため

実験を終了した. 100 個ホブ切り後であるため大きなクレー

タ摩耗が観察された.また,TiAlN コートの実験では,ホブ

切り 2 個目で逃げ面摩耗幅が数 mm の大きさになったが,突

発的なものであるため,再度実験した.65 個目のホブ切り中

に大きな異常音がして緊急停止した.ホブのすくい面に切り

くずが付着した刃が 6 個あった.

文 献

1) 梅崎洋二 ,有浦泰常 .黒河周平 ,井島有朋 ,ホブ切り過渡現象の

観察と切りくず生成機構の解明(第 4 報,舞いツール基礎実

験における切りくず生成時の形態とかみ込みの関係),機論,

73-733, C (2007), pp.185-191 2) 鹿毛博文,中村容造,歯車のドライホブ切り,機講論,03-1(2003),

pp.177-178 3) http://www.hitachi-tool.co.jp/j/products/new/at_rq/at_rq.html 4) http://www.jfe-seimitsu.co.jp/htm_coating/coating_sxseries_sxh.h

tm

ウエハのCMP研磨におけるスラリー流動の粒子モデルによる数値解析

Particle sumilation of slurry flow on the CMP of wafer abrasion

○学 松岡 翔吾(九工大)

正 永山 勝也(九工大)

Shogo Matsuoka, Kyushu Institute of Technology, Kawatsu680-4, Iizuka, Fukuoka

Katsuya Nagayama, Kyushu institute of Technology

1.緒言

近年,超 LSI のさらなる高集積化,高性能化において配

線の微細化,多層化においてはウェハ表面上の高精度の平

坦化が重要である.そこで,ウェハ表面平坦化技術として

CMP(chemical Mechanical Polishing)が注目されている.CMP

はウェハにポリシングパッドを接触させて加工を行い,大

規模な面を化学的・機械的に平滑・平坦化する超精密ポリ

シング技術である.しかし,現時点では,スラリーにより

ウェハ表面にできた化学反応層を砥粒が吸着して除去する

のか、または、接触した弾性パッドが除去するのかなど、

実際にどのようにウェハ表面が研磨されているかは未解明

な部分もあり,解明できれば研磨性能の向上に貢献できる

と考えられる.

本研究では,パッド,スラリー,ウェハを粒子法により

モデル化を行った.まず,凹凸のないパッドでのクエット

流れ,次に,凹凸ありでの流動解析を行った.その後、パ

ッドに弾性力をもたせ、砥粒モデルを組み込んだ解析を行

った.

2.解析方法

本解析では,計算点を移動する粒子として,その粒子の

動きを追跡することで解析を行う.解析はスラリーの流動

解析を行う.粒子法は粒子間の相互作用に着目したもので

ある.

スラリーの流動解析は,MPS 法(Moving Particle

Semi-implicit)を参考に Eq.(1)のように,粒子間力項,粘

性項,外力項から,運動方程式はなる.T は粒子間力であ

り,Eq.(2)のような形式を仮定し,右辺 1 項は膨張収縮に

より均一化しようとする力で重み関数 w(1)と近傍の粒子と

の重み関数の和 n と定数 a を用いた.

( ) ( ) ( )[ ] i

ij

ijij

N

ij

ij

i Frrwuun

dT

t

u rrrrr

+−−⋅

+=∂

∂∑∑≠≠ λ0Re

2 (1)

ij

ij

iji

ijij rr

r

n

nawT

rr

rr

)1(0

−=

)( 0xxkF −⋅−=

( )∑≠

−=

ij

ijj

ij

jrry

rr

u

n

dωµτ '

2

'

0

パッドの変形解析はパッドの粒子をバネ接続しており,バ

ネ力による力 Eq.(3)と流動するスラリー粒子から受ける力,

Eq.(4)によって変形が起こる.F はバネ力による力を表し,k

はバネ定数,x0 は基準距離を表している.τ は壁面粒子 i が流

路上の粒子 j から受ける応力を MPS 法(2)の勾配モデルで離

散化したものであり,垂直方向の距離を y’,水平方向の速

度を u’で表している.

3.解析対象

本研究でのモデルは CMP 装置の一部を解析対象とする.

モデルの解析範囲は x 方向に 80[μm],y方向に 24[μm],

z 方向に 50[μm]とする.ウェハの厚さを 2[μm],パッド

の厚さを 12[μm]とし,その間の 10[μm]をスラリーが流

れるというモデルとする.

4.解析条件

本研究では非定常計算とし,スラリーの物性値として水

と同等の値をもちいた.移動粒子は循環するようにし,領

域から出た粒子は再び領域内に戻るようにし,粒子数が変

化しないようにする.ウェハの凹凸はパッドの凹凸に比べ

微小なので無視する.又,ウェハの移動速度を 0.1[m/s]と

し,パッドは固定されているものとする.今回解析を行っ

たモデルの一つは,クエット流れを実現するための凹凸の

無いパッドのモデル.もう一つは Fig.1のようなパッドの

表面に凹凸を持たせたモデルで,その最大凹凸差は 17[μ

m]としている.パッドの弾性化では,せん断応力-ひずみ

の関係から弾性係数をゴムと等しくなるようにした.

Fig.1 The surface of pad asperity layer

902

(2)

(3)

(4)

5.解析結果および考察

5.1 凹凸なしパッドにおけるスラリー流動解析

Fig.2 はパッド凹凸のない流れの結果であり,スラリー粒

子の速度はパッドからウェハに近づくほど比例するように

ウェハの移動速度に近づいている.これはクエット流れの

特性であり,平板間の水の流れを再現できたのではないか

と考えられる.

5.2 凹凸ありパッドにおけるスラリー流動解析

Fig.3 はパッド断面における速度ベクトル分布であり,

Fig.1のz方向の中間位置でとったx-y断面がである.

Fig.2 と同様にパッド近傍の粒子が大きな速度を持って,凹

凸の形状に沿った流れになっている.また,凹近傍のスラ

リー粒子の流れがよどんでいることを確認した.Fig.5 はパ

ッドとウェハの接触部分でとったx-z方向のパッド断面

における速度ベクトル分布である.粒子が壁を回り込むみ,

壁の間隔が狭い場所で粒子の速度が大きくなる様子を確認

できる.

5.3 弾性壁での流動解析

バネ接続は,粒子間距離が基準距離(初期状態での粒子間

距離)の 1.5 倍の距離の範囲にある粒子同士をバネ接続した.

パッド粒子もスラリー粒子と同様に圧力項、粘性項をもつ

ので、バネ接続をおこなわないとスラリー粒子と同様に流

体粒子のような動きをしてしまう.

今回はまず,凹凸の無いパッドで,スラリー粒子がある状

態でのせん断応力,ウェハとパッドが接触した状態での接

触摩擦応力,パッドを押しつぶす垂直応力から弾性係数を

求め,パッドの物性値を一致させようと試みた.その後,

凹凸のあるパッドに適用した.Fig.4 は x-y 方向のパッド

断面における速度ベクトル分布である.まだバネの力など

調整が必要な箇所が多々あり試作段階である.

5.4 砥粒モデルを組み込んだ流動解析

砥粒子モデルは粒子径を 100[nm]とし,扱いとしてはス

ラリー粒子と同じ扱いとする.粒子径が小さくなることに

よりパッドやウェハからの漏れが考えられるので,ウェハ,

パッドより与えられる力をスラリー粒子より大きくする.

現段階では,粒子の漏れがみられ,まだ試作段階である.

5.結言

今回ウェハの CMP 研磨におけるスラリー流動の粒子モ

デルによる数値解析を行った.

1) 凹凸なし流れでは,速度ベクトルが高さ位置に比例し

て大きくなる平板間の流れ(クエット流れ)を再現できた.

2) 凹凸ありの流れでは粒子が壁を回り込むような動きや

壁面間の狭い場所で速度が大きくなるという妥当な結果を

得た.

3) ウェハの移動に伴いパッドが引きずられる現象が確認

された.

パッドや粒子の挙動に今後もさらに改良を加え,より実現

象に近い解析結果とする必要がある.

[引用文献]

(1) 越塚誠一,数値流体力学,培風館

(2) 越塚誠一,粒子法,丸善

Fig.2 The velocity vectors on flat pad (Couette flow)

Fig.3 Velocity vectors on pad asperity layer(x-y)

Fig.4 Velocity vectors on elastic pad asperity layer(x-y)

Fig.5 Velocity vectors on pad asperity layer(x-z)

NC加減速運動に起因するマシニングセンタの輪郭加工誤差

Contouring Errors of Machining Center due to NC Acceleration/Deceleration Motions

○学 ニノ方 康寿(九産大) 牧内 誠吾 学 河野 真治(九産大院) 正 丘 華(九産大)

Yasutoshi NINOKATA and Seigo MAKIUCHI, Kyushu Sangyo University, Matsukadai 2-3-1, Higashi-ku, Fukuoka City Shinji KOUNO, Graduate School, Kyushu Sangyo University Hua QIU, Kyushu Sangyo University

Key Words : Machining Center, Cutter Path, Linear Interpolation, Acceleration/Deceleration Control, Contouring Error,

Motion Trajectory Simulation

1. 緒 言

マシニングセンタ(MC)の工具経路を直線で補間する場

合,二つの補間セグメントの間にドウェルを設けなければ,

セグメント間の NC 加減速運動によって加工されたワークの

輪郭はシャープな形にならず丸みを持つ形状になることが

良く知られている 1), 2).一方,曲線輪郭を精密に加工する場

合は,補間セグメントが短く設定されるので,セグメント間

の加減速運動は前後のセグメントのつながり部だけでなく,

後続するセグメントまでに影響を及ぼすことがある.この場

合,セグメント間の加減速運動は輪郭全般の精度に影響する

こととなる.しかし,この問題に関する研究はあまり公表さ

れていない. 本研究室では,多数の短い直線セグメントからなる工具経

路を対象とし,MC の加減速運動制御パラメータに基づいて

工具経路軌跡のシミュレーション方法を開発し,簡単な工具

経路の測定実験を通してその有効性を確認した 3).しかし,一般的輪郭加工において,他の誤差要因もワークの輪郭精度

に影響を及ぼすので,開発した方法によってワークの輪郭誤

差をどこまで予測できるかは不明な点がある.そこで,本研

究では,一般的なワークの輪郭切削実験を施し,予測した工

具経路と実測したワーク輪郭とを比較して,開発した方法の

誤差予測効果を検討する.以下にその結果を報告する.

2. 工具経路軌跡のシミュレーション方法 3)

本研究に対象とする MC はワシノ製のセミクローズドル

ープ方式のWMC- 4型立形中型MCである.このMCの場合,

駆動軸が v0から 0に減速するときの送り速度 vは次式で定義

することができる.

Ttt

evv1

0

−−

= (1)

また,駆動軸が 0 から v0へ加速する時の送り速度 v は次式に

よる.

⎟⎟⎠

⎞⎜⎜⎝

⎛−=

−−

Ttt

evv2

0 1 (2)

ここで,t は時間,T は駆動軸サーボ系の時定数,t1は減速開

始の時刻,t2は加速開始の時刻である.かつ,

ttt Δ+= 12 (3)

である.ΔtはNC装置の運動セグメント間の処理時間である.

すなわち,前のセグメントが減速してからΔt の時間が経つと,

次のセグメントの運動は開始する. 各セグメントの加速運動時間Δti はセグメントの指令長さ

Fig. 1 Machining contour and cutter path Li と指令送り速度 Fi から決まる.

iii FLt /=Δ (4)

一方,各セグメントは減速してから指令終点の 1μm 以内に

入る時点でその運動が停止することとする. したがって,MC の各駆動軸のサーボ系時定数とΔt の値が

わかれば,各補間セグメントの加減速運動がそれぞれ独立に

作動する前提条件のもとで,順番に工具経路を構成するセグ

メントの駆動軸運動速度を時間積分すると工具経路の軌跡

を求めることができる.

3. 輪郭加工実験の結果

使用する MC の同時制御軸数が 2.5 であるため,加工ワー

クの輪郭を図1に示す MC の XY 平面と YZ 平面上の2次元

輪郭を採用する.輪郭の曲線部分は,基礎円直径が 50mm,

展開角が 0°から 180°にわたる二つのインボリュート曲線を

互いに滑らかに接続させるように構成する.さらに,それを

補間誤差値 0.0245mm で直線補間して工具経路を生成する.

インボリュート曲線の曲率に応じて補間直線セグメントの

長さは 0.25mm から 3.91mm に変化している.元の直線部分

を含めて全輪郭の補間セグメント数は 88 である. XY 面の輪郭加工には,ナカニシ製の HES500 型高速スピ

ンドルを MC の主軸に取り付け,MC のテーブルに取り付け

たワークを送る.YZ 面の輪郭加工には,ワーク材を MC の

主軸に取り付け,テーブルに水平に取り付けたスピンドルを

903

(社)日本機械学会 九州学生会 第 42 回卒業研究発表講演会(No.118-2)論文集 2011/3/11

Involute curve

Linear segment

Δ

Δ

Δ

Δ=0.0245mm

Involute curve

Linear segment

Δ

Δ

Δ

Δ=0.0245mm

90

30

60

0 6030-60 -30

y/z [mm]

x/y [mm]

φ50

Feed direction

Involute base circle

Start point for machining

Involute curve

Linear segment

Δ

Δ

Δ

Δ=0.0245mm

Involute curve

Linear segment

Δ

Δ

Δ

Δ=0.0245mm

90

30

60

0 6030-60 -30

y/z [mm]

x/y [mm]

φ50

Feed direction

Involute base circle

Start point for machining

90

30

60

0 6030-60 -30

y/z [mm]

x/y [mm]

φ50

Feed direction

Involute base circle

Start point for machining

Table 1 Machining conditions for work piece

Spindle speed S (rpm) / Feed rate F (mm/min)

10000/1000, 20000/2000, 50000/5000

Radial depth of cut (mm) 0.1 for F=1000, 2000; 0.05 for F=5000

Axial depth of cut (mm) 5

Cutting conditions

Cutting fluid Wet Diameter (mm) 3

Flute number 2

Helix angle (°) 30

End mill

Material CO-HSS+TiN coating

Workpiece Material Duralumin A5052

Fig. 2 Measured contour and simulated cutter path trajectory on XY plane Fig. 3 Measured contour and simulated cutter path trajectory on YZ plane 送る.高速スピンドルに直径 3mm のエンドミルを装着し,

指定する回転数でスピンドルを回転する.ワーク輪郭の切削

条件を表1に示す. 加工したワークの輪郭をミツトヨ製の BHN506 型三次元

測定機を使用して測定する.輪郭一周にわたって 3460 点を

記録する.使用するプローブの直径は 3mm である.実験中

に MC の NC プログラムにエンドミルの半径補正をせず,三

次元測定機の測定結果にもプローブの半径補正をしないの

で,測定した輪郭データはそのまま加工中の工具経路に対応

している. 図2に送り速度 2000mm/min の XY 面上のワーク輪郭の測

定結果と工具経路のシミュレーション結果との比較例を示

す.他の送り速度の場合を含めて,測定結果とシミュレーシ

ョン結果の間に補間セグメント間の NC 加減速運動に起因す

る凹凸がよく対応している.また,補間セグメントの長さが

短いほど加減速運動の影響は大きくなる.なお,送り速度の

増加につれて,加減速運動による輪郭誤差は大きくなってい

る.輪郭一周にわたって,測定輪郭とシミュレーション工具

経路との間にわずか異なる箇所が見られるが,これは MC の

運動範囲において主軸に対するテーブルの姿勢変化などの

運動誤差の影響によるものと考えられる 4).このわずかの違

いを含めて見ても実測輪郭とシミュレーション工具経路は

よく一致している.したがって,供試 MC の場合に XY 面に

おける輪郭加工の精度は補間セグメント間の加減速運動の

影響を大きく受けることがわかる.特に,1000mm/min 以上

の送り速度を使用する仕上げ加工において,輪郭誤差はほと

んど NC 加減速運動の影響に起因するものとなる. YZ 面上のワーク輪郭測定結果と工具経路シミュレーショ

ン結果との比較例を図3に示す.いずれの場合にも,右側の

部分と比べて左側の部分に輪郭の測定結果は工具経路のシ

ミュレーション結果との一致程度が高い.工具経路 高位置

のセグメントの終了位置を境として,その右側にシミュレー

ション工具経路に対して輪郭曲線が十数μm から二十数μm程度の段差の形で下がっている.この境位置の付近で MC の

Z 軸の回転方向が入れ替えるので,MC の Z 軸のバックラッ

シの影響かバックラッシ補正値設定の不適切の影響によっ

て加工したワークの輪郭に段差が発生したと考えられる 4).

この点を考慮した上で各図の左側部分を比較すると,送り速

度 5000mm/min の場合にワーク輪郭の測定結果と工具経路シ

ミュレーション結果はよく一致していることがわかる.一方,

送り速度 1000mm/min の場合は,両者の一致程度がやや落ち

ている.これは,送り速度が小さいほど,セグメント間の加

減速運動に対してワーク輪郭誤差に他の要因の影響の占め

る割合が大きくなるからである 3).

4. 結 言

(1) 開発した直線補間工具経路のシミュレーション方法を

利用すると,供試 MC の NC 加減速運動に起因する輪郭加工

誤差をよく予測することができる. (2) 1000mm/min 以上の送り速度を使用する場合,供試 MCの輪郭仕上げ誤差は XY 平面上の加工の場合にほとんど NC加減速運動によるものであり,YZ 平面上の加工の場合はそ

れ以外に Z 軸の方向逆転による段差状の誤差も加わる. (3) 供試 MC 上で直線補間工具経路を使用して輪郭を加工

する場合,ワークの輪郭誤差を抑えるために,送り速度を小

さく設定することは必要である.特に工具経路の構成セグメ

ントが短いほどこの点は重要である.

文 献 1) H. D. Kwon and M. Burdekin, Adjustment of CNC machine tool

controller setting values by an experimental method, International Journal of Machine Tools & Manufacture, 38(1998), 1045.

2) 丘華・竹下義人・高田正昭,リンク機構を用いるNC工作機

械の運動精度測定法(第3報,小径円運動とコーナ部を含む

直線運動の精度測定),日本機械学会論文集,64C(1998), 4850. 3) 丘華・久保明雄・岡本経寿,セグメント間の加減速運動に起

因するマシニングセンタの直線補間工具経路の誤差推定,日

本機械学会論文集,76C(2010), 2719. 4) 垣野義昭・井原之敏・篠原章翁,DBB 法による NC 工作機械

の精度評価法,リアライズ社,1990.

90

30

60

0 6030-60 -30

Specified tool pathMeasured profileSimulated tool trajectory

y [mm]

x [mm]

F=2000[mm/min]

0.1 [m

m]

90

30

60

0 6030-60 -30

Specified tool pathMeasured profileSimulated tool trajectory

y [mm]

x [mm]

F=2000[mm/min]

0.1 [m

m]

0.1 [m

m]

90

30

60

0 6030-60 -30

Specified tool pathMeasured profileSimulated tool trajectory

z [mm]

y [mm]

F=1000[mm/min]

0.05 [

mm]

90

30

60

0 6030-60 -30

Specified tool pathMeasured profileSimulated tool trajectory

z [mm]

y [mm]

F=1000[mm/min]

0.05 [

mm]

0.05 [

mm]

90

30

60

0 6030-60 -30

Specified tool pathMeasured profileSimulated tool trajectory

z [mm]

y [mm]

F=5000[mm/min]

0.3 [m

m]

90

30

60

0 6030-60 -30

Specified tool pathMeasured profileSimulated tool trajectory

z [mm]

y [mm]

F=5000[mm/min]

0.3 [m

m]

0.3 [m

m]

904 PCD ドリルを用いた SiC へのマイクロ穴加工

Micro drilling into SiC by micro PCD drill

正 大西 修 正 土肥 俊郎 正 黒河 周平 ○学 江口 翔(九州大)

非会員 高橋 和男(三井造船株式会社) 非会員 藤田 房雄(株式会社アドマップ)

Osamu OHNISHI, Toshiro DOI, Syuhei KUROKAWA and Sho EGUCHI, Kyushu University, motooka 744,

nishi-ku, Fukuoka

Kazuo TAKAHASHI, Mitsui Engineering & Shipbuilding Co., Ltd.

Fusao FUJITA, ADMAP INC.

Key Words : SiC, drilling, micro drill, PCD, ultrasonic vibration, drilling performance

1. 緒言

近年の製品の小型化,高性能化に伴い,多くの機器・部品

に対して高精度・高能率に小径の穴加工を行う必要性が増し

ている.また,その対象となる穴もより小径化している.現

在,マイクロ穴加工の手法としては様々なものが挙げられる

が,比較的高能率・高精度・低コストで加工できる方法とし

てドリル加工は依然として有力な手法の 1 つである.

一方,加工する材料について見ると,セラミックスやガラ

スといった脆性材料に対しても高精度・高能率なマイクロ穴

加工の要求が高まってきているが,脆性材料のドリル加工は

一般的に困難なものである.しかしながら,脆性材料へのド

リル加工において軸方向に超音波振動を援用することによ

り,加工穴入口の欠けや加工穴壁面の表面性状に良い影響

を与える効果があることが報告されて 1),2)おり,ドリル加

工による高精度・高能率加工の実現が期待される.

本研究は,焼結ダイヤモンド(Poly Crystalline Diamond :

PCD)を刃先材料にしたマイクロドリルを用いて SiC に対

して,高品位・高能率なマイクロ穴加工を行うことを目的

としており,高品位・高能率な加工を実現するための方策

として超音波振動を援用する.本報では,工具の寿命試験

や加工穴入口および加工穴壁の観察を行い,マイクロ PCD

ドリルを用いた SiC の穴加工における加工特性を明らかに

した.

2. 実験装置および実験方法

穴加工で用いたマイクロドリルの外観を図 1 に示す.ま

た,マイクロドリルの諸元を表 1 に示す.

穴加工には立形マシンニグセンタ(牧野フライス精機

MSA40-0)を用いた.主軸にマイクロドリルを取り付け,

マシニングセンタテーブル上の超音波振動装置に固定され

た工作物(SiC)に対して穴加工を行った.

ドリルの寿命試験はドリルの回転速度と送りを変えて行

った.その際,下穴はあけず止まり穴加工を行った.寿命

試験の加工条件を表 2 に示す.

穴加工実験後,加工穴の直径とチッピングの幅を測定し

た.また,穴壁面も観察した.

3. 実験結果 3.1 ドリル寿命

ここではドリル折損までの加工穴数をもって寿命として

いる.回転数 1000min-1,送り 1.0μm/rev で寿命試験を行っ

たところ,超音波振動無付加時は 5 穴,付加時は 14 穴加工

することができた.この実験からは,振動を付加した方が

ドリル寿命が延びるという結果を得られた.そこで,振動

付加の条件で,送りの影響をみるために送り 0.1μm/rev で

寿命試験を行ったところ,120 穴加工できた.送りを遅く

したことで寿命は大幅に延びた.しかしながら,この条件

では送り速度が 0.1mm/min なので 1 穴を加工するのに約 4

分かかる.

次に,振動付加の条件で回転数の影響をみるため回転数

20

Fig.1 Fabricated micro PCD drill

Table 1 Characteristics of PCD drill

Table 2 Experimental conditions

Material of drill PCD (HV 9000)

Shape of drill

Diameter 300μm

Web thickness 150μm

Point angle 118deg.

Rake angle 16deg.

Chisel edge angle 115deg.

Tool PCD drill

Workpiece SiC (HV 4250)

Drilling condition

Rotational speed 1000, 10000min-1

Feed 0.1, 1.0 μm/rev

Drilling depth 300μm

Fluid Water-based coolant

Ultrasonic vibration Without/With(60kHz,0.4μm)

400μm

400μm

100μm

10000min-1 で寿命試験を行うと 115 穴加工できた.この条

件では送り速度が 1.0mm/min となり 1 穴あたり約 30 秒で

加工できた.以上の実験から穴加工の能率とドリルの寿命

の観点から考えると,回転数が高く,送りが遅い条件が適

していると考えることができる.

3.2 加工穴入口

図 2 に加工穴入口の SEM 画像を示す.加工穴入口の真

円度は写真から見る限りは比較的良いように思われる.一

方,回転数 1000min-1 よりも 10000min-1 の方がチッピング

幅は小さいように見受けられる.また,図 3 に加工の進展

に伴う加工穴入口の直径とチッピング幅のグラフを示す.

ここでチッピング幅とは加工穴入口のチッピングの半径方

向の厚さの大きいもの 3 つの平均を指す.直径は両者ほぼ

300μm を保っているが,チッピング幅は 1000min-1 でばら

つきが見受けられ,最大で 66μm に達した.10000min-1 で

はチッピング幅は最大でも 17μmであり 1000min-1よりも加

工穴入口の状態は良好であった.

3.3 加工穴壁

図 3 および図 4 に加工穴の穴壁の SEM 画像を示す.

1000min-1,0.1μm/rev,超音波振動無付加の条件では周期的

な加工痕が見られる.また,1000min-1 では,超音波振動を

付加した方が表面の性状は良くなっているように見える.

さらに送りが速い方が表面性状は良好である.特に

1000min-1,1.0μm/rev,超音波振動付加時は非常に良好な表

面になっているように観察された.一方で,10000min-1 で

の加工穴壁の表面性状は送りの増減,超音波振動の付加の

有無の違いでの明確な違いは見られなかったが,1000min-1

と比較すると細かな凹凸が見られた.加工穴壁の評価は表

面粗さなどの測定を行い,さらに分析する必要がある.

4. 結言

本研究では,マイクロ PCD ドリルを用いて SiC に対して

寿命試験,加工穴入口の観察また,加工穴壁の観察を行った.

寿命試験では回転数 1000min-1,送り 0.1μm/rev の条件では

120 穴,回転数 10000min-1,送り 0.1μm/rev の条件では 115

穴加工できた.ただし,加工時間は回転数 1000min-1 では 1

穴あたり約 4 分,回転数 10000min-1 では約 30 秒となる.

加工穴入口を観察すると直径は回転数 1000,10000min-1 と

もほぼドリル径の 300μm であったが,チッピングの幅は

10000min-1 の条件の方が小さくなった.加工穴の壁面の観

察では 1000min-1 の条件では送りを速く,超音波振動を付

加した方が良好な表面性状を得られることがわかった.

文 献 1) 鳥越ほか:マイクロ PCD ドリルを用いた石英ガラスの穴加工

における加工特性,20008 年度精密工学会九州支部福岡地方

講演会,(2008)pp.133-134

2) 和田ほか:ガラスのマイクロ穴加工における PCD ドリル先端形状の効果,2009 年度精密工学会九州支部佐賀地方講演会,

(2009)p.134

謝 辞

本研究を行うにあたりご指導いただいた九州大学大西准教授,

土肥教授,黒河准教授に厚くお礼申し上げます.また,ドリルを

提供していただいた,大分県産業科学技術センター水江主幹研究

員,SiC 基板を提供していただいた,三井造船株式会社高橋様,

株式会社アドマップ藤田様にあわせてお礼申し上げます.

1sthole 50thhole 100thhole

(a) 1000min-1,0.1μm/rev , with USV

1sthole 50thhole 100thhole

(b) 10000min-1, 0.1μm/rev, with USV

Fig.2 Drilled holes

Fig.3 Chipping and diameter of drilled holes

0.1μm/rev 1.0μm/rev

(a) Without USV

0.1μm/rev 1.0μm/rev

(b) With USV

Fig.3 Hole walls (1000min-1)

0.1μm/rev 1.0μm/rev

(a) Without USV

0.1μm/rev 1.0μm/rev

(b) With USV

Fig.4 Hole walls (10000min-1)

10

μm

100μm

10

μm

シリカ・水酸化フラーレン混合スラリーを用いた

サファイア CMPに関する研究

Study on Sapphire CMP by a mixed slurry of hydroxly fullerene and silica

○学 是澤 龍哉(九工大)

正 木村 景一(九工大) 正 鈴木 恵友(九工大)

正 パナート カチョーンルンルアン(九工大) 学 齊藤 貴志(九工大院) Tatsuya KOREZAWA(Kyushu Institute of Technology) Keiichi KIMURA(Kyushu Institute of Technology) Keisuke SUZUKI(Kyushu Institute of Technology) Panart Khajornrungruang(Kyushu Institute of Technology)

Takashi Saito(Kyushu Institute of Technology)

Key Words : CMP, fullerene, Sapphire ,FT-IR, hydroxly fullerene

1. 緒論

現在,産業機械部品や LED 基板に用いられる材料として

Al2O3(サファイア)が使用されている.このサファイア基

板の製造工程には MCP(Mechanical Chemical Polishing)が

適用されている.MCP とは研磨布を用いて機械的作用によ

り研磨を行うもので,ダイヤモンド砥粒により研磨を行っ

ている.しかし,MCP を行うことによって,基板表面にス

クラッチが発生し基板の品質に問題がある(1).そのため,

表面欠陥を無くし,高精度加工を行う技術として CMP が

必要とされている.

このサファイア基板表面の平坦化を目的に使用されてい

る技術として CMP(Chemical Mechanical Polishing)が

開発されている.CMP とは化学的作用と機械的作用により

研磨を行うことである.化学的作用ではスラリーと呼ばれ

る化学溶液を用いてウェハ表面に化学反応層を形成する.

そしてスラリー中の研磨微粒子により機械的に化学反応層

を除去していると考えられている.

CMP によりサファイア基板を研磨する場合,一般的にス

ラリーには SiO2 粒子を用いて研磨を行っている(2). しか

し,サファイア基板の特徴として高強度,耐薬品性などに

優れるとされているため,研磨時間の増加が懸念されてい

る(3).そこで本研究では,平坦化技術 CMP に SiO2 と水酸

化フラーレンを混合したスラリーを用いて CMP 加工実験

を試みた.

混合する研磨粒子として使用する水酸化フラーレンは粒

子径が約 1nm で均一なため従来の CMP に用いる研磨粒子

に比べて非常に小さいため体積当たりの表面積が大きい.

また水酸化フラーレンは化学反応性に富んでいる特徴を持

つ(4).そのため CMP 加工において化学反応速度の向上が期

待され,研磨速度の向上につながると推測される.

本報告では,SiO2・C60(OH)x 混合スラリーを用いた CMP

加工実験を行い,確認された効果について検討したので報

告する.

2.実験方法

本研究で用いる実験条件を Tab.1 に示す.実験装置には

Doctor-Lap ML-180 型(MARUTO 社製)を使用し,スラリー

には SiO2・C60(OH)x 混合スラリーと SiO2 スラリーおよび

C60(OH)xの 3種類に分け行った.研磨時間は 3時間で行い,

1 時間毎に表面形状測定を行った.表面形状測定には共焦

点レーザー顕微鏡(KEYENCE VK-9710)を用いて,Al2O3 の

研磨前後の表面粗さを測定し,研磨前後での表面粗さの改

善度を評価する.

Tab.1 Experimental conditions

Polishing time 180 min

Polishing pad IC1000

Polishing pressure 89.7kPa (13psi)

Relative polishing movement speed 0.63 m/s

slurry

Fullerene +

Silica slurry

solvent KOH (pH12)

Abrasive particle C60(OH)x

(0.01wt%)

Particle size 1nm

Abrasive particle Fumed

silica(AEROSIL

130) (5wt%)

1st order Particle

size

16nm

Silica slurry solvent KOH (pH12)

Abrasive particle Fumed silica

(AEROSIL 130)

(5wt%)

1st order Particle

size

16nm

Fullerene solvent KOH (pH12)

Abrasive particle C60(OH)x

(0.01wt%)

Temperature Room

temperature

(25℃)

Sample Al2O3

Sample size 12mm × 12mm

Slurry supply rate 12ml/min

3.実験結果と考察

Al2O3 を 3 種類のスラリーを用いて CMP 加工を行い,研

磨前後の表面粗さを示した結果を Fig.1,Fig.2 に示す.Fig.1

では SiO2・C60(OH)x 混合スラリー場合,3 時間後の表面粗

さ Ra は 0.4μm となっており,SiO2 スラリーの表面粗さ

Ra が 0.56μm,C60(OH)x スラリーの表面粗さ Ra が 1.4μm

905

と比較すると表面粗さが改善されていることが分かる.ま

た,C60(OH)x だけのスラリーでは表面粗さは向上しなかっ

た.また SiO2 では研磨出来ているものの混合した場合と比

べ表面粗さが向上していないことが分かる.また,Fig.2 で

も Rz は Ra と同じ傾向を表す結果となっており、SiO2 スラ

リーと SiO2・C60(OH)x 混合スラリーの表面粗さが向上して

いることが分かる.

次に Fig.3 は研磨前と 3 時間研磨後の表面顕微鏡像の結

果であり,研磨前は 3 種類ともに変化がないものの 3 時間

研磨後では,SiO2・C60(OH)x 混合スラリーが最も表面が改

善されていることが確認された.

そして,今回研磨前に使用した C60(OH)x が研磨実験後の

C60(OH)x の変化を考察するため,赤外分光法を用いた.使

用した装置として,フーリエ変換型赤外分光法(FT-IR)を用

いて観察を行った.今回の測定方法には FT-IR の透過測定

を行い,手法としてヌジョール法を用いて分光観察を行っ

た.観察結果は, C60(OH)x の粒子に流動パラフィンを混ぜ

たものと 3 時間研磨後の C60(OH)xスラリーを充分に乾燥さ

せたものに流動パラフィンを混ぜたものの 2 種類を比較対

象として行った.

Fig.4,Fig.5 は,FT-IR による水酸化フラーレンの強度ス

ペクトルの結果を表している.これより,研磨前の水酸化

フラーレンと 3 時間研磨後の水酸化フラーレンの OH 基の

数や形状が近似していることから,水酸化フラーレンの残

存が見られた

4.結言

本研究では,SiO2・C60(OH)x 混合スラリーを作製し,CMP

加工実験を行った結果,次のような結果となった.

1) C60(OH)x のみでの研磨前後の変化は確認されなかっ

2) 今回の実験で,C60(OH)x を混合したスラリーの方が

一般的に用いられる SiO2 スラリーに比べ,表面粗さ

が改善された.

3) 研磨前の水酸化フラーレンと研磨後の水酸化フラー

レンでは水酸化フラーレンの残存が見られた.

文 献

1)特開 H7-108453

2)特開 2009-28814

3)特願 H11-263859

4)篠原久典,齋藤弥八:フラーレンの化学と物理,名古屋大学出版会(2000).

Fig.1 change of surface roughness(Ra)

Fig.2 change of surface roughness(Rz)

Fig.3 change of surface picture

Fig.4 strength spectra of C60(OH)x

Fig.5 strength spectra of C60(OH)x at after 3hour polishing

906 CMP における材料除去現象に関する研究

-凝着現象の化学的な解析-

Study on the material removal mechanism in CMP process

-Chemical analysis of adhesion phenomenon-

○学 高橋 昂(九工大情報工)

[指導教員]正 鈴木 恵友, 正 木村 景一, 正パナート・カチョーンルンルアン

Suguru TAKAHASHI

Keisuke SUZUKI, Keiichi KIMURA, Panart KHAJORNRUNGRUANG

Kyushu Institute of Technology, kawazu680-4, iizuka-shi, Fukuoka

Key Words : CMP, FT-IR spectroscopy, the material removal mechanism,

1. 緒言

近年,半導体の高集積化に伴い,多層配線化や素子の微細化

が進行し,CMP(Chemical mechanical polishing)においてはより

高い均一性や平坦性が求められている.今後 CMP の技術レベ

ルを向上させていくためには,今までの様な経験的手法ではな

く材料除去メカニズムの観点から,最適な研磨手法を開発する

必要がある.これまで,化学的作用に着目した材料除去メカニ

ズムに関する研究は,木村らにより凝着モデルが提案されてき

た 1).このモデルは,研磨時にスラリー中の微粒子が,被加

工面に形成された表面反応層と衝突する際,微粒子に表面反

応層が凝着して,材料除去をするというモデルである.し

かしながら,材料除去メカニズムに関する理解は,これま

で定性的な理解しか行われておらず,研磨性能に対してど

の様に影響するのか明らかでない.したがって,本研究で

は,材料除去メカニズムの定量的理解を行うために,表面

反応層の定量化に注目した.ここでは,赤外分光法を適用

することで,ガラス表面に発生する反応物の固定とそれぞ

れの生成量に関する定量化を行ったので報告する.

2. 表面反応層に関するモデル

これまで,表面反応層に関して次のような考察がされて

いる 2).

(a)ウェハ(SiO2)表面の反応

被加工面の SiO2 のシロキサン結合(Si-O-Si)は水分子で次

式により水和化される.

XSiO2 + 2H2O ⇄ (X-1)SiO2 + Si(OH)4 (1)

研磨微粒子の滑動に伴う温度上昇により,シリカ表面の

溶解が次式の右方向に進行する.

≡Si-O-Si≡ + H2O ⇄ 2≡Si-OH (2)

高い pH 領域では式(2)の生成物は,溶液中の水酸基によ

ってシリカ表面の≡Si-O-Si≡から水素イオンが引き抜

かれ,≡Si-O-へと反応が進む.

≡Si-OH2≡ ⇄ Si-OH ⇄ ≡Si-O- (3)

(b)微粒子-ウェハ間の反応

粒子(SiO2)の表面にもアルカリ溶液中では Si-OH 結合が

存在し,ウェハ表面と微粒子が反応して Si-O-Si の結合

ができる.

≡Si-O- + ≡Si-OH ⇄ ≡Si-O-Si≡ + OH- (4)

3. 評価方法及び実験方法

本研究では材料除去メカニズムにおける,ポリシングパ

ッドによる要因,スラリーによる要因,加工材料による要

因についてモデル実験を行なった.ここでは最初の取り組

みとして,ポリシングパッドによる要因を評価した.

評価方法としてはフーリエ赤外分光法(日本分光社製

FT-IR-615)で行った(Fig.1 参照).また,ポリシングパッ

ドやウェハの表面状態を測定するために, ATR 法

(Attenuated total reflection method)を使用した. ATR 法は日本

分光社製 ATR-500/M 型,ATR 結晶は KRS-5 を使用した.

ATR-500/M 型の外観図を Fig.2 に示す.

Fig.1 FT-IR Fig.2 ATR-500/M

実験手順としては,純水と KOH 溶液を pH10.5,pH12.5

に調整し,ポリシングパッド(IC1000)を室温で 15 分間浸漬

した.そして,ポリシングパッド表面の結合状態の変化を

測定した.次に,各溶液中で SiO2 膜付きのウェハをポリシ

ングパッドに接触させた時,ポリシングパッドの転写状態

や SiO2 膜の結合状態の変化についても測定を行った.

4. 実験結果及び考察

Fig.3 に(a)pH10.5,(b)pH12.5 の赤外吸収スペクトルを示

す.ここではウレタン結合を反映し,カルボニル結合や,

エステル結合,エーテル結合の特徴的なピークが確認され

た.しかしながらこれまでの研究結果と比較したところ,

KOH を浸漬してもエステル結合のピークが増大されなか

った 3).これまでの研究との違いは,温度やスラリーの化

学成分,コンディショニングによるものの影響と推測され

る.

Fig.3 FT-IR Spectra on Polishing pad

次に,Fig.4 に SiO2 膜表面の赤外吸収スペクトルを,Fig.5

に pH10.5 溶液中でポリシングパッドとウェハを接触させ

た後のポリシングパッドのスペクトルと SiO2 膜表面の赤

外吸収スペクトルを示す.SiO2 膜表面のスペクトルでは,

ポリシングパッドに起因したピークが確認されず,

1100cm-1 付近に新しいピークが出現した.このピークは,

Si-OH,Si-OCH3 によるものか,Si-O-Si の歪みに起因した

ものであると思われる.

Fig.4 FT-IR Spectrum on SiO2 film

Fig.5 FT-IR Spectra on Polishing pad and SiO2 film

次に,Fig.6 に pH10.5 溶液中でポリシングパッドとウェ

ハを接触させた後の SiO2 膜表面の赤外吸収スペクトルを,

Fig.7 に純水中で同様の処理をしたときの SiO2 膜表面の赤

外吸収スペクトルを示す.Fig.6 と Fig.7 の赤外吸収スペク

トルには,水素結合に起因した吸着水のピークが確認され

た 4) 5) 6).また, Fig.6 と fig.7 の両スペクトルにおいて

1100cm-1 付近に新しいピークが出現した.ピークの起因と

しては,Fig.5 で議論したピークの起因の他に,吸着水の影

響が推察される 4). しかし,ピークの断定,吸着水の水素

結合の強度と X のピーク強度の相関関係については,十分

理解されていないため,継続的に解析する.

Fig.6 FT-IR Spectrum on SiO2 film after dipping

KOH solution(pH10.5)

Fig.7 FT-IR Spectrum on SiO2 film after dipping D.I.W

5. 結言

①赤外吸収スペクトルにおいて,KOH 溶液中でポリシング

パッドと SiO2 膜を接触した場合,1100cm-1 付近に新たなピ

ークが出現した.このピークは,Si-OH,Si-OCH3,または

Si-O-Si の歪みに起因すると推測される.

②KOH 溶液中と純水中でポリシングパッドと SiO2 膜を接

触した場合,水素結合に起因した吸着水のピークが赤外吸

収スペクトルにより確認された.この時,①と同等なピー

クが確認された.

参考文献

1)木村景一ら,2010年度精密工学会全国秋期大会講演論文,

pp.737-738 (2010.9) pp146-148

2) 渡辺純二, 化学・機械複合作用による精密研磨技術,砥

粒加工学会誌 44,7 (2000) 324-326

3)藤田隆ら,精密工学会誌 Vol74,No8,2008 pp815-819

4)竹内雅人ら,マテリアルインテグレーション Vol.19

No.07 (2006)

5)Z. Wang, A. Pakoulev, Y. pang, D.D.Dlott, J.Phys. Chem. A,

108, 9054 (2004)

6)M. Takeuchi, L. Bertinetti, G. Martra, S. Coluccia, M. Anpo,

Appl. Catal. A: General, 307, 13 (2006)

謝 辞

本研究を行うにあたり,九州工業大学機器分析センターの

設備を利用しました.厚くお礼申し上げます.

907 CMPにおけるスラリー流れに関する研究

-画像解析による定量的評価-

Study on slurry flow distribution between polishing pad and wafer during CMP

-Evaluation of the slurry flow distribution by photoluminescence image processing method-

○学 知覧 勇気(九州工業大学)

[指導教員]正 鈴木 恵友, 正 木村 景一, 正 パナート・カチョーンルンルアン

Yuuki CHIRAN

Keisuke SUZUKI, Keiichi KIMURA, Panart KHAJORNRUNGRUANG

Kyushu Institute of Technology, kawazu680-4, iizuka-shi, Fukuoka

Key Words : CMP, slurry flow, photoluminescence, image processing

1. 緒言

近年,半導体分野において超 LSI の高集積化,高性能化

に伴い,素子の微細化や配線の多層化が進行している.

そのため,CMP(Chemical Mechanical Polishing)に要求さ

れる研磨性能に関するスペック値が厳しくなっており,

このスペック値に対応するため,これまで CMP の研磨

性能を改善するための多くの研究がなされてきた 1).そ

の中でもスラリー流れやウェハ面内での供給量の分布を

制御することは,均一性を改善するうえで重要である.

しかしながら,これまでスラリー流れを可視化する研究

を試みてきたが,定性的であり未解明な部分が多い.そ

こで本研究では,蛍光剤からの発光量の分布を計測し,

画像解析を行うことで,スラリー流れの定量化を行った

ので報告する.

2. 実験方法および解析方法

実験装置を Fig.1, 実験条件を Table.1 に示す.可視化を

行うため,厚さ 50mm の石英基板(φ200mm)を用いた.ポ

リ シ ン グ パ ッ ド に は , ポ リ シ ン グ パ ッ ド A と

IC1000/SUBA400(K-Groove, XY-Groove, W/O-Groove)の 4

種類を使用した.スラリーの代替えとして蛍光剤を用い,

紫外線を照射したときの石英基板下の蛍光の分布を

CCD カメラで観察する.蛍光剤の滴下位置を Fig.2 に示

す.パッド中央から外側へそれぞれ in, middle, out と設定

し,定盤回転数はそれぞれ 30, 60min-1 とした.

Fig.1 Experimental device Fig.2 Phosphor supply positions

and measurement points

輝度分布を定量化するため,CCD 画像から Image J で発

光強度へ変換する.定量データへの変換は,パッド中心

から外側へ A, B, C のポイントで行った.

Table.1 Experimental conditions

Wafer Quartz substrate(φ200mm)

Platen revolution 30, 60[min-1]

Wafer revolution 30, 60[min-1]

Liquid flow rate 100[ml/min]

Phosphor supply point in, middle, out

Polishing pad type

Polishing pad A

IC1000(K-Groove)

IC1000(XY-Groove)

IC1000(W/O-Groove)

3. 実験結果および考察

3.1 ポリシングパッド A

(ⅰ)回転数依存性

ポリシングパッド A における回転数依存性の実験結果

を Fig.3, Fig.4 に示す.ここでは,滴下位置 in における輝

度の時間変化であり,定盤およびウェハの回転数は,

Fig.3 が 30min-1, Fig.4 が 60min-1 である.輝度が定常状態

に達するまで,30min-1 では 30 秒かかるのに対し,60min-1

では 60 秒必要である.この時,60min-1 における外側の

輝度は,30min-1 と比べて顕著に低く,回転数の変化に対

して蛍光剤の流れ方が変化する様子が見られた.その理

由としては,in に滴下された蛍光剤は,回転に対してウ

ェハの後方淵沿いにたまるように流れ(液だめ),パッド

の回転とともにウェハ内へ蛍光剤が入り込むことが考え

られる.これは,液だめが 30min-1 の低回転数では十分

に形成されたが,60min-1 の高回転数では,液だめが遠心

力の影響を強く受け,石英基板内に入り込む量よりパッ

ドの外側へ流れる量の方が多くなったものと考えられる.

Fig.3 Dependence of Brightness on process time

at the condition of 30min-1 revolution speed

Fig.4 Dependence of Brightness on process time

at the condition of 60min-1 revolution speed

(ⅱ)滴下位置依存性

ポリシングパッド Aにおける滴下位置依存性の実験結果

を Fig.5 に示す.Fig.5 には,滴下位置 middle における

30min-1 のときの輝度における時間変化をプロットする.

ここでは石英基板の内側の輝度が低く,外側が高い傾向に

あった.その理由は,滴下位置がパッドの中心より外側へ

移動した時,遠心力が働くことによるものと考えられる.

ここでは,スラリーの流れは,ウェハ自体の回転による影

響よりもパッドの溝形状による影響が大きいため,内側へ

の回り込みが尐ないものと推測される.

Fig5. Dependence of Brightness on process time

for middle position on phosphor supply

3.2 IC1000/SUBA400 における溝形状依存性

ポリシングパッドの溝形状による依存性の実験結果を

Fig.6 から Fig.8 に示す.滴下位置は in,回転数は 30min-1

に設定した.Fig6 は K-Groove を使用した時の結果である.

K-Groove では,ウェハ面内に均一に輝度が上昇していく

傾向がある.その後,60 秒後に輝度は定常状態に達する.

Fig7 は XY-Groove を使用した時の結果である.XY-Groove

では,ウェハ面内における輝度の上昇が不均一である.そ

の後,輝度が定常状態に達する時間は 30 秒であり,

K-Groove よりも短い.Fig8 は W/O-Groove を使用した時

の結果である.W/O-Groove では,ウェハ面内における輝

度の上昇が不均一であり,定常状態に達するまでの時間は,

XY-Groove と同じ 30 秒であった.また, K-Groove,

XY-Groove と比較して,滴下開始からの輝度の増加量が小

さい.これらの結果から,ウェハ面内へのスラリー流入は,

ポリシングパッド表面のアスペリティの効果よりも,溝形

状の間隔と排出性による影響が支配的であると推測され

る.

Fig6. Dependence of Brightness on process time

using IC 1000/SUBA400(K-Groove)

Fig.7 Dependence of Brightness on process time

using IC 1000/SUBA400(XY-Groove)

Fig.8 Dependence of Brightness on process time

using IC 1000/SUBA400(W/O-Groove)

4. 結言

1. ポリシングパッド A では,中心からの滴下の場合,

定盤およびウェハの回転数は 30min-1 が 60min-1 と比較

して,輝度が定常状態に達するまでの時間が短いこと

が分かった.

2. 外側からの滴下の場合,ウェハ自体の回転による影

響よりも溝形状による影響が大きく,蛍光剤の内側へ

の回り込みは尐ないことが分かった.

3. IC1000/SUBA400 における溝形状依存性を調べた結

果,ウェハ面内への蛍光剤の回り込みと定常状態に達

するまでの時間は,溝形状による影響が支配的である

ことが分かった.

[謝辞]

本研究を行うにあたりニッタ・ハース株式会社のご協力

を賜りました.この場を借りて厚く御礼申し上げます.

[参考文献]

1) 土肥俊郎;詳説 半導体 CMP 技術 工業調査会出版

908 結晶粒微細化組織を有するアルミニウム合金の切削特性 Cutting performance aluminum alloy with grain refining structure

○学 米村 星人(九工大・情報工)

中村 克昭(九工大),吉丸 将史(西工大),是澤 宏之(九工大),楢原 弘之(九工大),鈴木 裕(九工大)

Hoshito YONEMURA, Kyushu Institute of Technology, Kawazu 680, Iizuka-shi, Fukuoka Katsuaki NAKAMURA, Kyushu Institute of Technology, Kawazu 680, Iizuka-shi, Fukuoka Masafumi YOSHIMARU, Nishinippon Institute of Technology, Aratsu1-11, Kanda-machi, Miyako-gun, Fukuoka Hiroshi KORESAWA, Kyushu Institute of Technology, Kawazu 680, Iizuka-shi, Fukuoka Hiroyuki NARAHARA, Kyushu Institute of Technology, Kawazu 680, Iizuka-shi, Fukuoka Hiroshi SUZUKI, Kyushu Institute of Technology, Kawazu 680, Iizuka-shi, Fukuoka

Key Words : grain refining structure, milling, aluminum

1. 緒論

アルミニウム合金は軽量かつ耐食性が良いことから,船舶

や陸上構造物,車両等に用いられてきた.上記の利点に加え,

被削性が良好であることから高速加工が可能であり,金型で

は主に試作型として用いられてきた.さらに,良好な熱伝

導率を有することに着目して成形サイクルの短縮,成形性向

上,金型製作期間の短縮,段取り性向上を狙い,実用の金型材

料として期待が高まっている.一方で,アルミニウム合金は

鋼材に対して,機械的強度が劣るという問題がある.強度向

上の手法として結晶粒微細化処理が挙げられる.中村らが提

案した CREO1), 2)処理(旧名:STSP)は,せん断ひずみを与える

ことで連続的に微細化が可能であり,金型材への適用が期

待できるが,本手法により結晶粒を微細化した材料の被削

性に関する報告は未だになされていない. 本研究では,A6061 押出材および CREO 処理を施した

A6061CREO 材(以下,前者を押出材,後者を CREO 材と表

記する)を対象とし,金型材としての評価の一環として被削性

に関する調査を行った. 2. CREO 処理

金属材料は一般に結晶粒径が微細になるほど強度が高く

なることが知られている.結晶粒微細化処理の機構は,ひ

ずみを与え,ひずみによって結晶粒界に転位やすべり線を

生じさせ,新たな結晶粒界が発生するようになっている.Fig.1に今回微細化処理の一手法として採用した CREO処理の概

観図を示す.CREO 処理は棒材を高周波加熱で加熱して局

部的に低変形抵抗の領域を形成し捻り変形を付与すること

で連続的にせん断ひずみを生じさせ,隣接する冷却装置に

よって結晶粒の粗大化を防ぐ仕組みになっている.

3. 実験および評価方法

CREO 処理は A6061 押出材を用いて,590℃で加熱し,

横移動速度を 450mm/min で 80min-1の捻りひずみを与えた.

その後, 175℃×16Hr の時効処理を施した.まず CREO 処理

による強度の向上を確認するために引張試験機(㈱島津製作

所製 AG-X)を用いて引張試験を行った.Fig.2 に試験片の形

状を示す.次に切削実験に関しては,工具径 4mm の窒化クロ

ムコーティングを施した超硬合金エンドミルを採用した.

工作機械にはマシニングセンタ MC430L (㈱ソディック製)を用い,被削材を一方向送りのダウンカットにて上面切削

を行った.切削特性の評価方法として,工具刃先,加工面

の観察,表面粗さおよび切削抵抗の測定を行った.工具刃

先および,加工面の観察には,それぞれデジタルマイクロスコ

ープ DS-3USV(㈱マイクロ・スクェア),VHX-100(㈱キーエン

ス),表面粗さの測定にはサーフコム 110B(㈱東京精密),切削抵

Fig.1 CREO’s system

Table1. Cutting condition for the experiment

Cuttig speed [m/min] 200 300 400 500Spindle speed [min-1] 15915 23873 31831 39789Feed per tooth [mm/tooth]Radial depth of cut [mm]Axial depth of cut [mm]Atmosphere

0.010.082.0Dry

Work pieceA6061

A6061(Added CREO)

(社)日本機械学会 九州学生会 第 42 回卒業研究発表講演会(No.118-2)論文集 2011/3/11

Fig.3 Schematic of experiment

Fig.2 Tensile test specimen

Fig.4 Relationship between compressive load and growth

抗の測定には圧電式 3 型動力計 9256B(㈱日本キスラー製)を使用

した.切削抵抗は, x軸方向分力を Fx,y 軸方向分力を Fy とし

て測定した.Table1.に切削条件,Fig.3 に切削実験の概観図

を示す. 4. 実験結果および考察

4.1 引張試験結果 Fig.4 に押出材と CREO 材の引張試験結果を示す.Fig.4 よ

り CREO 材と押出材を比較すると,CREO 材は約 15%高い

強度を示し,伸び量は約 25%低下した.結晶粒界により転

位の移動が阻害されるとされており、微細化によって高強

度化したものと考えられる 4.2 表面粗さ測定結果 押出材と CREO 材の加工面の表面粗さを Fig.5 に示す.

押出材に関しては, 400m/min にて表面粗さが最小となり,

CREO 材に関しては 500m/min において最小となった.全

体の傾向として高速領域で面粗度が良好となり,最も高速

側である 500m/min では、押出材と CREO 材の表面粗さの

差は見られなくなった。Fig.6 に両材料の低速切削領域と高

速切削領域の加工面の写真を示す.低速切削領域では両材

料ともむしれや不規則な加工痕が見られた.これにより表

面粗さが悪化したと考えられる。理由としては,切り屑を

巻き込んだ状態で切削していた可能性がある.一方で,高

速切削領域では大きな加工痕等は見られず,切り屑を巻き

込まずに切削していたのではないかと考えられる.Fig.7 に

400m/min で切削した時の CREO 材の加工面と底刃を示す.

底刃には溶着物と切り屑が見られ,加工面にはむしれと思

われるくぼみや加工痕が見られた.よって,底刃に被削材

の溶着,切り屑の巻き込みが生じたため,表面粗さが悪化

したものと考えられる. 4.3 切削抵抗 押出材および CREO 材の,主軸回転数と Fx,Fy の関係を

Fig.8 に示す.いずれの材料においても主軸回転数を上げて

いくと切削抵抗が増大していることが分かる.両材料の切

削抵抗の上昇は,切削速度の増大により工具から被削材へ

の負荷が増加したことに起因すると考えられる.また,

400m/min から 500m/min にかけて Fx,Fy が大きく上昇して

いる.高速切削領域では若干ではあるが CREO 材での切削

抵抗が低くなる傾向を示した。 5. 結論

本報では,金型材としての評価の一環として A6061CREO材の切削を行い以下の結論を得た.

(1) 全体の傾向として高速速度側で表面粗さが良好とな

った.最も高速側である 500m/min では、押出材と

CREO 材において、面粗度の顕著な差は見られなか

った. (2) 今回実験範囲でのCREO材の最良の面粗度は,500m/min

の条件において表面粗さ 1.04μm であった. (3) 高速切削領域では若干ではあるが CREO 材での切削

抵抗が低くなる傾向を示した. (4) 400m/min の CREO 材に加工痕が見られ、これにより

面粗度悪化したものと考えられる.

[謝辞] 本研究を行うにあたり,西日本工業大学 吉丸 将史助教,中村 克昭特任教授,鈴木 裕教授にご指導いただきました.厚くお礼申し上げます.

Cutting speed [m/min]

Surf

ace r

ough

ness

Rz [

μm] Al CREO

0.0

1.0

2.0

3.0

4.0

5.0

200 300 400 500

Fig.5 Relationship between roughness and cutting speed

Low speed(200m/min) High speed(500m/min)

Al

CREO

100μm 100μm

100μm 100μm

Fig.6 Low and high speed’s surface

CREO(400m/min)

200μm200μm

Adhesion of materialChips

Adhesion of materialChips

100μm

Fig.7 CREO’s surface and tool edge

(Cutting speed is 400m/min)

0

50

100

150

200

250

300

200 300 400 500

Cutting speed[m/min] Cutting speed[m/min]

Fx

[N]

Fy[N

]

Al CREO

0

50

100

150

200

250

300

200 300 400 500

Fig.8 Relationship between cutting forces and Spindle speed

参考文献

中村ほか :恒温鍛造用金属素材の連続結晶粒微細化プロセス”STSP” アルトピア, 12,(2003), 9 中村ほか:強ひずみ高速連続加工プロセス”STSP”による調質型 Al 合金 A6061 の微細組織制御, 第 55 回塑性加工連合講演会

(2004), pp393, 394

1) 2)

レーザ誘導方式深穴形状精度測定システムの開発

―圧電アクチュエータの作動特性―

Development of a Laser-Guided Deep-Hole Measurement System

―Characteristic of a Piezoelectric actuator―

○学 岩元 真一(九州大) 学 佐藤 剛(九州大)

Alexandre BERJAUD (IFMA) MD. Hazrat ALI (IIUM)

Shinichi IWAMOTO, Kyushu University, 744 Motooka, Nishi-ku, Fukuoka

Tsuyoshi SATO, Kyushu University

Alexandre BERJAUD, French Institute in Advanced Mechanics

MD. Hazrat ALI, International Islamic University Malaysia

Key Words : deep-hole, measurement, accuracy, laser application

1. 緒言

穴深さ/穴径(L/D)比が特に大きい穴形状の測定は極めて

困難である.この深穴を精度良く測定する目的でレーザ誘

導方式深穴形状精度測定システムの開発を行っている(図

1)1).

システムの姿勢制御に圧電アクチュエータを用いている

が,圧電アクチュエータは一定の電圧がかかっていても,

作用している荷重が変化する際,変位する.このため,測

定ユニットを回転させると,測定プローブの姿勢が変化し,

測定誤差が生じる.したがって,変動荷重が作用し,姿勢

が変化しても,素早く姿勢を元に戻す制御をすることが重

要である.

本研究では,サポーティングパッドの位置が変化しない

ように,測定中に圧電アクチュエータを制御し,測定プロ

ーブの姿勢を保持し,測定精度の向上を目指す.

2.実験装置

図 1 に測定システムの構成を示す.測定プローブ前方に

設置したレーザ測長器により穴形状の測定を行う.レーザ

測長器から発射されたレーザ光は,測定ユニット上のペン

タプリズムに入り 90°定偏角され,スタイラスに固定され

たコーナキューブプリズムに入り,再帰性反射されてレー

ザ測長器へと戻る.穴壁の凹凸によりスタイラスと共にコ

ーナキューブプリズムが変位すると光路長が変化し,レー

ザ測長器は光の干渉により光路長の変化を検出する.

測定プローブ後方に設置した姿勢検出光学系により測定

プローブの変位および傾きを測定する.測定プローブ後部

に設置された半導体レーザから発射されたレーザ光は,姿

勢検出光学系に入り,ミラーおよびビームスプリッタを経

て CCD カメラ δ,i に入り,レーザスポットの位置が検出

される.

3.実験方法

測定プローブをガイドブシュの中に設置し,測定プロー

ブの中心軸とガイドブシュの中心軸が一致するように,圧

電アクチュエータを用いて,測定プローブの姿勢(変位およ

び傾き)を制御する.この後,下記の 2 種類の実験を行う.

3.1 姿勢変化の測定

穴の形状測定は行わずに測定ユニットを 3 回転させ,測

定プローブの姿勢変化の測定を行う.

3.2 リングゲージの測定

測定ユニットを回転させ,リングゲージを用いて,穴の

形状測定を行う.リングゲージの直径は 110mm であり,

909

Fig.1 Measurement apparatus

リングゲージの検査成績表によると,リングゲージには x

方向は+1.1μm,y 方向は+1.0μmの誤差がある.

また,それぞれの実験は,測定ユニットを回転させる際

に,図 2 に示すサポーティングパッドの位置を保持するよ

うに圧電アクチュエータを制御しない場合と,制御する場

合で,実験を行う.なお,回転中の圧電アクチュエータの

制御方法は,比例制御である.

4.実験結果および考察

4.1 姿勢変化の測定

測定プローブの姿勢変化の結果を図 3 に示す.測定ユニ

ットの中心点がガイドブシュの中心点から x,y 方向のそれ

ぞれにどの程度,変位したか,測定プローブの中心軸がガ

イドブシュの中心軸から x,y 方向のそれぞれにどの程度,

傾いたかを示している.

1) 制御なし

変位,傾きのグラフともに,楕円状に変化を起こしてお

り,振れ幅,ばらつきが大きい.

2) 制御あり

x 方向の振れ幅は小さく,y 方向の振れ幅は制御なしの場

合とあまり変化が見られない.ばらつきは両方向ともに,

制御をしない場合よりも小さい.

4.2 リングゲージの測定

本研究で使用したシステムでの測定と比較するために,

真円度測定器でのリングゲージの測定結果を図 4 に示す.

測定プローブで測定したリングゲージの測定結果を図 5 に

示す.

1) 制御なし

縦長の楕円になっており,真円度は 3.4μm である.

2) 制御あり

制御しない場合より真円度測定機での測定結果に近付い

ており,真円度は 2.1μmである.

5.結言

本研究では,圧電アクチュエータの位置制御を行うこと

で,プローブ姿勢を一定に保つ制御を行い,測定精度を調

べることにより,次のようなことが明らかになった.

1) 測定プローブの姿勢変化をある程度,抑えることが

でき,リングゲージの測定結果もよくなった.測定ユニッ

ト回転中の圧電アクチュエータの位置制御がプローブ姿勢

維持に有効である.

2) 測定プローブの姿勢変化をさらに抑えるために,有

効な制御方法を追求する必要がある.

文献 1) 甲木,鬼鞍,佐島,村上,2010 年度精密工学会春季大

会学術講演会講演論文集 (2010). 2) 岩元,佐藤,A.Berjaud,2010 年度精密工学会九州支部

第 10 回学生研究発表会講演論文集,199p.

謝 辞

本研究を行うにあたり,甲木昭雄先生,土肥俊郎先生にご指導いただきました.厚くお礼申し上げます.

Fig.2 Piezoelectric actuator

-60

-30

0

30

60

-60 -30 0 30 60

dis

pla

cem

ent

y μ

m

displacement x μm

-6.0

-4.0

-2.0

0.0

2.0

-4.0 -2.0 0.0 2.0 4.0

incl

inat

ion

y 1

0-3

deg

inclination x 10-3deg

(a) without control

-60

-30

0

30

60

-60 -30 0 30 60

dis

pla

cem

ent

y μ

m

displacement x μm

-6.0

-4.0

-2.0

0.0

2.0

-4.0 -2.0 0.0 2.0 4.0

incl

inat

ion

y 1

0-3

deg

inclination x 10-3deg

-60

-30

0

30

60

-90 -60 -30 0 30

dis

pla

cem

ent

y μ

m

displacement x μm

-4.0

-2.0

0.0

2.0

4.0

-6.0 -4.0 -2.0 0.0 2.0

incl

inat

ion

y 1

0-3

deg

inclination x 10-3deg

(b) with control

Fig.3 Experimental results of attitude of

measurement probe

Fig.4 Measurement of ring gage by roundness tester

+X- X

1div.=4.0μm- Y

+Y

(a)without controlling

+X- X

1div.=4.0μm- Y

+Y

(b)with controlling

Fig.5 Experimental results of ring gage

シリコンウエハ CMP 用硬質研磨パッドの硬さの違いによる

研磨特性の比較評価

Comparing of polishing characteristics in polishing pads

with different hardness for silicon wafer CMP

○学 森塚 陽(九大) 正 土肥 俊郎(九大) 正 黒河 周平(九大) 石丸 良平(久留米高専)

Akira MORITSUKA, Kyushu University, 744, Motooka, Nishi-ku, Fukuoka Toshiro DOI, Syuhei KUROKAWA, Kyushu University, Ryohei ISHIMARU, Kurume National College of Technology

Key Words : CMP, Polishing pad, Silicon wafer, Hardness

1. 緒 言

CMP(Chemical Mechanical Polishing)技術は,最先端デバイ

スの製造プロセスに不可欠なものとして定着している.しか

し,加工の性能を直接的に左右する工具としての研磨パッド

とスラリーが理想的なものでなく改善していく必要がある 1).

そこで,本研究は高性能樹脂製研磨パッドの開発を目指し,

研磨パッドとしての基本的要因分析から樹脂の硬さや発泡

密度などに注目した高精度高能率パッドの試作設計を行う. 本稿では,シリコンウエハの CMP 一次研磨を対象として,

樹脂製硬質研磨パッドの研磨特性評価を検討するために,パ

ッド製造時の成型条件(ポアサイズ・硬度)・樹脂組成(粘

弾性)の違いに基づく研磨特性の比較評価を行った.

2. 実験方法

研磨実験条件を表1に示す.使用した研磨パッドはシリ

コンウエハ用の樹脂製パッドで,単層・溝無しとして製作

したものである.パッド厚は 1.4mm~1.8mm となっている.

成型条件および樹脂組成の違いをみるため,パッドの変更

パラメータは,次のように設定した.

ⅰ)成型条件 成型条件の一つとしてポアサイズの違い

をパラメータとし,ポア中サイズを基準に,ポアサイズ小,

大の計3種類用いた.また,パッドの密度を変更すること

で他よりも硬度の低い研磨パッドを一つ用いた.

ⅱ)樹脂組成 樹脂の組成(架橋度)を変更することで

粘弾性の違う2種類のものを用いた.各パッドの仕様を表

2に示す.No.01 を標準とし,そこから各パラメータに差

をつけた.次に,研磨レートを測り,パッドおよびウエハ

の表面観察を行った.研磨レートは,分析電子天秤 AUY220(島津製作所社製)を用い研磨前後のウエハの研磨レート

を秤量法により同定・比較した.パッドおよびウエハの表

面観察には走査型電子顕微鏡 VE-9800(KEYENCE 社製),

非接触光干渉表面形状粗さ測定装置 Wyko NT3300AS(日本

Veeco 社製)を用いた.

3. 実験結果

まず,ポアサイズの違いによる研磨レート比較結果を図

1に示す.ポアサイズの違うパッドでは研磨レートに大き

な差はなかった.ポアサイズの違うパッド表面の SEM 観

察結果を図2に示す.図2を見てわかるように,ポアサイ

ズが小さい No.02 では局所的にみれば No.01 と同等サイズ

のポアが多く存在し,ポアサイズが大きい No.03 では大き

Table 1 Polishing conditions Wafer Si wafer Φ3inches

Slurry Colloidal silica slurry

NALCO2350 Slurry flow rate 15mL/min

Platen rotational speed 50min-1 Polishing pressure 10,20,30,40kPa

Polishing time 20min Conditioning time 1min (diamond dresser)

Table 2 Specification of polishing pads No.01~06 Sample No. No.01 No.02 No.03

Thickness (mm) 1.5 1.5 1.4 Pore size (μm) 1500~50 150~50 2000~50 Viscoelasticity normal normal normal Core density

(g/cm3) 0.47 0.45 0.51

Hardness (JIS A) 90-87A 89-86A 90-88A

Sample No. No.04 No.05 No.06

Thickness (mm) 1.4 1.4 1.8 Pore size (μm) 1500~50 1500~50 1500~50 Viscoelasticity low high normal Core density

(g/cm3) 0.51 0.47 0.37

Hardness (JIS A) 89-87A 90-88A 82-80A

なポアは局所的に存在しているが,その数が少ないために

全体に大きな影響を及ぼしていないためといえる.

次に,粘弾性の違いによる研磨レート比較結果を図3に

示す.標準となる No.01 と比較して粘弾性の低い No.04 は

研磨レートが低く,粘弾性の高い No.05 は高くなった.加

工圧力を高くするほどその差は顕著になった.粘弾性を変

更していないパッドと変更したパッド表面の SEM 観察結

果を図4に示す.粘弾性が同等である No.01 および No.02ではポアへの加工くずの堆積の仕方が似ているが,粘弾性

を変更した No.04 および No.05 ではポアへの加工くずの堆

積の仕方に変化がみられた.粘弾性を変更したことにより

加工くずの性質そのものが変化したと推測される.

最後に,低硬度のパッド No.06 の研磨レートの比較結果

910

を図5に示す.標準の No.01 と比較して加工レートが低か

った低粘弾性の No.04 よりもさらに低い研磨レート結果と

なった.No.04 は加工圧力が高くなるほど標準となる No.01の研磨レートとの差が広がっているのに対して,No.06 で

は加工圧力に関わらず No.01 と同等な傾きの研磨レートに

なった.硬度,密度が低いためパッドの表面の凹凸が研磨

中により速くつぶれて研磨能率が落ちたために研磨レート

が低くなったと考えられる.このことから,逆に高硬度な

パッドを用いれば,パッド表面の凹凸がつぶれにくくなり,

高い研磨能率を保ち易く研磨レートも高くなるのではない

かと推測されるため,現在実験を検討中である.

Fig.1 Removal rate of different pore size

Fig.2 Pad surface SEM images of No.01, No.02, and No.03

Fig.3 Removal rate of different viscoelasticity

Fig.4 Pad surface SEM images of No.01, No.02, No.04, and No.05

Fig.5 Removal rate of different hardness

4. 結 言 シリコンウエハ CMP 用硬質研磨パッドの成型条件・樹

脂組成の違いに基づく研磨特性の比較評価を行った.

1)パッドのポアサイズの違いによる研磨レートにはほと

んど差がみられなかった.

2)粘弾性を変更した場合,粘弾性が高いと研磨レートも

高く,粘弾性が低いと研磨レートも低くなった.加工圧力

が高くなるほどその差が顕著になった.

3)硬度を低くすると研磨レートは標準パッドよりも低く

なった.粘弾性を変更したパッドとは異なり,加工圧力を

高くしても標準パッドと同等の傾きの加工レートとなった.

参考文献

1)H.Lu et al “Quantitative analysis of physical and chemical changes in CMP polyurethane pad surfaces ”, Materials Characterization 49 (2002) 35-44 2)森塚陽 “シリコンウエハ CMP 用硬質研磨パッドに関

する研究”, 精密工学会九州支部「第11回学生研究発表

会」,P177

No.01 No.02

No.03

No.04 No.05

No.01 No.02

高速な水陸両用自転車の開発

Development of high-speed amphibian bicycle

正 矢澤 孝哲(長崎大) ○学 内田 大補(長崎大)

正 扇谷 保彦(長崎大)

Takanori YAZAWA, Nagasaki University, bunkyoumachi 1-14, Nagasaki-si, Nagasaki

Daisuke Uchida, Nagasaki University,

Key Words : amphibian bicycle,propeller,float

1. 緒言

自転車は誰でも乗りやすい乗り物で,日常生活には欠かせ

ないものとなっている.その自転車が水上でも簡単に使用で

きるなら,用途も格段に広がることが予想される.

現在の水陸両用自転車は川や海など水が多数存在する場

所では,水上用の装置を装着する遊具として存在している.

そこで本研究では,日常生活への水陸両用自転車の活用を

最終目的とし軽量で抵抗の尐ない浮具装置の開発と、高速な

推進装置の開発を行った.

2.水陸両用自転車の開発コンセプトと仕様

伝達部は汎用型とトライク型の三輪自転車がある.前部ペ

ダル構造のトライク型は、力を駆動輪に伝えやすく,低重心

なので安定である,などの特徴を有するため水陸両用自転車

に採用された例は尐なくない.これに対し,汎用型はトライ

ク型に比べてプロペラを後部中心に設置しやすいという特

徴を有しているため,今回は汎用三輪自転車を使用した.浮

具装置は,推進装置が船体の中心にあり,左右対称のフロー

トを持つ双胴船の構造を参考し,重量計算による軽量化,左

右対称構造によるバランス,船体形状による造波抵抗の3つ

を考慮した.水上推進装置は,一般的な水陸両用自転車のよ

うに後輪を羽根車にすることで推力を得るのではなく,後部

プロペラで推進力を得る構造とした.今回開発した水陸両

用自転車の仕様および概念図を以下に示す.

Fig.1 Schema of amphibian bicycle

Table1 Specifications of amphibian bicycle

Base General purpose three-wheel

bicycle

Floatage tool Float

Water trust Propeller

Size [mm] 2000×950×1200

Total wait [kg] 90

3.浮具の設計および開発

水陸両用自転車開発では,抵抗が小さく,軽量な浮具が

必要条件となる.そこで,

・軽量であること

・抵抗が尐ないこと

・できるだけ大きな浮力を得ること

この三点に着目して設計を行った.

浮力は,全備重量 90kg に安全率 1.6 とし 150kg の浮力を

得ることとした.

素材の選択は,ペットボトル,発泡スチロール,ビニー

ル製の浮具の3つで比較した.単位体積当たりの浮力がそ

れぞれ,872kg/m3,980kg/m3, 1000kg/m3であること、材料

加工の容易さ,強度,安全性などを考慮し,発泡スチロー

ルを採用した.

次に,重心位置の適正な算出,前後の喫水線位置による

バランスの確認を目的として浮具装置実験を行った.構造

を図 2 に示す.

実験方法は,水の入った 4000×1000×2000 の水槽に上図

の自転車を浮かべ喫水線の位置に印をつけ,実験後に取り

外し発泡スチロール底面からの高さを計測した.

Fig.2 Float installation figure of amphibian bicycle

これらの実験結果を以下の表に示す.

911

Table2 Amphibian bicycle float tool experiment results

Position of waterline

Ideal Ahead[h1] Back [h2]

① Wait of

amphibian bicycle

43mm 40mm 50mm

② Total wait with

peopleand

96mm 130mm 190mm

実験結果を表 2 に示す.これより予測したよりも高い位

置に喫水線がきていること,前後でばらつきがあることが

わかる.また,前後のばらつきは重心位置が中心より後方

にあったためである.そこで,現在の水陸両用自転車のフ

ロートでは水に入った場合の抵抗が大きいと考え,造波抵

抗を尐なくする設計変更を行った.その際に,フロートの

重量を軽くするために,フロートの加工も行った.

4.水上推進機構の設計

水上を走行するためには,軽量で大きなトルク伝達する

ことのできる水上推進装置の開発が必要となった.水上推

進装置は増速比を大きくするほど.トルクの関係で使用す

る歯車が大きくなってしまい,重量が増加してしまうとい

う歯車の大小が問題となった.軽量化し高速であるために

は歯車の重量ができるだけ尐なく,力を伝達できることが

重要になった.そこで今回は,主としてタイミングベルト

を使用してトルクを推力に変える工夫を行った.

次に,推進装置の設計条件を以下の表に示す.

Table 3 Design conditions of water driving mechanism

Weight [kg] 5~8(Schedule)

Rotational speed [min] ≦300

Driving parts Propeller

Concept Speed

Lightness

表 3 中の回転数が 300 回転以内としているのは,回転速度

が速くなりすぎることで,歯車が大きくなり重量増加とい

う問題を防ぐためである.今回は増速比を 4 とし,240 回

転での設計を行った.前述の増速比は,歯車を選定する際

に選べる歯車に限界が生じてしまうことがわかった.増速

比を大きくすると選べる歯車が大きくなり,軽量化では重

量が増してしまうことは大きな障害になってしまう.そこ

で歯車よりも軽量なタイミングプーリを選定することとし

た.

次に,タイミングプーリ,平歯車,ねじ歯車の歯数を求

めた.これは,(1)の式を変換していくことで容易に求める

ことができた.

)・・・(速度回している歯車の回転

度回される歯車の回転速変速比= 1

軸にかかるトルクは、変速比から求めた.ペダルのスプ

ロケットが 60[min]のとき伝達トルクは 35N となりプロペ

ラ軸では,140N になる.そこで軸材料は,強度がある,耐

水性が良いということを考慮してSUS303 を使用した.

そして,軸径は以下の2式を利用して求めた.

必要軸径などを求め,推進装置の設計を行った.以下に

装置図を示す.

5.結言

今回,水陸両用自転車の開発を行った.それについて以

下のようにまとめる.

・浮具実験では,自転車を浮かべることで、喫水線位置のず

れやバランスを見ることができた.また,それをもとに設

計変更を行った.

・推進装置の開発では,歯車を選定する際に増速比と軽量化

が問題になった.それを,タイミングプーリとベルトによ

って解決することで軽量化を図り,設計を行った.

文 献

塚田忠夫,吉村靖夫,黒崎茂,柳下福蔵:機械設計法第2版,森北出版(2002)

謝 辞

水陸両用自転車の開発にあたりご指導頂いた,長崎大学小島龍広教務職員,実習工場の皆さま,長崎将来技術研究会,大村

競艇職員並びに選手の皆さま,に感謝いたします.

)・・・(216

3

a

Ted

)・・・(3

323

b

Med

Fig.3 Schema of water driving mechanism

スラリー中の研磨微粒子の挙動観察

-CMPにおける研磨微粒子の凝着・剥離-

Observation of fine particles behavior in the slurry

~Adhesion-separation of fine particles in CMP~

○学 城山 順基(九工大) 学 出井 良和(九工大院)

[指導教員] 正 木村 景一 正 鈴木 恵友 正 カチョーンルンルアン・パナート

Junki JOYAMA, Kyushu Institute of Technology, kawazu 680-4, iizuka-shi, Fukuoka

Yoshikazu IDEI, Kyushu Institute of Technology Keiichi KIMURA, Kyushu Institute of Technology

Keisuke SUZUKI, Kyushu Institute of Technology Khajornrungruang Panart, Kyushu Institute of Technology

Key Words : CMP, Fine particles, Evanescent light, Adhesion-Separation phenomenon

1. 緒言

半導体デバイスの製作にあたっては,研磨によって平坦化

されたウェハ上にトランジスタ,配線等の微細なパターンが

形成される.近年,半導体デバイスは微細化が要求されてい

る.微細化に伴い,ウェハ上に複数のパターンを多層に渡り

形成することで高集積化を可能とした.この多層構造の形成

に CMP(Chemical Mechanical Polishing)技術が適用され,現

在も広く用いられている.CMP とは半導体製造プロセスに

おけるウェハの平坦化手法の一つであり,化学的作用と機械

的作用を併せ持つ複合研磨技術である.CMP には nm オーダ

という加工単位での制御が要求されており,その実現のため

には適切な加工条件の選定や材料除去メカニズムの解明等

が急務となっている.

CMP はポリシングパッド,ウェハ,スラリーの相互作用

により進行するが,これら 3 要素間では原子・分子オーダと

いう微細な領域で発生する諸現象が複雑に絡み合っている.

この結果,CMP プロセスにおける材料除去メカニズムに関

しては未解明な部分が多いのが現状である.SiO2(酸化膜)

の CMP における材料除去メカニズムとしては,SiO2膜表面

の化学反応層をスラリー中の研磨微粒子の凝着現象により

除去するものと推察される.1)よって,本研究では 3 要素の

内スラリーに着目し,スラリー中の研磨微粒子の凝着現象及

び凝着後の挙動の様子を明確にすることを目的とした.SiO2

系のガラス近傍において,スラリーの静的及び動的状態にお

ける研磨微粒子の挙動を光学的手法により観察したので報

告する.

2.エバネッセント光の利用

スラリー中の研磨微粒子の挙動を,エバネッセント光

(Evanescent light)を利用し観察を行ったのでその発生原

理について説明する.媒質 1 から屈折率の異なる媒質 2 へ

光が進む時(n1>n2),入射角と屈折角は式(1)に示すように

スネルの法則と呼ばれる関係となる.しかし,入射角が臨

界角以上に達すると屈折光は観測されず全反射となる.こ

の全反射発生領域において媒質 2 への光のしみ出しが発生

し,その光をエバネッセント光と言う.エバネッセント光

は境界面近傍における限定された領域にのみ局在する光で

あり,Fig.1 に示すように z 軸方向への進行波は存在せず,

境界面に沿った x 軸方向にだけ進行波が存在する.その領

域の深さ d は式(2)で表され,電場は境界面から離れるにつ

れて指数関数的に減衰する.2)この領域に研磨微粒子があ

る場合,エバネッセント光により研磨微粒子を散乱光とし

て捉えることができるため境界面近傍における研磨微粒子

の挙動観察が可能となる.

(1)2211 sinsin nn (2) ]sin2/[

2/12

2

2

1

2

1 nnd

n1 : 媒質 1 の屈折率 n2 : 媒質 2 の屈折率 θ1 : 入射角

θ2 : 屈折角 θC : 臨界角 λ : 入射光の波長

Fig1. Evanescent light

3. スラリーの静的状態における研磨微粒子の観察

3.1 観察方法

観察装置の構成を Fig.2 に,観察条件を Table1 に示す.

材質が SiO2 系であるダブプリズムに水平にレーザを照射

すると,レーザはプリズム表面で全反射しその領域にエバ

ネッセント光が発生する.全反射発生領域にあるスラリー

中の研磨微粒子を散乱光として捉え,光学顕微鏡(Nikon

ECLIPSE LV150)により観察した.また,挙動の様子はカ

メラ(Nikon DIGITAL SIGHT DS-Fi1)を使用し撮影した.

Fig.2 Experimental observation setup

Table1 Observation conditions

particle size

pH

laser

magnification

(a) diamond (b) ceria

(c) colloidal silica

(a), (b) 500 [nm] (c) 300 [nm]

10.2

He-Ne (wavelength : 632.8[nm])

50X

3.2 観察結果

各々のスラリーの観察結果をグレースケールに変換し

たものを Fig.3 上段に示す.ダイヤモンドスラリーでは

プリズム近傍での研磨微粒子のブラウン運動が観察され

た.一方,セリアスラリーではブラウン運動をしている

Incident angle

Evanescent light

912

C 190

12

1 /sin nnC

n2

Reflection angle

n1

θ1

d

条件

X

Camera

Objective lens

Dove prism

Laser

Scattering lights

Fine particle

Evanescent

light

Slurry

Slurry

Z

研磨微粒子は尐なく,静止している研磨微粒子が多く観

察された.原因として大部分の研磨微粒子がプリズム表

面に凝着した,または研磨微粒子のブラウン運動の範囲

が小さい等が推察される.また,シリカスラリーでは研

磨微粒子を個々に観察できなかった.ここで研磨微粒子

の屈折率に着目するとセリアは 2.2,シリカは 1.46 であ

り、またプリズムの屈折率は 1.51 である.よってシリカ

とプリズムの屈折率が近いことから,研磨微粒子がプリ

ズム表面に凝着しシリカとガラスの識別が曖昧になって

研磨微粒子個々の散乱光を捉えられなかったと推察され

る.そこでプリズム表面への凝着現象を確認するため,

別の方法として FE-SEM による研磨微粒子の観察を行っ

た.材質が SiO2 系であるスライドガラスを水平面から約

30°傾けスラリーを滴下,流した後自然乾燥させた試料

を FE-SEM(日本電子 JSM-7000FSK)により観察した.

各々のスラリーの観察結果を Fig.3 下段に示す.ダイヤ

モンドスラリーでは研磨微粒子の凝着は全く確認できな

かった.一方,一般に研磨微粒子として用いられるセリ

アスラリーとシリカスラリーでは全面に凝着しているこ

とがわかった.これより研磨微粒子の凝着が発生してい

ると推察される.

Fig.3 Observation of fine particles on prism surface

4. スラリー流れの及ぼす研磨微粒子の挙動観察

4.1 観察方法

実際の CMP では,プラテン(定盤)の回転運動に伴

いポリシングパッド上にスラリー流れが発生し研磨が進

行する.スラリー中の研磨微粒子を個々に確認できたダ

イヤモンドスラリーとセリアスラリーに関して,研磨微

粒子の剥離現象の観察を試みた.観察装置の構成を Fig.4

に,観察条件を Table2 に示す.シリコーンゴムシートの

中をスラリーで満たした状態から,スラリーをステージ

板下部から約 0.3[m/s]で供給しスラリー流動状態におけ

る研磨微粒子の挙動の様子を,エバネッセント光を用い

て光学顕微鏡により観察を行い,カメラで撮影した.

Fig.4 Configuration of observation

Table2 Observation conditions

Slurry

particle size

pH

laser

magnification

(a) diamond (b) ceria

(a) 1 [μm] (b) 500 [nm]

12

He-Ne (wavelength : 632.8[nm])

50X

4.2 観察結果

各々のスラリー流動状態の観察結果を Fig.5 に示す.

ダイヤモンドスラリーでは大部分の研磨微粒子はスラリ

ー流動方向に動く様子が観察された.一方,セリアスラ

リーではスラリー流動方向に動く研磨微粒子は尐なく,

プリズム表面を覆い静止している様子が観察された.プ

リズム表面に凝着する研磨微粒子の増加を確認するため,

各々の観察画像から 3 秒前の観察画像を差分した結果を

Fig.6 に示す.ダイヤモンドスラリーでは研磨微粒子の増

加は確認できないが,セリアスラリーの場合 3 秒後では

研磨微粒子の増加は顕著であり,6 秒後ではほとんど増

加していなかった.これより,セリアスラリーの場合研

磨微粒子はプリズム表面に凝着し,スラリー流れだけで

は剥離し難いと推察される.

Fig.5 Observation of fine particles in the slurry flow

Fig.6 Increase in fine particles on the prism surface

5. 結言

SiO2系のガラス近傍におけるスラリー中の研磨微粒子

の挙動観察を行った.その結果を以下に示す.

(1) エバネッセント光を用いた観察と FE-SEM による

観察を行い,セリアスラリーとシリカスラリーに

関して研磨微粒子のガラス表面への凝着を観察し

た.これより,材料除去プロセスにおいて研磨微粒

子の凝着現象が発生していると推察される.

(2) スラリー流動状態での観察を行い,セリアスラリ

ーの場合プリズム表面に凝着する研磨微粒子は時

間の経過に伴い増加していくが,プリズム全面を

覆うとほとんど増加しなくなることがわかった.

また,凝着した研磨微粒子はスラリー流れだけで

は剥離し難いと推察される.

文献

1) 木村景一 : CMP プロセスにおける材料除去メカニ

ズム,[CMP 技術大系],pp68-74

2) 山口一郎 : 応用光学,株式会社オーム社(1998),

pp97-98

Silicon rubber

sheet

Slurry supply

port

Slurry emission port

Scattering lights

Fine particle

Slurry

flow

Evanescent

light

Camera

Objective lens

Dove prism

Laser

diamond

ceria

t = 0 [s] t = 3 [s] t = 6 [s] slurry

time

t = 0→3 [s] t = 3→6 [s]

diamond

ceria

slurry

time

diamond ceria colloidal silica

with

evanescent

light

(wet)

with

FE-SEM

(dry)

slurry

method

耐圧密閉チャンバー型 CMP 装置を用いた

加工環境制御下における加工特性

Polishing Characteristics of a Atmosphere-Controllable High Pressure Chamber Type CMP Machine

○学 江頭 峻輝(九大) 正 土肥 俊郎(九大)

正 黒河 周平(九大) 正 大西 修(九大) 正 山崎 努(九大)

Takateru EGASHIRA, Kyushu University, Motooka 744, Nishi-ku, Fukuoka

Toshiro DOI, Syuhei KUROKAWA, Osamu OHNISHI, Tsutomu YAMAZAKI, Kyushu University

Key Words : CMP(Chemical Mechanical Polishing), atmosphere-controllable, dissolved oxygen, chamber

1. 緒 言

近年,携帯電話やコンピュータを初めとした多くの情報機

器があふれている.これらの情報機器の性能向上のために,

半導体デバイスは高性能化,高集積化が求められている.こ

のような要求に対して,半導体デバイスは水平方向への微細

化や,垂直方向への配線の多層化によって応えている.特に,

多層配線を行う際には各層の平坦化処理が重要になってく

る.そこで,現在,半導体デバイスの製造工程において平坦

化技術として用いられているのが CMP(Chemical Mechanical

Polishing)である.

CMP は,砥粒や研磨パッドなどによる機械的作用に,ス

ラリー中の化学成分などによる化学的作用を複合化した超

精密加工法である.しかし,現状の CMP では加工部は大気

にさらされた状態になっており,加工部周辺の雰囲気(気体

種,気圧など)については配慮していない 1).

そこで本研究では,CMP の装置全体を耐圧密閉チャンバ

ーで覆い加工雰囲気を制御することができる加工環境制御

型 CMP 装置を用いて,Cu-CMP の加工メカニズムを追求し

つつ雰囲気の影響に着目して高加工レートが得られる加工

条件を見出すことを目的としている.本報では,Cu-CMP の

加工レートに及ぼす加工部周辺(チャンバー内部)の雰囲気

の影響について検討したので,以下報告する.

2. 実験装置・条件

実験で使用した耐圧密閉チャンバー(以下ベルジャーと

呼ぶ)型 CMP 装置の外観および内観写真を図 1 に示す.

ベルジャー内の圧力は真空ポンプ,エアーコンプレッサー,

各種のガスボンベ等を用いて-100~+1000 kPa(ゲージ圧)

の範囲で調整することができる.充填するガスはエアーの

他,ガスボンベを変更することで酸素,窒素,アルゴン,

炭酸ガスなどをそれぞれ単独,もしくは複数で封入するこ

とができ,任意の気体組成にすることも可能である.

表 1 に実験条件を示す.試料には,φ2 インチの高純度

Cu ウェハ(純度 99.99 %,厚さ 1 mm)を用いた.加工レ

ートは,秤量法により試料の質量変化量を面積および研磨

時間で割り算出した.一般的に Cu-CMP に使用するスラリ

ーには,過酸化水素(H2O2)などの酸化剤を添加するが,

今回は雰囲気の影響を調査するために H2O2 を添加せず,

使用した.加工部周辺のガスには,O2 を含むガスとして

Air と O2 を,不活性ガスとして N2 を取り上げた.気体は

ゲージ圧で-80,0,250,500 kPa の各圧力でベルジャーに

封入して CMP を行い,加工レートを比較した.また,過

去の実験 1)から加工レートと溶存酸素の関係が示唆されて

Fig. 1 Exterior and interior of machine

Table 1 Experiment specification

Platen size 250 mm

Rotational speed 90 min-1(Platen/head)

Polishing Pad IC1400(XY-groove)

Slurry Colloidal silica PLANERLITE-7101 without H2O2

Abrasive concentration 5.3 wt%

Workpiece Cu wafer( 2 inch)

Polishing pressure 31.9 kPa

Atmosphere (Gauge) Air, O2, N2(-80, 0, 250 500 kPa)

いることから,今回の実験ではスラリー中の溶存酸素量に

着目し,溶存酸素計を用いて CMP 後のスラリー中の溶存

酸素量を測定した.

3. 実験結果・考察

気体の圧力と加工レートの関係を図 2 に示す.加工レー

トは,気体種 O2で内部圧力 250 kPaのときに最も高く約 150

nm/min が得られ,常圧大気(気体種 Air,内部圧力 0 kPa)

のときの加工レート約 60 nm/min の約 2.4 倍となっている.

気体種 O2 で内部圧力 500 kPa のときについても約 140

nm/min と同程度の値を示した.気体種 O2 の雰囲気中での

加工レートは,Air 雰囲気中での加工レートと比較してそ

れぞれの内部圧力においてすべて高い値を示している.O2

Pressure gauge

Pressure chamber

(Bell-jar)

Gas inflow

Slurry inflow

913

を含むガスの場合,気体の圧力上昇にともない,加工レー

トが増加する傾向にあることが注目される.

一方,気体種 N2 の雰囲気中では,内部圧力を増加させて

も O2 や Air などの O2 を含むガスの場合のような内部圧力

の上昇に伴う加工レートの顕著な増加は見られない.気体

種 N2 の雰囲気中での加工レートは,最も高いもので内部圧

力 500 kPa のときの約 60 nm/min で,常圧大気での加工レ

ートと同程度にしかならなかった.

気体の圧力とスラリー中の溶存酸素量の関係を図 3 に示

す.気体種 O2 の雰囲気中において,溶存酸素量は内部圧力

500 kPa のときに最も多く 70.9 mg/L となり,常圧大気のと

きの溶存酸素量 7.55 mg/L の約 9.4 倍となった.また,気体

種 O2 の雰囲気中では,気体の圧力上昇にともない,スラリ

ー中の溶存酸素量が顕著に増加していることが見受けられ

る.同様に,Air 雰囲気中においても,気体の圧力上昇に

ともない,気体種 O2 の雰囲気中ほどではないがスラリー中

の溶存酸素量は増加しており,気体の圧力が 500 kPa のと

き 22.3 mg/L となっている.このように O2 を含むガスを封

入する場合,気体の圧力上昇にともなう溶存酸素量の増加

が確認できる.

一方,気体種 N2 の雰囲気中では,O2 を含むガスのとき

に見受けられた,気体の圧力上昇にともなう溶存酸素量の

増加は確認されず,各気体圧力における溶存酸素量は同程

度で,1.6 mg/L 前後の値を示した.

溶存酸素量と加工レートの関係を図 4 に示す.O2 を含む

雰囲気の場合,スラリー中の溶存酸素量の増加にともない,

加工レートが増加する傾向が見受けられる.気体種 N2 の雰

囲気中では,溶存酸素量と加工レートとの関係性は見受け

られなかった.

これらのことから加工部周辺の雰囲気(気体種,圧力),

溶存酸素量,加工レートにはそれぞれに相関性が見受けら

れ,特に溶存酸素量と加工レートの関係性から,Cu-CMP

の加工メカニズムにおいて O2 が強い影響を与えることを

示している.すなわち,過去の実験から推測されてきた「銅

の表面に銅の酸化膜が形成された後,その酸化膜を機械的

に除去する」2)という Cu-CMP の加工メカニズムを裏付け

ている.

4. 結 言

加工部周辺の雰囲気の影響に着目して,高加工レートが

得られる加工条件を見出すために,加工雰囲気を制御する

ことができる加工環境制御型 CMP 装置を用いて Cu-CMP

を行い,以下の結果が得られた.

1) O2 雰囲気中において,加工レートは常圧大気のときの

値と比較して,最大で約 2.4 倍となった.

2) N2 雰囲気中において,加工レートは常圧大気のときの

値と比較して,最大でも同程度にしかならず,気体の

圧力上昇にともなう加工レートの増加はあまり見ら

れなかった.

3) O2 を含む雰囲気の場合,気体の圧力上昇にともない溶

存酸素量が増加した.

4) N2 雰囲気中において,溶存酸素量は各気体圧力におい

て同程度であった.

5) O2 を含む雰囲気の場合,溶存酸素量の増加につれて加

工レートが上昇する傾向にあった.

これらの結果は,過去の実験から推測されてきた

Cu-CMP の加工モデルを裏付けており,Cu-CMP において

溶存酸素が重要な要因であることを示している.以上の点

Fig. 2 Removal rates at different pressure gas conditions

Fig. 3 Relation between gas pressure and dissolved oxygen

Fig. 4 Relation between dissolved oxygen and removal rate

から,加工部周辺の雰囲気(気体種,気圧)を制御するこ

とで CMP の高能率化を図ることができると考えられる.

今後は,それ自体が酸化剤である酸化 Mn 系砥粒等を用

いて,同様の条件で銅やその他の材質について CMP を行

い,雰囲気の影響や加工メカニズムを調査していく予定で

ある.

参考文献

1) 土肥俊郎:加工雰囲気を制御したベルジャー型ポリシ

ング(CAP)装置とその CMP 特性,精密工学会誌,vol.70,No.5(2004),p.726~731

2) 土肥俊郎:CMP とその加工メカニズム,トライボロジスト,第 45 巻,第 10 号(2000),p.713~720

0

20

40

60

80

100

120

140

160

-200 0 200 400 600

Rem

oval

rate

nm

/min

Gas pressure (Gauge) kPa

Air

O2

N2

0

10

20

30

40

50

60

70

80

-200 0 200 400 600

Dis

solv

ed o

xy

gen

mg

/L

Gas pressure (Gauge) kPa

Air

O2

N2

0

20

40

60

80

100

120

140

160

0 20 40 60 80

Rem

oval

rate

nm

/min

Dissolved oxygen mg/L

AirO2N2

CNC座標測定機の開発と歯車の歯先エッジ・歯元・歯底

を含む歯面の一括測定の検討

Development of CNC coordinate measuring machine and measurement of

tooth flanks including tooth tip , tooth root and tooth bottom

○学 岡田 達樹(九大工) 正 黒河 周平(九大)

学 城戸 博充(九大院) 正 田口哲也(大阪精密機械(株))

正 土肥 俊郎(九大)

Tatsuki OKADA, Undergraduate School of Engineering, Kyushu University

Syuhei KUROKAWA, Toshiro DOI, Kyushu University, Motooka 744,Nishi-ku,Fukuoka

Hiromitsu KIDO, Graduate School of Engineering, Kyushu University

Tetsuya TAGUCHI, OSAKA SEIMITSU KIKAI

Key Words : Gear, Measurement, Tooth tip edge, Discrete-point probing, Normal vector,

1. 緒 言

歯車は動力伝達用として昔から広く利用されており,近

年では安全性やコスト,測定精度などが重要視されている.

本研究では従来の歯車専用測定機に 3 軸方向に変位可能な

プローブを装着させることにより座標測定機化し,低コス

トで付加価値を高めた測定機を開発している.これまでの

研究で歯元・歯底形状の測定が行えるようになった.さら

に歯元・歯底だけでなく歯先稜も含む歯面全体の形状が得

られれば,歯面全体の評価が行えるのでより有効である.

しかし歯先稜は歯面と外周面との接続部であるため形状が

角ばっており滑らかな曲面にはなっておらず,従来用いて

きた表面形状を求める導出方法では歯先測定に適応できな

いと考えられる.今回スタイラスチップ半径を補償して歯

先を含む歯面全体を測定する方法を導入し,実装したので

そのことについて成果を報告する.

2.測定機概要

開 発 中 の CNC 座 標 測 定 機 ( 大 阪 精 密 機 械 製

DDS-CLP35)は,プローブを 3 方向に移動させるための直

交 3 軸と,歯車を回転させるための主軸の計 4 つの軸を有

し,互いに独立駆動可能である.DDS-CLP35 は正確な位置

決めを実現させるためにクローズドループ制御となってお

り,基準円の接線方向である Tangential 軸にはダイレクト

ドライブを採用している.変位検出を行うためのプローブ

にはスキャニングプローブ(SP600Q RENISHAW 社)を使

用している.このプローブはアナログ接触式スキャニング

プローブであり,3 軸方向の変位を同時に検出することが

できる.測定面にプローブ先端のスタイラス球が接触する

と力を受けた方向に変位して,その変位量を電圧値で読み

取り,長さに変換することで座標値を得る.

3.測定手順

被測定物の目標点を利用して測定する既定経路スキャニ

ング(Pre-defined path scanning 以下,PPS)を用いて歯車の歯

元・歯底を測定した.測定対象としてはモジュール 6,歯

数 21 の平歯車を採用した.被測定物の目標点としては,離

散点プロービング(Discrete-point probing 以下,DPP)で得ら

れた測定結果を用いた.1 つの歯溝に対して行った DPP の

測定結果を全歯のPPSのノミナルデータとして用いること

で全歯一括測定を行った.主軸の回転角度は 360 度を歯数

で割り,補正をかけて正確に位置決めした角度を使用し 1

歯溝ごとに割り出して測定を行った(1).

4. 歯先形状を導出する方法と測定結果

本研究でこれまで使用していた導出方法で歯先形状を測

定してみると,歯先エッジの部分で DPP の点列が密集し表

面形状も実際の形状とはかけ離れたデータが得られた.測

定中のプローブ動作もスタイラスチップが歯先部分を通過

するとき異常な動きを示した.図 1に 1 歯溝の測定結果,

図 2 に丸で囲んだ部分の各大図を,図 3 に歯先部分の DPP

の測定結果を示す.

–10 0 10

60

70

Tangential axis mm

Rad

ial a

xis

mm

Fig. 1 Measured form of a tooth space

–9 –8.8 –8.6

68.2

68.4

68.6

Tangential axis mm

Rad

ial a

xis

mm

Fig. 2 Close up data of tooth tip edge

914

また図 4 に図 3の点の密集部分の各大図を示す.

DPP の点列が密集すると,その点列から目標点を定める

のに必要な法線ベクトルを描く時に法線が交差する現象が

おきてしまう.おそらくこの法線の交差が表面形状の算出

に影響を及ぼしているのではないかと考え,まず DPP の密

集した点列を 1 点に集約することを目標とした.方法とし

ては密集した点列を一旦取り除き,その前の 2 点を結んだ

直線とその後の 2 点を結んだ直線の交点をもって新しい 1

–9 –8.8 –8.6

68.2

68.4

68.6

Tangential axis mm

Rad

ial a

xis

mm

–8.9

68.5

Tangential axis mm

Rad

ial a

xis

mm

Fig. 3 Measured data in DPP Fig. 4 Close up of Fig.3

–9 –8 –768

69

70

Tangential axis mm

Rad

ial a

xis

mm

Fig. 5 New DPP data and target data

–9 –8 –768

69

70

Tangential axis mm

Rad

ial a

xis

mm

Fig. 6 Form of tooth tip edge with modified target points

–8.6 –8.4 –8.268.2

68.4

68.6

68.8

Tangential axis mm

Rad

ial a

xis

mm

Fig. 7 Form of tooth edge and form of tip center

点(以下,エッジ点とする)として集約した.

スタイラスチップの目標点座標は,DPP の点列をスプラ

イン補間してその法線ベクトルを利用することで求めた.

図 5に新しく算出した DPPの点列とスタイラスチップの目

標点をそれぞれ示す.

密集点列を除いてエッジ点を 1 点に集約して測定を行っ

た結果の拡大図を図 6に示す.図 6に示すようにスキャニ

ングを行った際のスタイラスチップ中心軌跡の座標は滑ら

かに求まっている.エッジ点から図 5 の目標点を生成した

ことで,測定機の挙動を目視しても異常な動きは見られず

滑らかに測定を行っていた.しかし歯先の形状を拡大した

図 7を見ると分かるようにまだ表面形状が滑らかに求まっ

ていないことが分かる.

以上の結果より表面形状が滑らかに求まっていないの

は,表面形状を導出する方法にまだ問題があるからだと考

えられる.これまでは表面形状を算出する際に,スキャニ

ングを行って得られたスタイラスチップ中心の点列をスプ

ライン補間してそれぞれの点について法線ベクトルを算出

し,その法線ベクトルを利用して表面座標を求めていた.

しかしこの方法では歯先エッジ部分で法線ベクトルがやは

り交差してしまう恐れがある.そこでスキャニング測定で

得られたスタイラスチップ中心座標から求めた法線ベクト

ルを利用するのではなく,DPP 時に求めた法線ベクトルを

利用しスタイラスチップ中心座標から表面座標を求める,

という手法をとった.

以上の導入方法をもって測定を行ったところ,図 7 のよ

うな表面形状が得られた.図 7 のように表面が滑らかに算

出されており,歯面エッジの測定も行うことが可能となり

歯面形状全体の概要を把握することができるようになった.

–8.8 –8.6 –8.4

66.1

66.3

66.5

Tangential axis mm

Rad

ial a

xis

mm

Fig. 8 Form of tooth edge

5. 結 言

歯先を含めた全体の歯面形状測定を,スタイラスチップ

の異常な動きがなく滑らかに行うことができた.

表面形状を求める際にはスタイラスチップ半径を補償

するのに用いる法線ベクトルのとり方が重要であることが

分かった.点数の少ない DPP の法線ベクトルを利用するこ

とによって表面形状を滑らかに求めることができた.

参考文献

(1) 岡田達樹 “CNC 座標測定機の開発と歯車の全歯溝の歯元・

歯底形状一括測定”,精密工学会九州支部「第 11 回学生研

究発表会」

915 アルミニウム合金を対象とした恒温鍛造時の金型摩耗に関する研究

Research on die wear in isothermal forging for aluminum alloy ○学 大室 直之 (九工大) 中村 克昭 (九工大) 正 是澤 宏之 (九工大) 正 楢原 弘之 (九工大) 正 鈴木 裕 (九工大)

Naoyuki OOMURO, Kyushu Institute of Technology, kawazu 680-4,Iizuka-shi,Fukuoka

Katsuaki NAKAMURA, Kyushu Institute of Technology, kawazu 680-4,Iizuka-shi,Fukuoka

Hiroshi KORESAWA, Kyushu Institute of Technology, kawazu 680-4,Iizuka-shi,Fukuoka

Hiroyuki NARAHARA, Kyushu Institute of Technology, kawazu 680-4,Iizuka-shi,Fukuoka

Hiroshi SUZUKI, Kyushu Institute of Technology, kawazu 680-4,Iizuka-shi,Fukuoka

Key Words : aluminum alloy, die wear, isothermal forging

1.緒 論 近年の産業界では地球環境への配慮から,燃費向上を目的とした輸送機械部品の軽量化が進められており,アルミニウム合金の適用が増加している.そこで,強度と信頼性を両立するための成形法としてアルミニウム合金の鍛造法が注目されており,金型と材料を共に同じ温度まで加熱保持して成形する恒温鍛造法が検討されている 1).恒温鍛造法では,成形中の材料収縮が無いため抜き勾配が低減され,後加工が削減できる.また,成形中の温度低下が無く変形抵抗が増加しないため,少ない工程数で複雑形状の成形が可能である.しかし,複雑形状の成形時には金型への凝着性が高い新生面が多く露出する.特にアルミニウム合金は凝着性が非常に高く,凝着による金型摩耗が成形上の大きな課題となっている. 本稿では,アルミニウム合金の恒温鍛造時に問題となる金型の凝着摩耗のメカニズムを明らかにするために,リング圧縮試験を行い摩耗形態の観察を行った.鍛造材料はA6063を対象とし,金型材質を変化させ比較した.

2.リング圧縮試験 リング圧縮試験とは,鍛造等の塑性加工時における摩擦係数の測定に従来から用いられている手法の一つである.リング状の試験片を平行平面な工具の間で圧縮すると,接触端面の摩擦の大小に応じて内径の拡大・縮小が生じる.この内径の変化率と摩擦係数の関係を示した較正曲線へ変形パラメータをプロットすることで,摩擦係数を簡便に求めることができる.

3.実験方法 実験条件を Table1 に実験の概要図を Fig.1 に示す.実験装置には最大出力 200kNの油圧プレスを用いた.装置には金型加熱装置が取り付けてあり,金型温度の管理が可能である.金型材料にはラップ仕上げを施した SKD61と SKH51,表面処理として SKD61 にガス軟窒化処理を施した型,SKH51 に DLC コーティングを施した型を用い実験を行った.試験片寸法は外径 18mm,内径 9mm,高さ 6mmとし,アルミニウム合金 A6063の丸棒を用い作成した.試験片表面は,両面共に#1500での湿式研磨で統一した. 実験手順は金型を 473[K]まで加熱後,潤滑剤を塗布し試験片を設置した.試験片は型からの伝熱のため 60[sec]以上設置してから実験を行った.実験回数は金型材料ごとに各1回として,初期の摩耗形態を観察した. 評価は,マイクロスコープを用いた金型表面の観察と表面粗さ測定器を用いて凝着高さを測定することで行った.また,較正曲線 2)を用いて求めた摩擦係数の比較も行った.

Table1 Ring compression test conditions

Specimen material

Die material SKD61 SKH51 SKD61 SKH51

CoatingGas

nitrocarburizingDLC

Compression ratio [%]

Lubricant

Specimen temp.[K]

Die temp.[K]

A6063

Lubricant for magnesium worm forging

-

473

473

80 60

Die

Die

Heating

Force

Ring specimen

Die

Die

Heating

Force

Ring specimen

Fig.1 Ring compression test model

4.実験結果 Table2 に実験後の試験片の変形パラメータを示す.圧縮試験機の荷重が不足したため,圧縮率にばらつきが生じた.

Fig.2 に圧縮後の試験片を示す.試験片外周部に幅 2mm 程度のリング状の跡が見られるが,DLCの試験片においては非常に細くなっていることが確認できた.SKD61の試験片には,実験中に生じた局所的な滑りの跡が見られた.

Fig.3 に較正曲線から得られた摩擦係数 µ を示す.DLCの摩擦係数が最も低く µ=0.12を示した.その他の金型材料において摩擦係数は µ=0.2以上を示した.

Table2 Deformation parameters of the specimen

Die material SKD61 SKH51Gas

nitrocarburizingDLC

Deformation [%] 56 54 53 57

49 40 43 28Decrease in internal

diameter [%]

Fig.2 Specimens after ring compression test

(a) SKD61 (b) SKH51

(c) Gas nitro carburizing (d) DLC

Slip mark

0.00

0.05

0.10

0.15

0.20

0.25

0.30

SKD61 SKH51 Gas

nitrocarburizing

DLC

Fig.3 Coefficient of friction between die and aluminum alloy

Table3 に実験後の金型表面とその拡大写真を示す.全ての金型材料において試験片外周と接触していた部分でアルミの凝着が見られた.SKD61とガス軟窒化処理の金型においては試験片内周と接触していた部分でも激しい凝着が見られ,A部においてアルミの移動方向に沿った摩耗傷が見られた.SKH51 と DLC において摩耗傷はあまり見られなかった.ガス軟窒化処理の金型表面に黒点が見られ,黒点から凝着が発生していた.Table3の Bに示した外周部では,DLCは凝着したアルミの移動距離が短く,すぐに剥離していた.その他の 3 種類の金型においては,凝着したアルミは移動しながら成長した後に剥離していた.

Fig.4に内周の凝着部,外周の凝着部,その間である摺動部を表面粗さ測定器で測定した結果を示す.凝着高さは内周部に比べ外周部の方が高くなっていることが確認できた.また,SKD61 の摺動部において Table3 の A で見られた摩耗傷の影響と見られる表面粗さの悪化が見られた.

Table3 Die surface condition after ring compression test

Die surfaceDie surface

at A

Die surface

at B

SKH51

DLC

coating

SKD61

Gas nitro

carburizing

SKH51

SKD61

A

B

B

B

B A

A

A

200[μm] 200[μm]

Die surfaceDie surface

at A

Die surface

at B

SKH51

DLC

coating

SKD61

Gas nitro

carburizing

SKH51

SKD61

A

B

B

B

B A

A

A

200[μm] 200[μm]

0

5

10

15

20

25

30

35

40

45

Inner Slide part Outer

SKD61 SKH51 Gas nitrocarburizing DLC

Fig.4 Surface roughness of die

5.考 察 全ての金型材料において外周部での凝着が最も多くなった.これはアルミの移動量が増えるにつれて潤滑剤が少なくなり,凝着が顕著に現れることが推定される.よって今回は,内周方向に比べて外周方向への移動量の方が大きくなっていたと考えられる.

Table3 の SKD61 の A 部で見られたアルミの移動方向に沿った摩耗傷は,外周部でアルミが,凝着摩耗の機構と類似した形態を取っていることから,同様に凝着摩耗の影響であると考えられる.また,SKD61 で見られた摩耗傷や,内周部でのアルミの凝着が SKH51では軽減されていた.これは,SKD61 に比べ SKH51 は硬度が高いことや,SKH51にはタングステン等が添加されているため成分の違いが影響を与えたのではないかと考えられる. ガス軟窒化処理の金型表面で見られた黒点は,通常のSKD61 では見られなかったことから窒化物である可能性が考えられる.また,ガス軟窒化処理金型での摩耗傷は,この黒点が凝着の影響で脱落し界面に巻き込むことでアブレーシブ摩耗が発生したと考えられる.実験後の金型の黒点を光学顕微鏡で観察した結果を Fig.5 に示す.黒点部分は脱落しているのではなく,突起状であることが確認できた.よって,突起部分において潤滑剤が薄くなることで摺動抵抗が増加し,凝着の起点となることで摩耗が発生したと考えられる. 今後はこれらを踏まえ,アルミの移動量と凝着の関係を明らかにするために,圧縮率を変化させた実験を行う必要がある.また,凝着や摩耗に影響を与える要因を特定するためには,他種の金型材料や表面処理を施した金型を用いて実験を行う必要がある.Fig.5のガス軟窒化処理金型で見られた突起物については成分分析が必要である.

Fig.5 Surface projection of gas nitro carburizing die

6.結 論 本稿では,アルミニウム合金 A6063を対象とし,恒温鍛造と同条件でリング圧縮試験を行い,摩耗形態を観察した結果以下の結論を得た. 1) 今回の実験条件においては全ての金型材料で凝着が発生した.

2) 低い摩擦係数を示した DLC において凝着量は少なくなり,高い摩擦係数を示した SKD61 や同材にガス軟窒化処理を施した金型において凝着量は多くなった.

3) ガス軟窒化処理を施した金型においては,金型表面の黒点から凝着が発生した. 参考文献

1) 中村 克昭ら,恒温鍛造用金属素材の連続結晶粒微細化プロセス”STSP”,アルトピア,12(2003), pp9-14

2) A.T.Male,M.G.Cockroft, A Method for the Determination of the

Coefficient of Friction of Metals under Conditions of Bulk Plastic

Deformation, J.Inst.Metals, 93(1964), pp38-46 謝 辞 本研究を行うにあたり,ナイス(株)様,日本ハードウェア(株)様に御協力頂きました,厚くお礼申し上げます. Measurement point

Die material

Coe

ffic

ien

t of

fric

tion

50μm

Projection

Surf

ace r

oughness

[ µm]

,

2

a

p

mIt

Fm

It

Fmm

n

x

.2Im a

p

a

p

a m

m

m

mF

t

m

n

x

0 200 400 600 800

time (s)

0

0.4

0.8

1.2

1.6

dep

osi

t w

eig

ht

(mg

)

temp. (K)

287

297

307

916 ポリピロールのドーピング特性に関する研究 Property of doped polypyrrore films in electrodeposition

森 健一郎(琉球大) 斉藤 正敏(琉球大)

Kenichiro MORI, Ryukyu University, minamiuehara684-10 nakagusuku-son nakagami-gun okinawa

Masatoshi SAITOU,Ryukyu University Key Words :polypyrrore , dopant, electrodeposition

1. 序論

導電性高分子薄膜は, 光・電子機能を有する高分子

材料であり, 固体電解質膜, 修飾電極, DNA センサー,

電圧印加によるエレクトロミネッセンス , 金属の防食

等々の応用研究が活発に行われて. その電析重合過程

は, 以下の通りでる.

nPyH2 + xA-→(Py)n+x.xA-+2nH+ + (2n + x)e-, (1)

反応は ,重合過程とドーパントの酸化過程からなり ,

それらは

nPyH2 → (Py)n + 2nH+ + 2ne-, (2)

(Py)n+ xA- → (Py)n+x・xA- + xe-, (3)

PyH2 はモノマー, A-は, ド―パントイオン, n は重合度,

x はドーピング物質のモル数で(1)から

(4)

mp はピロールのモル質量, m はドープしたポリピロー

ルフィルムの質量, ma はドーパント物質の質量, t は

電析時間, I は電流値, F はファラデイ数である. 更に

(mF/(tIma))2<<1 のとき(4)式は

(5)

と近似される.

大きな分子量のドーパントを用いた場合, 電析質量

が時間に依存し, ドーパント比が時間と共に変化する

ことが予想されるが, その様な実験が行われておらず,

(4), (5)が適用できるのか不明である.

そこでドーパントとして p-トルエンスルホン酸テ

トラエチルアンモニウム(略号pte)を用いて(1)ドー

ピング量と電析時間の関係, 従って(4), (5)式が成立

するのかどうか, (2)電析速度とモル比x/nの関係は

どうであるのかを明らかにすることを目的とする.

2. 実験方法

用いた溶液の構成は, p-トルエンスルホン酸テトラエ

チルアンモニウム:18.09g,ピロール:13.9cc, 純水:

200ml であり, 電極は, 陽極がカーボン, 陰極が ITO ガ

ラスを用いる. 実験手順は, まず ITO ガラスをアセト

ンで 5 分間超音波洗浄し, 図1の実験装置を用いて温

度, 時間を変化させてピロール電析を行った . 電極は

平行に , ビーカーに触れないよう設置した . 電流は

2mA で固定して実験を行った.

図1.実験装置の模式図

3. 実験結果

(1) 定電流下での電析質量変化

図2,3に2種類の pte 濃度の電析質量と時間の関係を

示す. この図から電析質量は, 時間と共に線形に増大し

ており, 1mol/L の溶液からの電析質量の方が質量増加

は大きいことがわかる.

これは, ドーパントである pte がより多くピロール薄膜

中に取り込まれた結果である. 又, 電析質量の温度依存

性は, 低濃度側に現れ, 高濃度ではあまり違いがない .

一般に, 定電流下では, 電析質量の温度依存性はないの

であるが, 本研究では温度依存性が低濃度で顕著に表れ

ている. これは, 次に示すモル比の温度依存性から説明

される.

(2) 電析速度とモル比x/n

図20.3mol/L の pte の電析質量の時間変化

0 200 400 600 800

time (s)

0

1

2

dep

osi

t w

eight

(mg)

Temp.(K)287297307

図4,5に電析速度と温度の関係を示す. 電析速度は,

図2,3の傾きを最小二乗法でフィッティングして求め

た.

図4,5の電析速度の値を Eq. (4)の m/t に代入してモ

ル比x/nを求めることができる. 一般にモル比x/nを

機器分析により求めることは, ピロールが有機溶媒に溶

解しないため困難であり, NMR 等の測定ピークから推定

しているのが現状である. しかしながら, 本研究は質量

測定という単純で精度のある方法でドーパントの量を決

定できるという利点がある.

図4より, 0.3mol/L の溶液からの電析では, 1mol/L

に比較して電析速度の温度依存性が強く表れている. 電

析質量の温度依存性は, ピロールより分子量の大きな pte

がピロール薄膜中に取り込まれる際に, その酸化反応が

温度依存性を持つことに起因している. それに比較して

pte1mol/L の場合,温度依存性が弱い. これは,薄膜中に取

り込まれる pte の固溶限に近いため, 温度依存性が顕著

に出ないのではないかと推定される.

図6,7のモル比 x/n の値よりドーパントの酸化反応の

温度依存性が強いことが再度示されている.

図 8 電析速度とモル比x/nの関係

図8は, Eq. (5)で予想された通り, モル比x/nと電析速

度が比例の関係があることを示している. 従って本研究

で提案したモル比x/nの決定方法が正しいことを示し

ている.

4.結論

(1) 電析質量は, 時間に比例する線形性を示し, その温

度依存性は, モル比x/nの温度変化から説明された.

(2) 電析速度は, ドーパント濃度依存性, 温度依存性が

あり, 低濃度で顕著に表れた.

(3) モル比と電析速度には線形の関係があることが確か

められた.

謝 辞

本研究は, 指導教官である琉球大学工学部機械シス

テム工学科斉藤正敏教授にご指導を戴き.深謝の意を

表する. 本学科 研究室の各位には研究遂行にあたり

日頃より有益なご討論ご助言を戴いたので感謝の意を

表する.

1.2 1.6 2 2.4

deposition rate ( gs-1)

0.2

0.4

0.6

0.8

1

x/n

図31mol/L の pte の電析質量の時間変化

図40.3mol/L の pte の電析速度と温度の関係

図51mol/L の pte の電析速度と温度の関係

図6 0.3mol/Lのpteのモ

ル比x/nと温度の関係

図7 1mol/L の pte のモ

ル比x/nと温度の関係

せん断応力型き裂誘導による 鏡面スライス加工の可能性

Possibility of New Thermal Stress Cleaving Using Crack Propagation by Shear Stress

○学 朝長 和也(佐世保高専) 正 森田 英俊(佐世保高専) 正 原 要一郎(佐世保高専)

Kazuya TOMONAGA, Sasebo National College of technology

Hidetoshi MORITA, Sasebo National College of technology Youichirou HARA, Sasebo National College of technology

Key Words: Glass, Brittle Materials, Slice Processing, CO2 Laser, Thermal Stress

1. 緒 言 近年,機械工業においては,装置の高度化,材料技術の

進歩と相俟って,金属材料と組み合わせて,焼結材料,ガ

ラス,結晶材料など種々の材料が使用されている.これら

の材料の機械工作には,主として切削加工や研削加工が利

用されているが,刃先先端に加わる加工抵抗に見合う材料

保持力や工具強度が要求され,加工効率を向上させる上で

大きな制約となっている.また,一般的には,加工時には

切削剤を使用する場合が多いので,加工部材の汚染など付

随する課題となっている.そのため,これらの課題を解決

すため種々の研究が行われてきたが,抜本的な解決策とな

っていない現状にあると考える. そこで,工具を使用しない加工法として工具によって発

生している応力に相当する応力を,レーザを用いて局部的

熱応力の形で材料内部に発生させ,その応力で材料を除去

加工する方法というものが注目され始めている.現在まで

に,熱応力の引っ張り成分によるき裂進展はガラスの割断

加工技術として利用されている. 一方,ソーダガラスの側面にレーザを走査させたところ,

加熱側のガラスが母材側から分離し,Fig.1(a)のように分離

片がカールする現象を発見した.この現象における母材側

の加工面は,Fig.1(b)のように溶融痕がない鏡面に近い状態

である.このことから,この現象は仕上げや洗浄といった

二次工程の必要がない,除去と研磨加工が融合した新技術

の可能性があるといえる. また,レーザの加熱点形状をガウス分布からトップフラ

ット分布に変更したり,エネルギー密度や出力などを工夫

したりすることで,高効率・省エネルギー化を実現するだ

けでなく,Fig.2 に示すようなレーザの幅に対応したガラス

表面だけをスライス加工することが可能であると考えられ

る.本研究では,この現象を用いたガラスのスライス加工

の可能性についての基礎実験について報告する.

2. 理 論 2.1 加工中の熱応力分布

ガラスのヤング率,線膨張係数には,Fig.3 のような温度

依存性がある.ガラスに CO2 レーザを照射させると,光が

吸収され,温度が上昇する.ガラスにおける熱応力の分布

は,温度 550℃以下の領域では熱膨張のため,ガラス内部

は,Fig.4(a)のように,温度の高さに比例した圧縮応力場が

形成される.しかし,さらにガラスを高温領域まで急加熱

させると,軟化のため Fig.3(a)のようにヤング率は低下する.

すると,それまで Fig.4(a)のように表面部分にあった最大圧

縮応力場が,軟化のために緩和され,最大圧縮応力場は

Fig.4(b)のようにガラス内部へと移動する.なお,線膨張係

数は Fig.3(b)のように 550℃付近で急激に上昇するため,こ

の温度部分が大きな圧縮応力場となると考えられる. 2.2 セナルモン法による加工中のひずみの観察

加工中のガラスのひずみ状態を観測する方法としてセナ

ルモン法がある.これは,光学的等方体であるガラスを歪

ませたときに示す光学的異方性のためであり,複屈折率が

異なることを用いて,ひずみを定量的に評価する方法であ

る(1).つまり,光源からの光は,偏光板により一方向の振

動成分の直線偏光のみとなってまず通過するが,この光が

歪を生じたガラスを通過すると,光学的異方性のため複屈

折率が変化する.そのため,直線偏光であった光が楕円偏

917

(a) 本加工により発生した分離片 (b) 母材側の分離面

Fig.1 板ガラスに発生した分離片と分離面

砥石

Fig.2 新しい非接触除去加工法の提案

Table 1 CO2 レーザの仕様

波 長[μm] 定格出力[W] 楕円率 ビーム品質

10.56~10.63 40 <1.2 TEM00, 95% purity,M2=1.1±0.1

Table 2 実験条件

出力 P[W] 走査速度 v[mm/s] ガウス半径 r[mm]

35 5~80 0.7 10 1~20 0.7

光となり,さらに,位相をπ/4 だけ遅らせる 1/4 波長板を通

過させると,元の光とは異なる振動方向を持つ直線偏光成

分のみを透過させる.最後に検光子を通過させると,ひず

みの大きさが位相差量となり,色の変化となって現れる. この方法を用いて実験を行い,加工中の応力状態について

考察を行った. 3.実験装置・方法 3.1 ガラスのスライス加工実験

実験は微小な面のスライス加工を想定し,ガラス側面を

スライスする実験を行った.実験には Fig.5 に示す実験装

置を用いた.実験装置の仕様は,Table 1 示す.ガラスは一

般 的 な ソ ー ダ ガ ラ ス を 用 い る . ガ ラ ス の 寸 法 は 23mm×85mm,板厚 0.7mm であり,垂直に立てて側面にレ

ーザを照射するようにした. 実験装置から発生させた CO2 レーザは,鏡筒の中を通過

させ,2 枚のミラーを介して照射位置を調整し,集光レン

ズを介して,水平方向に移動するテーブルに固定されたガ

ラスに照射される.レーザのガウス半径は,集光レンズと

試験片との距離を変更することにより調整できる.本実験

は,CO2 レーザの出力,走査速度,ガウス半径を Table 2 に

示す条件の下で行った.

3.2 き裂とひずみの観察 応力観察には,前述のセナルモン法により,Fig.6 のよう

に構成した.CO2 レーザを走査させる際,マイクロスコー

プを取り付けた CCD カメラによりガラスに生じるき裂先

端を撮影し,き裂の発生位置と応力分布の関係に注目して

観察を行った.なお,応力分布の把握が目的のため,今回

は検光子の角度を固定して観察を行った. 4. 実験結果と考察 4.1 ガラスのスライス加工実験 Fig.7 に,レーザ出力と走査速度の変更による実験の成立

範囲を示す.実験は Table 2 に示す通りガウス半径(1/e2)

が 0.7mm 一定で実験を行った.なお,ガウス半径はストレ

ートエッジ法により事前に測定している.また,グラフ中

の過加熱の状態とは,スライス加工としては成立したが,

分離片が切屑状とならず,飛び散った状態を示している. 成立範囲は,レーザの出力の高さに比例して,速度範囲

が広がっているため,単位時間当りの入熱量が高い状態で

発生していることがわかる.つまり,レーザの急激な加熱

により発生する熱応力が,き裂を誘導させる要因となって

いるのではないかと考えられる. また,母材側に溶融痕などが無くほとんど鏡面であった

が,母材側の分断面の中心付近に,微小な疵が発生してい

た.これは,レーザのプロファイルが僅かながらマルチモ

ードであるために生じる熱応力の勾配が原因ではないかと

考えられる. また,レーザ出力が 40W,走査速度 30mm/s 以下の領域

で行った際は,分離片に溶融痕が確認された. 4.2 き裂とひずみの観察

観察は,CCD カメラの撮影速度を考慮して,低い走査速

度領域で行うこととした.実験条件は,走査速度

v=1~10mm/s である.P=16.5W,v=5mm/s で撮影した画像を

Fig.8 に示す.図で,最大圧縮場は,き裂よりも僅かながら

下側に表れている.また,き裂先端部分の上下で,急激に

圧縮ひずみから引張ひずみへと変化しているこがわかる.

このことから,き裂下部に発生した強い圧縮応力場によっ

て発生するせん断応力によって,き裂がレーザ走査方向に

誘導しているのではないかと考えられる. 5. 結 論

本研究で提案した,レーザ熱による熱応力を利用したス

ライス加工技術の可能性を示すために実験を行い,以下の

ことが明らかになった. (1)レーザ出力と走査速度を変えて実験を行い,スライス加

工が成立する範囲が明らかになった. (2)セナルモン法によるひずみの観測を行い,加工中の応力

分布について考察を行ったところ,き裂先端部に作用

するせん断応力によってき裂がレーザ走査方向に成長

していることが明らかになった.

文 献

1) 山根正之 他,ガラス光学ハンドブック,朝倉書店,(2002),

pp. 380-381. 2) 岩永孟,他,日本機械学会九州学生会第 40 回卒業研究発

表講演会論文集,098-2(2009)227-228.

(a)ヤング率 (b)線膨張係数 Fig.3 ソーダガラスの物性値における温度依存性 (2)

80

90

100

110

0 200 400 600

線膨張係数

[1/deg]

温度[℃]

(×10-8)

50

60

70

80

0 200 400 600

ヤング

率[GPa]

温度[℃]

Fig.4 ガラスにレーザを走査させた時の熱応力分布

最大圧縮応力 最大圧縮応力

応力緩和領域

Fig.8 セナルモン法

による歪の観察 0

10

20

30

40

50

0 20 40 60 80

出力

[W]

速度[mm/s]

●成功△過加熱×失敗

Fig.7 スライス加工成立範囲

き裂先端 最大圧縮応力

(b)表面温度が 550℃以上 (a)表面温度が 550℃以下

Fig.5 実験装置概略図 Fig.6 応力観測実験装置概略図

固定台

ガラス

CO2 レーザ光

集光レンズ

移動テーブル

レーザによる加熱点 ガラス移動方向

光源

コンデンサレンズ

偏光板 試験片(ガラス)

1/4 波長板

検光子

マイクロスコープ

CCD カメラ

918 残留砥粒を由来とする純ニオブ膜の水素透過流速の低下

Reduction in Hydrogen Permeation Flux of Pure Nb Originated with Retained Abrasive Grain

○学 指原 和秀(大分高専)正 松本 佳久(大分高専)学 大西 宏幸(大分高専) 湯川 宏(名大院工) 南部 智憲(鈴鹿高専)

Kazuhide SASHIHARA, Yoshihisa MATSUMOTO, Hiroyuki OHNISHI , Oita National College of Technology, 1666 Maki, Oita, Hiroshi YUKAWA, Nagoya University, Tomonori NAMBU, Suzuka National College of Technology

Key Words : Hydrogen Permeable Membrane, Niobium, Abrasive Grain, Hydrogen Energy

1. 緒 言

固体高分子形燃料電池(PEFC)は小型で作動温度が低い

ため,家庭用コージェネレーションシステムや自動車の動力

源として実用化されている.しかし,燃料極である白金触媒

は水蒸気改質装置内で発生する僅か 10 ppm程度の一酸化炭

素(CO)で被毒し,燃料電池の発電特性が悪化するため,

燃料である水素の高純度化が必要とされている. 水素の精製法の一つとして知られている膜分離法は,純度

99.99999 %以上の高純度水素を一段階で得ることが出来,

かつ小型でシンプルなシステムが組めることから,現在,盛

んに開発が進められている.膜分離法で使用されている

Pd-Ag 合金は希少金属(レアメタル)が多用されており,高

価でもある.そのため,パラジウム(Pd)の代替材料が模索

されており,Steward はこれまでにバナジウム(V)やニオ

ブ(Nb),タンタル(Ta)といった 5 族金属が格段に高い水

素透過係数を有していることを報告している 1).その中でも,

Nb は も高い水素透過係数を有している上に安価であるこ

とから,水素透過膜として有望視されている. しかし Nb系水素透過合金膜では解離触媒としての機能を与えるため

に表面に Pd 被覆を施す必要があるが,高温での水素透過試

験中に表面 Pd 皮膜が劣化し,水素透過能が低下することが

問題となっている. そこで本研究では,水素透過試験後に試料の表面観察を行

い,純 Nb 膜について水素透過流束が低下する原因を解明し,

高温で高い流束を得る方法について検討した.

2. 実験方法

純度 99.96mass%の純 Nb 丸棒引抜材に 1473 K, 24 hrs. の焼鈍処理を行った後,ワイヤーカット放電加工によりス

ライスした.試料表面はエメリー及びバフ研磨にて鏡面状

態にし,φ10×0.5mm のディスク状試料を得た.また,一

部試料については更に電解研磨を施した.これら試料につ

いて,スパッタ装置にて厚さ約 200 nm の Pd 被覆を表面

に施した.水素透過試験装置の試料固定部の模式図を Fig. 1 に示す.本研究では一次(高圧)側の水素圧力を 60kPa,二次(低圧)側の水素圧力を 10kPa に設定して水素透過試

験を行い,透過膜を通過した 2 次側ガスの成分をガスクロ

マトグラフにて分析した.

3. 結果および考察

3.1 水素透過試験後の純ニオブ膜試料の表面観察

Fig. 2 は電解研磨を施していない純 Nb 膜試料表面の水

素透過試験後の SEM 像である.(a)及び(b)のいずれの条件

においても 0.5~1.5 μm の白粒子が観察されたが,特に(b)に示す 793 K でその量が多く見られ,Pd 被膜表面も多孔

質化していることが分かる.これら各温度において負荷水

素圧力は同じであることから,Si と Pd との相互拡散によ

る Pd 被膜の劣化は,高温でより顕著であるといえる. 3.2 水素透過流束に及ぼす電解研磨の影響

Fig. 3 に示すように,Pd 被覆前に電解研磨を施した純

Nb膜試料は 793 Kにおいても高い水素透過流束を示した.

また,水素透過時間の経過に伴って,電解研磨を施した純

Fig. 1 水素透過試験における試料固定部の模式図

Fig. 2 透過試験後の純 Nb 膜試料表面の SEM 像

Fig. 3 793 K における純 Nb の水素透過流束の時間変化

(社)日本機械学会 九州学生会 第 42 回卒業研究発表講演会(No.118-2)論文集 2011/3/11

0

10

20

30

40

50

0 50 100 150

Hyd

roge

n flu

x, 1

0-6 J

・d /

mol

・m

-1・

s-1

Time, t / min

Pure Nb793 K

electropolished

no electropolish

Nb 膜試料と電解研磨無しの純 Nb 膜試料のいずれもが水

素透過流速低下を示した.このことから,Pd 被膜が高温加

熱時に下地金属の Nb と拡散反応を起こし,Nb-Pd 化合物

を作った可能性がある.

3.3 電解研磨が不純物ガス濃度に及ぼす影響

純ニオブ水素透過膜について,透過した水素ガス中の不

純物ガス濃度をガスクロマトグラフによって定性的に評価

した結果を Fig. 4 に示す.図中(a)は電解研磨無しの純 Nb膜試料からの透過ガス,(b)は電解研磨を施した膜試料から

の透過ガスに含まれる不純物ガス濃度である.また,各図

中の左側には,水素ガスボンベから圧力 10 kPa にてガス

クロマトグラフに直接ガスを導入して分析を行った際に検

出された各不純物ガスの濃度を示している.水素透過膜を

透過して得られた水素中の不純物ガス濃度は,電解研磨を

施した膜試料,電解研磨無しの純 Nb 膜試料のいずれにお

いてもそのレベルがかなり低下した.また,電解研磨無し

の純 Nb 膜試料からは,供給ガスとして用いた水素ボンベ

ガスからは検出されない CH4 が新たに検出された. 3.4 エメリー研磨を施した膜試料の表面欠陥の発生

EDS による組成分析から Fig. 5 に示すように,白粒子

中心付近では Si 濃度が高いことが分かる.本実験に供した

膜試料の鏡面研磨では,機械的な粗研磨においてエメリー

紙を用いている. そのため SiC砥粒が試料表面に付着し,

その一部が試料中へ埋込まれたまま Pd 被覆が施されてい

る可能性がある.実際,FE-SEM による表面の観察におい

て,機械的な鏡面研磨を施した試料で Fig. 6(a)に示すよう

に多数の研磨痕と SiC 砥粒が見られた.従って,Fig. 4 の結果とあわせて考えると,本膜試料を高温で水素に曝すこ

とで SiC の C が水素化されて CH4 が発生し,また分解に

より Si が生じていると思われる.また,Fig. 5 から研磨粒

子近傍の Pd 膜にカーケンドールボイドが生じ,Nb が露出

したことも分かる.このことから,Si が Pd と拡散反応を

起こして化合物が生じ,これら化合物の近傍において Pd欠乏領域が生じたため,被膜が劣化したと考えられる.

Fig. 6(b)は水素透過試験後の Nb 試料表面の SEM 画像

である.これらの現象が発生しているのは図中に白丸で囲

んだ領域である.

4. 結 言

純 Nb 水素透過膜の水素透過流束が 793 K 以上で低下す

る原因を水素透過試験後の膜試料の表面観察によって検討

すると共に,高温域において高い水素透過流束を維持する

方法を見出した.得られた知見を以下に要約する. (1) 純 Nb 膜試料をエメリー研磨過程を経て鏡面研磨し

た後,Pd 被覆すると,793 K 以上の温度で Pd 被膜が劣化

し,水素の解離触媒性が低下して,Nb 中への水素固溶に

影響を与えることを明らかにした.また,それに伴って水

素透過流束も減少した. (2) 純 Nb 膜試料に電解研磨を施して試料表面の加工変

質層及び SiC 砥粒を除去することで,Pd 被膜の劣化が抑

制され,793 K においても高い水素透過流束を維持出来る

ことが分かった.

文 献 1) S. A. Steward: Lawrence Livermore National Laboratory

Report, UCRL-53441, (1983).

Fig. 4 Pd を被覆した純ニオブ膜を透過した水素ガス中 の不純物ガス濃度の時間変化

Fig. 5 研磨粒子(SiC)近傍の EDS 分析 Fig. 6 エメリー研磨及びバフ研磨後の試料表面の SEM 像

Fig. 7 水素透過試験後の Nb 試料表面の SEM 像

(a)

(b)

1. 緒言 現在,脆性材料であるガラスは液晶テレビのディスプレイや

ハードディスク用ガラス基板,太陽電池のパネルなど様々な分

野で利用されている.今後も広く利用されることが見込まれ,

産業界において更に効率の良い加工技術が求められている. このような脆性材料の加工技術の中で,とりわけ除去加工技

術においては研削砥石による外力加工が行われるのが通常で

ある.しかし,外力加工では,脆性材料である性質上,加工条

件が制限され,生産効率の向上には,ある程度の限界があると

考えられる.そこで我々は,非接触加工が可能であるレーザで,

ガラスを急加熱することで生じる熱応力を利用して,鏡面溝を

生成する内力加工技術について研究を行っている.しかし,そ

のメカニズムについてはまだ明らかになっていない. 図 1 は,実際にガラス表面にレーザを照射し得られた鏡面溝

である.この加工技術の特徴として,切りくずは図 1(a) に示す

ような連続くずとして発生し,加工面は図 1(b) のような鏡面に,

また加工断面は図 1(c ) のような円形になることがわかった. 今回は,この現象を FEM による熱応力解析を行い,その結

果から発生メカニズムについて考察を行った.

2. 実験 2.1 ガラスの鏡面溝発生実験 実験で使用するガラスは一般的なソーダガラスを用いる.

ガラスはサイズ 50mm×60mm,板厚 1.1mmである.ガラス

の物性値は,表 1 に示すとおりである.ガラスは,ヤング

率,比熱,熱膨張係数に温度依存性があり,図 2に,ガラ

スの各種物性値における温度依存性を示す. 図 34)は各ガウス半径 r にたいして,出力 P=50WのCO2レー

ザをガラスに照射し,移動速度 v=50~700mm/s でガラスを移動

させたときの連続屑発生領域を示している.図よりガウス半径

r が小さくなる(集光)につれて,発生する速度領域は広がってい

ることがわかる.このことから単位時間当たりの入熱量が高い

場合に,この鏡面溝は発生しやすいことが推測できる.

表 1 ソーダライムガラスの物性値

密度 [kg/m3]

比熱 [J/kgK]

熱伝導率 [W/mK]

熱膨張率 [K-1]

2520 730 (20℃) 1.03 8.2×10-6 (20℃) ヤング率

[GPa] ポアソン比 軟化点 [℃]

破壊応力 [MPa]

78.0 (20℃) 0.23 720~730 49

(a)ガラスに発生した連続屑 (b)ガラス表面にできた溝

(c) ガラス表面にできた溝の断面

図 1 ガラスに発生した連続屑の詳細

60

65

70

75

80

0 100 200 300 400 500 600 700

ヤン

グ率

[GPa

]

温度 [deg] (a) ヤング率 1)

700800900

100011001200130014001500

0 100 200 300 400 500 600 700

比熱

[J/k

gK]

温度 [deg]

(b) 比熱 2)

80

85

90

95

100

105

110

0 100 200 300 400 500 600 700

線膨張係数

[1/deg]

温度[℃] (c) 線膨張係数 3)

図 2 ガラスの物性値における温度依存性

レーザ熱により発生するガラスの鏡面溝生成メカニズム

Generation Mechanism of Mirror Surface Groove on Glass by Laser

○学 野崎 亮太 (佐世保高専) 【指導教員】 正 森田 英俊 (佐世保高専)

正 原 要一郎 (佐世保高専)

Ryouta NOZAKI, Sasebo National College of Technology Hidetoshi MORITA, Sasebo National College of Technology Youichirou HARA, Sasebo National College of Technology

Key Words : Glass, Brittle material, Removal processing, CO2 laser, Thermal stress

919

×10-3

レーザ走査方向

レーザ進行方向

σzz ・ 最大値

0.7

0.8

0.9

1

1.1

1.2

0 100 200 300 400 500 600 700 800

100%75%50%25%0%

ビー

ム径

[mm

]

レーザ走査速度 [mm/s] 図 3 出力 50Wにおいてビーム径の違いによる成功範囲の関係

2.2 熱弾性応力解析 本研究では,QuickTherm という熱解析専用の FEM ソフトを

用いて解析を行った.解析結果より,ガラス内部・表面の温度

や作用応力を詳細に見ることができる.今回は 2 次元での解析

を行った. 解析モデルのサイズは,実験で使用したサイズ50mm×60mm,

板厚 1.1mmとした.また,解析モデルのメッシュおよび固定条

件を図 4 (a) ,(b) に示す.また,レーザはz軸上を移動するも

のとし,図 4 (a)では平行方向に,図 4 (b)では紙面垂直方向に熱

源が移動する.また,対象性を考慮し,材料の幅を半分とし中

央を熱源が移動する. 3.熱弾性応力解析の結果 図 5は,レーザ走査速度 v=155mm/s,レーザ出力 P=50W,ビ

ーム半径 r=1.06917mmでレーザ照射し,鏡面溝が発生した条件

における解析結果である.図 5 (a)はレーザ進行方向に対し平行

な断面であり,図 5(b)は垂直な断面の結果である.また,図 5(a),(b)はそれぞれ応力分布を表している.

2 次元による解析を行った結果,z 方向(レーザ進行方向)の

垂直応力σzzおよび,x 方向(レーザ進行方向に対し垂直)の垂

直応力σxxは,他の応力成分に比べ顕著に発生していた.また,

これらの応力場は,ガラス表面に対して環を描くように高い圧

縮応力場を形成していることもわかった.このような円環状の

圧縮応力場を発生させる原因として,図 2で示すガラス物性値

の温度依存性が関係していると考えられる.特に図 2(a)で示す

ように,ガラスのヤング率は温度上昇と共に急激に減少してい

る.つまりガラス表面付近では,当初,温度に比例して強い圧

縮応力場が形成されていたが,600℃以上の温度領域では,ヤ

ング率低下のため応力場としては緩和されていく傾向となる.

また,線膨張係数(図 2(c))は転移温度である 550℃付近を境に急

激に増加している.そのため,この温度領域では強い圧縮応力

場を形成しており,またレーザの移動成分が相乗されるため,

本来照射するレーザのプロファイルはガウス型であるにもか

かわらず,σxxよりもσzzの方がわずかに大きな値を示す結果と

なった.このような応力分布から,ガラス内部に発生したσzz

の最大圧縮応力場が,き裂を進展させている大きな要因である

と考えられる. また,図 1(b)の溝の両端の鏡面とならない部分は,ガウス型

のプロファイルのため,単位入熱量が小さい部分であり,き裂

を進展させるために充分な応力が得られなかったと考えられ

る.そのため,ガラス表面が放熱のため温度が減少し始めると, 熱収縮のためカールしようとする際に,剥離させられたと考え

られる.

(a) レーザ進行方向に対し平行な断面(平面 A)

(b) レーザ進行方向に対し垂直な断面(平面 B)

図 4 2 次元解析における解析モデル図

(a) レーザ進行方向に対し平行な断面 (σzz [MPa])

(b) レーザ進行方向に対し垂直な断面 (σxx [MPa]) 図 5 2 次元解析による応力分布

(v=155mm/s,P=50W,r=1.06917mm) 4.結言 今回,鏡面溝形成のメカニズムの熱弾性解析を行い,以下の 3つのことが明らかになった.

(1) σxxとσzzの応力値が顕著に発生しており,その値はレーザの

移動速度の影響よりわずかながらσzz が大きな値であった. (2)温度上昇とともに,ガラスのヤング率は低下するため,最大

圧縮応力場はガラス内部に発生する. (3)ガラス内部に存在する最大圧縮応力場付近にき裂の先端が

あると考えられ,このき裂の上下で応力差が生じると,せん

断力が発生し,き裂をレーザ走査方向に成長させていると考

えられる. 参考文献 (1) O. V. Mazurin,Handbook of Glass Data,Elsevier Science Ltd, (1983) 256. (2) 山根正之・和田正道・寺井良平・小川晋永・安井至・国分可紀・近藤敬,

ガラス工学ハンドブック,朝倉書店,(1999). (3) Glass University 初級コース 「ガラスの特徴とガラス転移点」,NTR, news,第 24 号,日本板硝子テクノリサーチ,(2004) (4)久田周平,他,日本機械学会九州学生会第 41 回卒業研究発表講演

論文集,108-2(2010) 121-122.

z x レーザ進行方向

x

y z

レーザ進行方向

y レーザ進行方向

σxx ・ 最大値

アブレーション材料の耐熱特性の数値シミュレーション

Numerical Simulation of Heat Resistance Characteristics of Ablation Material

○学 宮城 拓磨(琉球大) 正 加藤 純郎(琉球大)

Takuma MIYAGI, University of the Ryukyus , senbaru1, nakagami-gun, Okinawa Sumio KATO, University of the Ryukyus

Key Words : Ablation, Re-entry, Heat Protection, CFRP

1. 緒言緒言緒言緒言

宇宙開発は科学技術を先導し,幅広い産業の芽を生み出

す可能性を持っている.宇宙から大気圏に機体を再突入さ

せて回収する技術は,宇宙実験や有人宇宙活動には必須で

ある.「地球に帰る」技術は「宇宙に行く」ためのロケット

技術と対になる,宇宙開発にとってもっとも基本的な技術

と言ってもよい. 大気圏へ帰還する再突入カプセルにおいては,突入時に

空力加熱によりカプセル表面の温度が 1500℃以上の高温

になり通常の金属などの材料を用いることができない.そ

のためにアブレータなどの熱防御材料が用いられる.アブ

レータは表面が高温になると,熱分解,溶融,炭化等(アブ

レーション)により内部への熱の侵入を防ぐ役割を持つ. アブレータの耐熱特性を調べるためには,アブレータの

加熱試験やアブレーション特性に関わる数値シミュレーシ

ョン等により,熱的挙動を把握する必要がある. 本研究では,アブレータの耐熱挙動を計算するためのツ

ールであるアブレーション解析プログラムを作成し,アー

ク加熱試験の結果等との比較に基づいてツールの信頼性に

関する検証を行う.

2. 解析方法解析方法解析方法解析方法

アブレーション解析計算を行うためのプログラムを作成

した.その概要を以下に示す. (1)アブレーション数学モデルの前提 ①炭化アブレーションを扱う.(炭化アブレーションの概念

を図 1 に示す) ②一次元非定常のアブレーションを扱う. ③アブレータの内部から発生した熱分解ガスは材料内に留

まることなくアブレータ表面に移動する.表面に移動す

る際,熱分解ガスの温度は周囲の炭化層の温度と等しい.

図 1 炭化アブレーションの概念

(2)基礎方程式 炭化アブレーションに関する基礎方程式は多くの文献

に記述されている (1)(2).本解析プログラムで使用した,ア

ブレータ内部のエネルギー方程式を以下に示す.

(1) ここでxは原点を損耗後退する表面に一致して移動する座

標でありyは原点を加熱前のアブレータ表面に固定された

座標である.また T は温度,t は時間,ρは密度,k は熱伝

導率,⊿hpyro は熱分解ガスの単位質量当りの吸熱量, は表

面損耗速度,Cv は比熱, はガス流量,hg は熱分解ガスの

エンタルピーを表す.アブレータ内部から熱分解ガスが発

生する際の質量保存式および,アブレータの熱分解速度に

関するアレニウスの式を以下に示す.A, B, m は定数である. (2)

(3)

ここで , はそれぞれ母材および炭化材の密度である. (3)境界条件

アブレータ表面でのエネルギーバランスは空力加熱,輻

射放熱,炭化層が損耗した時のエンタルピー変化,アブレ

ータ内部の熱伝導による熱流束,噴出するガスのエンタル

ピー変化などを考慮して設定した.

(4)計算手順

エネルギー方程式((1)式),質量保存式((2)式)および

密度変化に関する式((3)式)について差分化することによ

り温度および密度等を求める. 3. 解析解析解析解析結果と結果と結果と結果と理論解との比較理論解との比較理論解との比較理論解との比較

作成したアブレーション解析プログラムの信頼性を検証

するため,解析プログラムによる解析値と理論解との比較

を行った.その一例を以下に示す. 比較するアブレータモデルは初期温度 300[K]の半無限

板とし,境界条件は表面温度 Ts = 3500[K]で固定,表面後

退速度 を一定とし熱分解ありの条件で計算を行った.理

論解は参考文献(1)の定常状態の計算式を用いた.一方,解

析プログラムでは半無限版に対応するため初期のアブレー

タ厚さを十分長い 0.4[m]として,十分時間が経過したとき

の温度分布および密度分布を調べた. 定常状態における温度分布および密度分布の,理論解と

t = 500[sec]における解析値との比較を図 2 および図 3 に示

す.図 2 は温度分布を,図 3 は密度分布を理論解と解析値

とで比較したものである.温度分布,密度分布ともに理論

解と解析値はよく一致している.また,表面近くで急激な

密度変化が確認できる.

920

S&

gm•

ytmg )/(ty)/( ∂∂=∂∂ •ρ

)/exp(})/{()/( m TBAt vchvy −×−−=∂∂ ρρρρρ

chρ

S&

t

gg

tv

ypyro

txv x

hm

x

TCS

th

x

Tk

xt

TC

∂∂

+

∂∂+

∂∂+

∂∂

∂∂=

∂∂

&&ρρρ ⊿

A=2.57×105(1/sec)B=9722.2(K) m=3Ts=3500(K) T∞=300(K)

0

500

1000

1500

2000

2500

3000

3500

4000

0 0.005 0.01 0.015 0.02

解析プログラム

理論解

sec)/(0001.0 mS =•

X座標(m)

温度

T(K

)

t = 500(sec)

A=2.57×105(1/sec)B=9722.2(K) m=3Ts=3500(K) T∞=300(K)

0

500

1000

1500

2000

2500

3000

3500

4000

0 0.005 0.01 0.015 0.02

解析プログラム

理論解

sec)/(0001.0 mS =•

X座標(m)

温度

T(K

)

t = 500(sec)

図 2 解析値と理論解の温度比較

1150

1200

1250

1300

1350

1400

1450

1500

0 0.005 0.01 0.015 0.02

解析プログラム

理論解

A=2.57×105(1/sec)B=9722.2(K) m=3Ts=3500(K) T∞=300(K)

sec)/(0001.0 mS =•

X座標(m)

密度

ρ(kg/㎥)

t = 500(sec)

1150

1200

1250

1300

1350

1400

1450

1500

0 0.005 0.01 0.015 0.02

解析プログラム

理論解

A=2.57×105(1/sec)B=9722.2(K) m=3Ts=3500(K) T∞=300(K)

sec)/(0001.0 mS =•

X座標(m)

密度

ρ(kg/㎥)

t = 500(sec)

図 3 解析値と理論解の密度比較

4. 解析結果と解析結果と解析結果と解析結果とアブレータ加熱試験アブレータ加熱試験アブレータ加熱試験アブレータ加熱試験結果結果結果結果との比較との比較との比較との比較 アーク加熱試験によるアブレータ供試体の耐熱特性デー

タと,アブレーション解析コードによる計算結果とを比較

し,解析プログラムの信頼性を検証した.なお計算のため

の入力データは測定値および文献に基づいて設定した. アーク加熱試験では,宇宙航空研究開発機構のアーク加

熱風洞を用いて CFRP 材による軽量アブレータの加熱を行

い,表面損耗量や内部温度変化等のデータを取得した. アブレータ供試体の形状は,加熱面がフラットな直径

34mm,厚さ 20mm および直径 40mm,厚さ 40mm のシリン

ダー状とし,断熱材を介して取付部に設置した.供試体の

温度測定に関して,表面温度は放射温度計を,内部温度に

は K型熱電対を用いた. 図 4 にアーク加熱試験の状況(例)を示す.

図 4 アーク加熱試験の状況(例)

図 5 は,総加熱量 Q[J/m2]を気流のエンタルピーhr[J/kg]

で除した値 Q/hr[kg/m2]と,アブレータ供試体の表面損耗量

Mab[kg/m2]の関係を,アーク加熱風洞試験結果と解析値と

でそれぞれ比較したものである.解析プログラムによる計

算値と測定値はおおむね一致していることがわかる.

図 5 Q/ hr [kg/m2]と Mab[kg/m2]の関係

図 6,図 7 は,アブレータの直径 34mm,厚さ 20mm の

供試体を,低温壁対流加熱率 1.98MW/m2,加熱時間 60secの条件で加熱したときの表面および裏面温度測定結果(温

度の時歴データ)と,解析結果との比較を示すものである.

図より,アブレータ表面および内部温度の測定結果と,解

析プログラムの計算結果がよく一致していることがわかる.

図 6 表面温度の比較

図 7 裏面温度の比較 5. 結論結論結論結論 (1)アブレーション数学モデルを用いた解析プログラム

の計算結果が理論解と一致していることを確認した. (2)上記のプログラムにより,軽量アブレータの耐熱挙

動を比較的良好に模擬できた.

アブレータ供試体の加熱試験データ取得にあたりご協力

頂いた,宇宙航空研究開発機構,愛知工科大学,名古屋大

学の皆様に深く感謝いたします. 6. 参考文献参考文献参考文献参考文献 (1)加藤他:再突入回収カプセル用アブレータ材の炭化アブレーション数値解析,日本航空宇宙学会論文集,Vol.50,No.582, (2002), pp.255-263. (2) Moyer, C.B, and Rinadal, R.A:An Analysis of Coupled Chemically Reacting Boundary Layer and Charring Ablator, PartⅡ, Finite Difference Solution for the In-Depth Response of Charring Materials Considering Surface Chemical and Energy Balances,NASA CR-1061, (1968).