4121701ตรรกะดิจิทัล digital logic...

Post on 11-Apr-2017

133 views 5 download

Transcript of 4121701ตรรกะดิจิทัล digital logic...

4121701 ตรรกะดจทล Digital Logic 4121701 ตรรกะดจทล Digital Logic

เกตพนฐานและพชคณตบลลน

(Logic Gate & Boolean Algebra)

อ.นคนทร พฒนชย

1. บทนา

พชคณตบลลน (Boolean algebra) เปนทฤษฎทางคณตศาสตรทใชในการว

เคราหและออกแบบวงจรลอจก กาเนดขนจาก นกคณตศาสตรชาวองกฤษ

ชอ จอรจ บล (George Boole) 1815-1864 เขาไดเขยนตาราคณตศาสตร

เกยวกบทฤษฎของตรรกะ และความเปนไปได เมอ ค.ศ. 1854 ทฤษฎ

ดงกลาว คอ พชคณตตรรกะ (Logic Algebra) ตอมาพชคณตสาขานจงได( g g )

ชอตามผทคดคน คอ พชคณตบลลน (Boolean algebra) หรอ บางทเรยกวา

พชคณตสวตชง (Switching Algebra)พชคณตสวตชง (Switching Algebra)

2

2. พนฐานของพชคณตบลลนฐ

ในพชคณตทเรารจกกนจะแสดงคาดวยจานวนเลขอาจอยในรปของเลข

ป ปจานวนเตม เศษสวน จานวนลบ สแควรรท ฯลฯ ประกอบกนเปนสมาการ

ตวอยางเชน 50+(40 ÷ 5) x 2 = 66 แตสาหรบพชคณตบลลน จะแสดงคา

ดวยสญญลกษณ ทเปนสมการเชนเดยวกน แตคาเหลานนจะมเพยง 2 คา

คอ "จรง (True)" และ "เทจ (False)" เทานน ใหพจารณาจากตวอยาง( ) ( )

ขางลางน

3

2. พนฐานของพชคณตบลลน (ตอ)ฐ

พชคณตบลลน จะเหมอนกบทฤษฎของสวตชง ซงสวตชแตละอนจะม เปด

ป ใ (Open) และ ปด(Close) ในดจตอลคอมพวเตอรกเชนเดยวกน วงจรลอจก

และหนวยความจาซงเปนสวนประกอบทสาคญ กมคาเปนไปไดเพยงสอง

คา คอ คา "0" และ "1" เราจะเรยกมนวา Logic 0 และ Logic 1 และสามารถ

ทจะแสดงไดหลายอยางดงตารางขางลางน

4

2.1 กระบวนการพนฐานทางตรรกะ (Logic Operation) ฐ

ตวกระทา (Operator) ททาใหเกดกระบวนการทางตรรกะม 3 อยางคอ

1. AND

2. OR

3. NOT

ไ ไ ซงจะไดอธบายตามลาดบ ดงตอไปน

5

2.1 กระบวนการพนฐานทางตรรกะ (Logic Operation) (ตอ)ฐ

ตวกระทา AND (AND operation)

ตวแปรและคาคงทในวงจรลอจก จะมคาไดเพยงสองคา คอ false

และ true หรอ 0 และ 1 ดงไดกลาวมาแลว ซงตวแปรแตละตวสามารถทจะมา

รวม หรอจดหม (Combinations) กนใหอยในรปของนพจนของพชคณตบล

ลน ดวยตวกระทา AND OR และ NOT ถาตวแปรทงสองถกกระทาดวยตวลน ดวยตวกระทา AND OR และ NOT ถาตวแปรทงสองถกกระทาดวยตว

กระทา AND และตวแปรทงสองมคาเปนจรง (True) ผลลพธทไดกเปน จรง

(T ) ตวอยางเชน (True) ตวอยางเชน

6

2.1 กระบวนการพนฐานทางตรรกะ (Logic Operation) (ตอ)ฐ

ตวกระทา AND (AND operation)

การใช AND เปนตวกระทาระหวางตวแปรทงสอง อาจเรยกวา การ

คณทางลอจก (Logic Product หรอ Conjunction) โดยใชสญญลกษณ จด (.)

เปนเครองหมายแทนการกระทาของตวกระทา AND เชน A.B (อานวา A and

B) บางทอาจเขยนโดยละสญญลกษณ จด เชน AB (อานวา A and B) กไดB) บางทอาจเขยนโดยละสญญลกษณ จด เชน AB (อานวา A and B) กได

7

2.1 กระบวนการพนฐานทางตรรกะ (Logic Operation) (ตอ)ฐ

ตวกระทา OR (OR operation)

ตวกระทา OR เมอกระทากบตวแปรสองตว จะเปนจรงเเมอ ตวแปร

ทงสองมคาเปนจรง และ ตวแปรมคาเปนจรงเพยงหนงตว ตวอยางเชน

ใ OR ป (L i S ) การใชตวกระทา OR อาจเรยกวาเปน การรวมทางลอจก (Logic Sum)

ใชสญญลกษณ บวก (+) เปนเครอง เชน A + B (อานวา A or B)

8

2.1 กระบวนการพนฐานทางตรรกะ (Logic Operation) (ตอ)ฐ

ตวกระทา NOT (NOT operation)

ตวกระทา NOT เมอกระทากบตวแปรทมคาเปนจรง (True) ตวแปร

นนจะมคาเปนตรงกนขาม คอเปน เทจ (False) เชน "10 เปนเลขจานวนค" ม

คาเปนเทจ เมอมตวกระทา NOT มากระทา จะได" 10 ไมใชเลขจานวนค" จะ

ไดคาลอจกเปนจรง ใชสญญลกษณ Bar ( ¯ ) หรอ Dash (') เชน หรอ A' (อานไดคาลอจกเปนจรง ใชสญญลกษณ Bar ( ) หรอ Dash ( ) เชน หรอ A (อาน

วา NOT A)

9

2.2 บลลนฟงชนและนพจนของบลลน

(Boolean Function & Expression)

การนาตวแปรหลายตวมาจดหม (Combination) หรอมากระทาดวยตว การนาตวแปรหลายตวมาจดหม (Combination) หรอมากระทาดวยตว

กระทา AND, OR และ NOT จะเขยนใหอยในรปของ บลลนฟงชน หรอ

ฟ ไ อาจเรยกวา สวทชงฟงชน ไดดงน

10

2.2 บลลนฟงชนและนพจนของบลลน

(Boolean Function & Expression) (ตอ)

X และ Y แทนผลลพธ (Output) ของฟงชนซงอาจเปนจรงหรอเทจ (0 หรอ X และ Y แทนผลลพธ (Output) ของฟงชนซงอาจเปนจรงหรอเทจ (0 หรอ

1) กได จะเหนวารปแบบดงกลาว เปนแบบของสมการทางคณตศาสตร ซง

เรยกวา นพจนของบลลน

ตวแปรแตละตวในบลลนฟงชนหรอนพจนของบลลน จะมคาทาง

ตรรกะได 2 คา คอ เทจ (F) และ จรง (T) เมอนาตวแปรมาจดหมหรอมา

กระทาตอกน ดวยตวกระทา จาทาใหมสถานะแตกตางกนได เทากบ 2 ยกกระทาตอกน ดวยตวกระทา จาทาใหมสถานะแตกตางกนได เทากบ 2 ยก

กาลง n (n = จานวนตวแปร) เชน f (A,B) มตวแปร 2 ตว สถานะความ

แตกตาง คอ 2 2 2 4 สวน A B นนเปนตวแปร เราจะเรยกวา ตวปอนเขา แตกตาง คอ 2n = 2.2 = 4 สวน A,B นนเปนตวแปร เราจะเรยกวา ตวปอนเขา

(Input) เมอถกกระทาจากตวกระทา (AND, OR, NOT) กจะใหผลลพธ

(Output) ออกมา11

2.2 บลลนฟงชนและนพจนของบลลน

(Boolean Function & Expression) (ตอ)

เพอใหการออกแบบวงจรลอจก หรอการวเคราะหวงจรทาไดงายขน เราจง เพอใหการออกแบบวงจรลอจก หรอการวเคราะหวงจรทาไดงายขน เราจง

เขยนสถานะทเปนไปไดของ อนพตและ เอาทพต ออกมาในรปของตาราง

( ) ซงเรยกวา ตารางความจรง (Truth Table) ดงตวอยางขางลางน

12

2.2 บลลนฟงชนและนพจนของบลลน

(Boolean Function & Expression) (ตอ)

พจารณาตารางความจรงขางบน จะเหนวา Output (X) จะเปนจรง (T หรอ พจารณาตารางความจรงขางบน จะเหนวา Output (X) จะเปนจรง (T หรอ

1) ไดเมอ Input A และ B เปนจรงหรอ A และ B เปนเทจทงค (A และ B ม

) ใ ( ) ( ) คาทางลอจกเหมอนกน) ถาใหจรง (T) คอ "1" และเทจ (F) คอ "0" จะเขยน

ตารางความจรง ไดดงตาราง b

ในบางครงการออกแบบวงจรลอจก หรอการวเคราะหวงจรอาจทาได

โดยเครองมออกอยางหนง ซงเรยกวา ไดอะแกรมเวลา (Timing diagram) โดยเครองมออกอยางหนง ซงเรยกวา ไดอะแกรมเวลา (Timing diagram)

เขยนโดยยดหลกเดยวกน ดงตวอยางขางลางน

13

2.2 บลลนฟงชนและนพจนของบลลน

(Boolean Function & Expression) (ตอ)

ไดอะแกรมเวลา (Timing diagram) ไดอะแกรมเวลา (Timing diagram)

แกนนอนจะเปนแกนแสดงเวลา (Time) เรมตนจาก t0, t1,t2,.. ไป

ไ เรอยๆ แตละชวงเวลา จะแสดงสถานะของอนพตและเอาทพตไดเชนเดยวกน

กบตารางความจรง ดงตารางขางลางน

14

2.2 บลลนฟงชนและนพจนของบลลน

(Boolean Function & Expression) (ตอ)

ตวอยาง จงเขยนตารางความจรงจาก สวตชงฟงชนหรอบลลนฟงชน ตอไปนตวอยาง จงเขยนตารางความจรงจาก สวตชงฟงชนหรอบลลนฟงชน ตอไปน

พจารณาจากบลลนฟงชนขางบน จะได

1 สถานะความเปนไปไดของอนพตและเอาทพต 2n = 2 3 = 8 1. สถานะความเปนไปไดของอนพตและเอาทพต 2n 2.3 8

2. เอาทพตจะเปน "1" เมอ เทอมใดเทอมหนงหรอหลายเทอมมคาลอจก

ปเปน "1"

(เพราะทกเทอมถกกระทาดวยตวกระทา OR)( )

จะไดตารางความจรงดงน

15

2.2 บลลนฟงชนและนพจนของบลลน

(Boolean Function & Expression) (ตอ)

16

2.2 บลลนฟงชนและนพจนของบลลน

(Boolean Function & Expression) (ตอ)

ตวอยาง จากตารางความจรงจงเขยนสวตชงฟงชนหรอบลลนฟงชนตวอยาง จากตารางความจรงจงเขยนสวตชงฟงชนหรอบลลนฟงชน

f(A,B,C) = X (ใหพจารณาเฉพาะเทอมทเปน "1")

17

2.3 เกตพนฐาน (Basic Logic Gates)ฐ

ในทางปฏบตอปกรณทใชแทนตวกระทาทางตรรกะ เราเรยกวา เกต

ป โ (Gate) ซงประกอบขนดวยวงจรอเลกทรอนกส โดยมความคดพนฐานมาจาก

วงจรสวตชง สาหรบเกตพนฐานม 3 ชนด ไดแก AND , OR และ NOT นพจน

บลลน หรอ ลอจกฟงชน สวนใหญจะประกอบขนดวยเกตทงสาม จะขอกลาว

รายละเอยดของเกตแตละชนดเกยวกบ รายละเอยดของเกตแตละชนดเกยวกบ

1. สญลกษณ (logic symbol )

2. ตารางความจรง (Truth table )

3. ไดอะแกรมของเวลา (Timing diagram )3. ไดอะแกรมของเวลา (Timing diagram )

4. นพจนบลลน (Boolean expression)

18

2.3 เกตพนฐาน (Basic Logic Gates) (ตอ)ฐ

แอนดเกต (AND gate)

แอนดเกต (AND gate) เปนเกตทมอนพตตงแตสองอนพตขนไป

เอาทพตของแอนดเกตจะเปน "1" ถา อนพตทงหมดเปน "1" ถามอนพตใด

อนพตหนงเปน "0" เอาทพตกจะเปน "0" ตามไปดวย

19

2.3 เกตพนฐาน (Basic Logic Gates) (ตอ)ฐ

แอนดเกต (AND gate)

20

2.3 เกตพนฐาน (Basic Logic Gates) (ตอ)ฐ

ออรเกต (OR gate)

ออรเกต (OR gate) เปนเกตทมอนพตตงแตสองอนพตขนไป

เอาทพตของแอนดเกตจะเปน "1" ถา อนพตหนงอนพทใดหรอทงสองมระดบ

ลอจก เปน "1" แตถาอนพตทงสองเปน "0" เอาทพตกจะเปน "0" ดวย

21

2.3 เกตพนฐาน (Basic Logic Gates) (ตอ)ฐ

ออรเกต (OR gate)

22

2.3 เกตพนฐาน (Basic Logic Gates) (ตอ)ฐ

ออรเกต (OR gate)

23

2.3 เกตพนฐาน (Basic Logic Gates) (ตอ)ฐ

นอตเกต (NOT gate)

นอตเกต (NOT gate) เปนเกตทมอนพตเพยงอนเดยว ดงรป

สญญลกษณทแสดงขางลางน

24

2.3 เกตพนฐาน (Basic Logic Gates) (ตอ)ฐ

นอตเกต (NOT gate)

อนพตของ นอตเกต จะมคาลอจกตรงกนขาม (Inverted) กบทางเอาทพต

ถาใหอนพตมคาลอจกเปน "1" เอาทพตกจะมคาลอจกเปน "0" แตถาให

อนพตมคาลอจกเปน "0" คาลอจกทางเอาทพตกจะเปน "1" อานวา

"NOT A" หรอ complement ของ NOT A หรอ complement ของ

25

2.4 กฎของพชคณตบลลนฎ

2.4.1 กฎพนฐานเกยวกบทฤษฎทางตรรกะ

คาทางลอจกหรอ Logic Level มไดเพยง 2 คา คอ "0" และ "1" เทานน นนคอ

ตวกระทา AND

(การคณทางลอจก)

1.1 = 1

26

2.4 กฎของพชคณตบลลน (ตอ)ฎ

2.4.2 ทฤษฎพชคณตบลลนเกยวกบตวแปรหนงตว

จะขอแบงทฤษฎพชคณตบลลนออกเปนสองกลมเพอสะดวกแกการ

จดจา คอ กลมตวแปรหนงตวและตวแปรหลานตว กลมตวแปรหนวตวมดงน

27

2.4 กฎของพชคณตบลลน (ตอ)

กฎการระบ Identity Law

1. A + A = A

2. A . A = A

กฎสวนเตมเตม Complementary TheoremA A 11. A + A = 1

2. A . A = 0

28

2.4 กฎของพชคณตบลลน (ตอ)

กฎการนเสธ Negative Law

1. ( A ) = A

2. A = A

กฎการรวม/การตดกน Union and Intersection Law0 A A1. 0 + A = A

2. 1 . A = A

3 1 + A 13. 1 + A = 1

4. 0 . A = 0

29

2.4 กฎของพชคณตบลลน (ตอ)ฎ

2.4.3 ทฤษฎพชคณตบลลนเกยวกบตวแปรหลายตว

30

2.4 กฎของพชคณตบลลน (ตอ)ฎ

24.3 ทฤษฎพชคณตบลลนเกยวกบตวแปรหลายตว

31

2.4 กฎของพชคณตบลลน (ตอ)ฎ

5.4.3 ทฤษฎพชคณตบลลนเกยวกบตวแปรหลายตว

32

2.4 กฎของพชคณตบลลน (ตอ)ฎ

2.4.4 ทฤษฎของเดอมอรแกน (DeMorgan's Law)

33

2.4 กฎของพชคณตบลลน (ตอ)ฎ

NAND gate

แนนดเกต (NAND gate) เปนเกตทมอนพตตงแตสองอนพตขนไป

เอาทพตของแอนดเกตจะเปน "0" ถา อนพตทงหมดเปน "1" ถามอนพตเปน

อยางอน เอาทพตกจะเปน "1" ทนท จะเหนวาเอาทพตจากแนนดเกตจะเปน

NOT ของ A AND B หรอเปน คอมพลเมนตของ A B นนเอง NOT ของ A AND B หรอเปน คอมพลเมนตของ A.B นนเอง

ถานาเอา แอนดเกต มาตอเพมดวย นอตเกต ดงรป กจะไดคณสมบต

ของแนนดเกต และสามารถเขยน สญญลกษณไดดงรปถดไป

34

2.4 NAND/NOR Gates NAND gate

35

2.4 NAND/NOR Gates

NOR gate

นอรเกต (NOR gate) เปนเกตทมอนพตตงแตสองอนพตขนไป

เอาทพตของนอรเกตจะเปน "1" ถา อนพตทงหมดเปน "0" ถามอนพตเปน

อยางอน เอาทพตกจะเปน "0" ทนท จะเหนวาเอาทพตจากนอรเกตจะเปน

NOT ของ A OR B หรอเปน คอมพลเมนตของ นนเองNOT ของ A OR B หรอเปน คอมพลเมนตของ นนเอง

36

2.4 NAND/NOR Gates

37

2.5 การใชเกตทดแทน (Alternate Logic Gate)

1. การประยกต NOR gate และ NAND gate

NAND Gate ประยกตเปน NOT, AND และ OR Gate

38

2.5 การใชเกตทดแทน (Alternate Logic Gate) (ตอ)

1. การประยกต NOR gate และ NAND gate

NAND Gate ประยกตเปน NOT, AND และ OR Gate

39

2.5 การใชเกตทดแทน (Alternate Logic Gate) (ตอ)

40

2.5 การใชเกตทดแทน (Alternate Logic Gate) (ตอ)

2. ทางเลอกในการใชเกต

41

2.5 การใชเกตทดแทน (Alternate Logic Gate) (ตอ)

42

2.5 การใชเกตทดแทน (Alternate Logic Gate) (ตอ)

AND GateAND Gate

43

แบบฝกหด

1.ขอใดคอตวดาเนนการทางคณตศาสตรในระบบคอมพวเตอร

ก. DIV

ข. AND

ค ORค. OR

ง. =

ใ ใ 2.ขอใดคอตวดาเนนการทางตรรกศาสตรในระบบคอมพวเตอร

ก. MOD

ข. AND

ค. DIVค. DIV

ง. > 44

แบบฝกหด

3.ขอใดคอตวดาเนนการเปรยบเทยบในระบบคอมพวเตอร

ก. =

ข. >

ค. <

ง. ถกทกขอ

4.ลาดบการทางานของเครองหมายในขอใด จะทางานเปนลาดบสดทาย

ก. DIV

ข. *

ค. +

ง. /

45

แบบฝกหด

5.ตวดาเนนการทางตรรกศาสตรของระบบคอมพวเตอรในขอใด มการทางานตรงกบตวเชอมทาง

ตรรกศาสตร “ไม”

ก. MOD

ข. NOT

ค. AND

ง. OR

6.จากนพจน 2 * 3 + 4 * 2 มคาเทากบขอใด

ก. 28

ข. 22

ค. 20

ง. 1446

ฝ แบบฝกหด

7 จากนพจน 2 + 5 DIV 3 มคาเททากบขอใด7.จากนพจน 2 + 5 DIV 3 มคาเททากบขอใด

ก. 3

ข 4ข. 4

ค. False

ง Trueง. True

8.ผลทไดจากนพจนในขอใด จะมคาออกมาเปนขอมลทางตรรกศาสตร

ก 3 + 5 – 4 DIV 1ก. 3 + 5 – 4 DIV 1

ข. 3 – 8 > 1 + 2

ค (8 > 5) OR (3 < 2)ค. (8 > 5) OR (3 < 2)

ง. ถกทงขอ ข. และขอ ค.

47

ฝ แบบฝกหด

9 ตรรกะไดรบการนามาประยกตใชงานในระบบคอมพวเตอรทางดานใดบาง9.ตรรกะไดรบการนามาประยกตใชงานในระบบคอมพวเตอรทางดานใดบาง

ก. ทางดานซอฟตแวรเพยงอยางเดยว

ข ทางดานฮารดแวรเพยงอยางเดยวข. ทางดานฮารดแวรเพยงอยางเดยว

ค. ทงดานซอฟตแวรและฮารดแวร

ง ไมสามารถนามาประยกตใชงานไดง. ไมสามารถนามาประยกตใชงานได

10.การทางานของระบบดจทล จะมสถานการณทางานอยทสถานะ

ก 1 สถานะ คอ เปดก. 1 สถานะ คอ เปด

ข. 2 สถานะ คอ เปดและปด

ค 2สถานะ คอ เปดและไมสนใจค. 2สถานะ คอ เปดและไมสนใจ

ง. 4 สถานะ คอ เปด, ปด, ไมสนใจ และความตานทานสง

48